JPH09259154A - Method and device for designing logic, and production of semiconductor integrated circuit - Google Patents

Method and device for designing logic, and production of semiconductor integrated circuit

Info

Publication number
JPH09259154A
JPH09259154A JP8063592A JP6359296A JPH09259154A JP H09259154 A JPH09259154 A JP H09259154A JP 8063592 A JP8063592 A JP 8063592A JP 6359296 A JP6359296 A JP 6359296A JP H09259154 A JPH09259154 A JP H09259154A
Authority
JP
Japan
Prior art keywords
clock
semiconductor integrated
integrated circuit
flip
delay time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8063592A
Other languages
Japanese (ja)
Inventor
Masami Yamazaki
正実 山崎
Hitomi Satou
妃登美 佐藤
Toshiaki Sugioka
俊明 杉岡
Hisayo Hayashi
久代 林
Shoichi Okawa
正一 大川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP8063592A priority Critical patent/JPH09259154A/en
Publication of JPH09259154A publication Critical patent/JPH09259154A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To shorten the period for designing a semiconductor integrated circuit. SOLUTION: The area of elements at the gate level corresponding to the technology of a semiconductor integrated circuit to be produced is previously registered in a library 13, a specification 10 of the semiconductor integrated circuit described in a hardware description language, a simplified element form and the characteristics of a clock to be applied to the semiconductor integrated circuit are inputted to a computer and while using the elements registered in the library 13, the computer generates the net list of circuit at the gate level based on the specification. Based on the element form and the element area registered in the library 13, an element size is decided and based on the net list and the element size, the automatic arrangement of elements and automatic wiring between the elements are roughly performed rather than the stage of layout design on a floor 20 corresponding to a chip. Then, based on the results of automatic arrangement and automatic wiring, signal delay time is found and based on the signal delay time and the characteristics of the clock, the timing analysis of signal at a flip-flop inside the semiconductor integrated circuit is performed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、論理設計方法及び
装置並びに半導体集積回路製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a logic design method and device, and a semiconductor integrated circuit manufacturing method.

【0002】[0002]

【従来の技術】半導体集積回路の自動設計では、論理合
成ツールに対しHDL(ハードウエア記述言語)で記述
された半導体集積回路の機能及び構造の仕様を入力する
ことにより、ゲートレベルの回路が生成される。生成さ
れた回路は、自動配置配線ツールを用いてレイアウト設
計される。
2. Description of the Related Art In automatic design of a semiconductor integrated circuit, a gate-level circuit is generated by inputting the function and structure specifications of the semiconductor integrated circuit described in HDL (Hardware Description Language) to a logic synthesis tool. To be done. The generated circuit is layout-designed using an automatic placement and routing tool.

【0003】レイアウト設計後のタイミングエラーを低
減するために、論理合成後レイアウト設計前において、
機能ブロックのサイズと機能ブロック内での素子間配線
の平均的な仮想配線容量との間の関係のグラフから、レ
イアウトすることなく素子間の仮想配線容量を求め、論
理シミュレーションを行ってタイミングエラーを検出
し、タイミング調整を行っていた。
In order to reduce the timing error after layout design, after logic synthesis and before layout design,
From the graph of the relationship between the size of the functional block and the average virtual wiring capacitance of the inter-element wiring in the functional block, find the virtual wiring capacitance between the elements without laying it out, and perform a logic simulation to determine the timing error. It detected and adjusted the timing.

【0004】[0004]

【発明が解決しようとする課題】しかし、回路素子の微
細化により、(セル間配線容量)/(セル入力容量)が
大きくなり、配線幅が0.5μmのLSIでこの比がほ
ぼ1になる。この比が大きいほど、(仮想配線容量)−
(実配線容量)が大きくなって、仮想配線容量が不正確
になり、レイアウト設計後のタイミングエラーが増加す
る。この増加は、回路の大規模化及び動作の高速化によ
り著しくなる。ある部分のタイミングエラーを無くすた
めに回路を部分的に修正すると、他の部分のタイミング
に影響する。このため、HDLで記述された回路構造の
修正、論理合成及びレイアウト設計を繰り返し行う必要
があり、設計期間が長くなるという問題があった。
However, due to the miniaturization of circuit elements, (inter-cell wiring capacitance) / (cell input capacitance) becomes large, and this ratio becomes almost 1 in an LSI having a wiring width of 0.5 μm. . The larger this ratio, the (virtual wiring capacity)-
The (real wiring capacity) becomes large, the virtual wiring capacity becomes inaccurate, and the timing error after layout design increases. This increase becomes significant due to the large scale of the circuit and the high speed operation. Partially modifying the circuit to eliminate timing errors in one part affects the timing in another part. Therefore, it is necessary to repeatedly perform the circuit structure modification, logic synthesis, and layout design described in HDL, which causes a problem that the design period becomes long.

【0005】また、論理シミュレーションでは、ある部
分の動作が回路全体と複雑に関係しているので、この検
出に膨大な時間を要し、上記問題をさらに大きくしてい
た。特に、半導体集積回路が複数のクロック周波数で動
作する場合には、タイミングエラーの検出が容易でな
く、上記問題がさらに著しくなる。本発明の目的は、こ
のような問題点に鑑み、半導体集積回路の設計期間を短
縮することが可能な論理設計方法及び装置並びに半導体
集積回路製造方法を提供するとにある。
Further, in the logic simulation, the operation of a certain part is complicatedly related to the entire circuit, so that a huge amount of time is required for this detection, and the above problem is further exacerbated. In particular, when the semiconductor integrated circuit operates at a plurality of clock frequencies, it is not easy to detect the timing error, and the above problem becomes more serious. In view of such problems, it is an object of the present invention to provide a logic design method and device and a semiconductor integrated circuit manufacturing method capable of shortening the design period of the semiconductor integrated circuit.

【0006】[0006]

【課題を解決するための手段及びその作用効果】第1発
明に係る論理設計方法では、製造される半導体集積回路
のテクノロジに応じたゲートレベルの素子の名称及び該
素子の面積を予めライブラリに登録しておき、ハードウ
エア記述言語で記述された該半導体集積回路の仕様、単
純化した素子形状及び該半導体集積回路へ与えるクロッ
クの特性をデータ処理装置、例えばコンピュータに入力
し、該データ処理装置により、該ライブラリに登録され
ている素子を用い、該仕様に基づいてゲートレベルの回
路のネットリストを生成し、該ライブラリに登録されて
いる該素子の面積と該素子形状とに基づいて素子サイズ
を決定する論理合成工程と、該ネットリストと該素子サ
イズとに基づいて、該半導体集積回路のチップに対応し
たフロア上で該素子の自動配置及び素子間の自動配線を
レイアウト設計段階よりも概略的に行うフロアプラニン
グ工程と、該自動配置及び自動配線の結果に基づいて信
号遅延時間を求め、該信号遅延時間と該クロックの特性
とに基づいて該半導体集積回路内のフリップフロップで
の信号のタイミング解析を行うタイミング解析工程と、
を実行する。
In the logic design method according to the first aspect of the present invention, the name of the gate level element and the area of the element according to the technology of the semiconductor integrated circuit to be manufactured are registered in the library in advance. The specifications of the semiconductor integrated circuit described in the hardware description language, the simplified element shape, and the characteristics of the clock given to the semiconductor integrated circuit are input to a data processing device, for example, a computer, and , A netlist of gate-level circuits is generated based on the specifications using the elements registered in the library, and the element size is determined based on the area of the elements and the element shape registered in the library. On the floor corresponding to the chip of the semiconductor integrated circuit, based on the logic synthesis process to be determined, the netlist and the element size, Automatic layout and automatic wiring between elements are performed more roughly than the layout design stage, a signal delay time is obtained based on the result of the automatic layout and automatic wiring, and the characteristics of the signal delay time and the clock A timing analysis step of performing timing analysis of a signal in a flip-flop in the semiconductor integrated circuit based on
Execute

【0007】この第1発明では、論理設計段階におい
て、概略のフロアプラニングを行い、かつ、その結果に
基づいてタイミングの解析を行ってタイミングエラーを
検出しているので、論理設計後に機能ブロックのサイズ
に応じた信号遅延を考慮して論理シミュレーションを行
うことによりタイミングエラーを検出していた従来より
も、(1)タイミング調整が正確になり、論理設計とレ
イアウト設計との繰り返し回数が低減され、結果として
半導体集積回路の設計期間が短縮され、また、(2)回
路全体が複雑に絡みあった従来の論理シミュレーション
よりも遥かに短時間でタイミングエラーを検出すること
ができ、これらのことから、半導体集積回路の設計期間
が短縮されるという効果を奏する。
According to the first aspect of the present invention, in the logic design stage, rough floor planning is performed, and timing analysis is performed based on the result to detect timing errors. (1) Timing adjustment is more accurate and the number of iterations between logic design and layout design is reduced compared to the conventional method in which a timing error is detected by performing a logic simulation considering the signal delay according to As a result, the design period of the semiconductor integrated circuit is shortened, and (2) the timing error can be detected in a much shorter time than the conventional logical simulation in which the entire circuit is intricately entangled. This has the effect of reducing the design period of the integrated circuit.

【0008】また、フロアプラニングでの素子形状を単
純化しているので、フロアプラニングの処理時間が長く
なるのを避けることができるという効果を奏する。さら
に、素子の面積と形状との組み合わせで素子サイズを決
定するので、ライブラリに登録すべき情報量を低減する
ことができるという効果を奏する。第1発明の第1態様
では、さらに、上記タイミング解析の結果に基づいて上
記フロア上の回路を修正することによりタイミングエラ
ーを解消するタイミング調整工程と、該フロア上のタイ
ミング調整された半導体集積回路からネットリストを抽
出するネットリスト抽出工程と、を実行する。
Further, since the element shape in the floor planning is simplified, it is possible to prevent the floor planning processing time from being lengthened. Furthermore, since the element size is determined by the combination of the area and shape of the element, the amount of information to be registered in the library can be reduced. In a first aspect of the first aspect of the invention, a timing adjusting step of correcting a timing error by correcting the circuit on the floor based on the result of the timing analysis, and a semiconductor integrated circuit on which the timing is adjusted And a netlist extraction step of extracting a netlist from.

【0009】この第1態様によれば、タイミング解析の
結果に基づいてタイミングエラーを解消するので、タイ
ミング調整が自動的に行われるという効果を奏する。第
1発明の第2態様では、上記フロア上で第1フリップフ
ロップの出力が第2フリップフロップに供給され、第1
点からの第1クロック及び第2点からの第2クロックが
それぞれ該第1フリップフロップ及び該第2フリップフ
ロップのクロック入力端へ供給され、上記タイミング解
析工程は、実質的に、該第2点での該第2クロックのト
リガエッジと該第1点での該第1クロックのトリガエッ
ジとの時間差である第1時間差T11と、該第1クロッ
クの周期と該第2クロックの周期との最大公約数GDC
と該トリガエッジ時間差T11との差(GDC−T1
1)である第2時間差T12とを求める第1工程と、該
第1点と該第2フリップフロップのデータ入力端との間
の信号遅延時間と、該第2点と該第2フリップフロップ
のクロック入力端との間の信号遅延時間との差である遅
延時間差αを求める第2工程と、第3時間(T11−
α)及び第4時間(T12+α)を求める第3工程と、
該第3時間及び該第4時間をそれぞれ該第2フリップフ
ロップの該セットアップ時間ST及び該ホールド時間H
Tと比較してタイミングエラーが生ずるか否かを判定す
る第4工程と、を有する。
According to the first aspect, since the timing error is eliminated based on the result of the timing analysis, there is an effect that the timing adjustment is automatically performed. In a second aspect of the first invention, the output of the first flip-flop is supplied to the second flip-flop on the floor, and
The first clock from the point and the second clock from the second point are respectively supplied to the clock input terminals of the first flip-flop and the second flip-flop, and the timing analysis step is substantially the second point. The first time difference T11 which is the time difference between the trigger edge of the second clock and the trigger edge of the first clock at the first point, and the maximum of the period of the first clock and the period of the second clock. Common divisor GDC
And the trigger edge time difference T11 (GDC-T1
1) The second step of obtaining the second time difference T12, the signal delay time between the first point and the data input terminal of the second flip-flop, and the second point and the second flip-flop. The second step of obtaining the delay time difference α, which is the difference from the signal delay time with the clock input terminal, and the third time (T11−
α) and the third step of obtaining the fourth time (T12 + α),
The third time and the fourth time are respectively the setup time ST and the hold time H of the second flip-flop.
And a fourth step of comparing with T to determine whether a timing error occurs.

【0010】この第2態様によれば、半導体集積回路が
複数のクロック周波数で動作する場合であっても、タイ
ミング解析を容易に行うことができるという効果を奏す
る。第1発明の第3態様では、上記第2工程において、
クロック遅延時間に第1係数λを乗じデータ遅延時間に
第2係数μを乗じ、組(λ,μ)が物理的条件の変動に
応じ変化すると仮定して複数組の(λ,μ)の値につい
ての上記遅延時間差αの値を求め、上記第3工程におい
て、該遅延時間差αの複数の値の各々に対応して上記第
3時間(T11−α)及び上記第4時間(T12+α)
を求める。
According to the second aspect, there is an effect that the timing analysis can be easily performed even when the semiconductor integrated circuit operates at a plurality of clock frequencies. In a third aspect of the first invention, in the second step,
The clock delay time is multiplied by the first coefficient λ, the data delay time is multiplied by the second coefficient μ, and it is assumed that the set (λ, μ) changes in accordance with the change of the physical condition. Of the delay time difference α, and in the third step, corresponding to each of the plurality of values of the delay time difference α, the third time (T11−α) and the fourth time (T12 + α).
Ask for.

【0011】この第3態様では、電圧変動、温度変動及
び半導体製造プロセスの条件変動等の物理的条件の変動
でクロック遅延の第1係数とデータ遅延の第2係数とが
異なると仮定してタイミング解析を行っているので、悪
い条件下でのタイミングエラーが検出され、これにより
タイミングエラー検出漏れが低減され、半導体集積回路
の信頼性が向上するという効果を奏する。この処理自体
は簡単であるので、論理設計所要時間には殆ど影響しな
い。
In the third aspect, the timing is assumed assuming that the first coefficient of the clock delay and the second coefficient of the data delay are different due to changes in physical conditions such as voltage changes, temperature changes, and semiconductor manufacturing process condition changes. Since the analysis is performed, the timing error under the bad condition is detected, the timing error detection omission is reduced, and the reliability of the semiconductor integrated circuit is improved. Since this process itself is simple, it hardly affects the logic design time.

【0012】第1発明の第4態様では、上記いずれかの
論理設計方法を実行して得られた論理設計結果に基づい
てレイアウト設計を行い、該レイアウト設計の結果に基
づいてマスクを製作し、該マスクを用いて半導体集積回
路を製造する。この第4態様によれば、半導体集積回路
の納期を短縮することができるという効果を奏する。
In a fourth aspect of the first invention, a layout design is performed based on the logic design result obtained by executing any one of the above logic design methods, and a mask is manufactured based on the result of the layout design. A semiconductor integrated circuit is manufactured using the mask. According to the fourth aspect, it is possible to shorten the delivery time of the semiconductor integrated circuit.

【0013】第2発明に係る論理設計装置は、第1発明
の方法を実施するための装置であり、製造される半導体
集積回路のテクノロジに応じたゲートレベルの素子の名
称と面積とが登録されたライブラリと、ハードウエア記
述言語で記述された該半導体集積回路の仕様、単純化し
た素子形状及び該半導体集積回路へ与えるクロックの特
性が入力され、該入力に基づいて論理設計を行うデータ
処理装置と、を有し、該データ処理装置は、該ライブラ
リに登録されている素子を用い、該仕様に基づいてゲー
トレベルの回路のネットリストを生成し、該ライブラリ
に登録されている該素子の面積と該素子形状とに基づい
て素子サイズを決定し、該ネットリストと該素子サイズ
とに基づいて、該半導体集積回路のチップに対応したフ
ロア上で該素子の自動配置及び素子間の自動配線をレイ
アウト設計段階よりも概略的に行い、該自動配置及び自
動配線の結果に基づいて信号遅延時間を求め、該信号遅
延時間と該クロックの特性とに基づいて該半導体集積回
路内のフリップフロップでの信号のタイミング解析を行
う。
A logic design apparatus according to a second aspect of the present invention is an apparatus for implementing the method of the first aspect of the present invention, in which the names and areas of gate-level elements corresponding to the technology of the semiconductor integrated circuit to be manufactured are registered. And a data processing device for inputting the specifications of the semiconductor integrated circuit described in the hardware description language, the simplified element shape, and the characteristics of the clock given to the semiconductor integrated circuit, and performing logic design based on the input And the data processing device uses the elements registered in the library to generate a netlist of gate-level circuits based on the specifications, and the area of the elements registered in the library. And an element size based on the element shape, and based on the netlist and the element size, the element size is determined on the floor corresponding to the chip of the semiconductor integrated circuit. Dynamic placement and automatic wiring between elements are performed more roughly than in the layout design stage, a signal delay time is obtained based on the result of the automatic placement and automatic wiring, and the signal delay time is calculated based on the characteristic of the signal delay time and the clock. Timing analysis of signals in flip-flops in a semiconductor integrated circuit is performed.

【0014】[0014]

【発明の実施の形態】以下、図面に基づいて本発明の一
実施形態を説明する。図1は、論理設計装置の概略構成
を示す。この設計は、マスタースライス方式のゲートア
レイやシーオブゲート等及びスタンダードセル方式につ
いて適用される。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the present invention will be described below with reference to the drawings. FIG. 1 shows a schematic configuration of a logic design device. This design is applied to a master slice type gate array, sea of gate, etc., and a standard cell type.

【0015】設計仕様10は、ハードウエア記述言語
(HDL)で記述された半導体集積回路の機能及び構造
についてのものである。設計仕様10には、以下のフロ
アプラニングで配置される素子の形状、例えば正方形又
は一方向の辺が固定長の矩形という情報が含まれてい
る。論理合成部11とフロアプラニング部12とは、同
一コンピュータ内にインストールされた異なるプログラ
ムに対応している。
The design specification 10 relates to the function and structure of a semiconductor integrated circuit described in a hardware description language (HDL). The design specification 10 includes information on the shape of elements arranged in the following floor planning, for example, a square or a rectangle whose sides in one direction have a fixed length. The logic synthesis unit 11 and the floor planning unit 12 correspond to different programs installed in the same computer.

【0016】ライブラリ13は、記憶装置に格納されて
いる。ライブラリ13には、製造される半導体集積回路
のテクノロジに応じた素子及びその面積が登録されてい
る。この面積は、例えば、レイアウト設計の際に使用さ
れるグリッドの枡目の面積を単位としており、フリップ
フロップ(FF)が100、インバータ(INV)が1
6、ナンドゲート(NAND)が25等となっている。
The library 13 is stored in the storage device. In the library 13, elements and their areas according to the technology of the semiconductor integrated circuit to be manufactured are registered. This area is, for example, based on the grid area used in layout design as a unit, and 100 flip-flops (FF) and 1 inverter (INV).
6. The number of NAND gates (NAND) is 25.

【0017】論理合成部11は、ライブラリ13に登録
された素子を用い、設計仕様10に基づいてゲートレベ
ルの回路を生成し、そのネットリストをフロアプラニン
グ部12に供給する。ネットリストは、階層構造を保持
しており、各機能ブロック内のネットリストと機能ブロ
ック間のネットリストとからなる。論理合成部11は、
設計仕様10に含まれている上記素子形状と、ライブラ
リ13に格納されている素子面積とから、素子サイズを
求め、これをフロアプラニング部12に供給する。例え
ば、シーオブゲートやスタンダードセル方式では素子形
状を正方形とし、図2(A)に示すパターン31〜33
のように素子サイズを決定する。また、ゲートアレイで
は、ベーシックセル列間が配線領域であるので、図2
(B)に示すパターン41〜43のように、ベーシック
セル列の幅方向の辺の長さが該列の幅に固定された素子
サイズを決定する。このように素子サイズを決定するの
は、素子形状を単純化して、フロアプラニングの処理時
間が長くなるのを避けるためである。素子の端子は、辺
上にあるとする。
The logic synthesis unit 11 uses the elements registered in the library 13 to generate a gate level circuit based on the design specification 10 and supplies the netlist to the floor planning unit 12. The netlist has a hierarchical structure and is composed of a netlist in each functional block and a netlist between the functional blocks. The logic synthesis unit 11
The element size is obtained from the element shape included in the design specification 10 and the element area stored in the library 13, and the element size is supplied to the floor planning unit 12. For example, in the sea of gate or standard cell method, the element shape is a square, and patterns 31 to 33 shown in FIG.
The element size is determined as follows. Further, in the gate array, since the wiring region is between the basic cell columns,
As in the patterns 41 to 43 shown in (B), the length of the side in the width direction of the basic cell row determines the element size fixed to the width of the row. The reason for determining the element size in this way is to simplify the element shape and prevent the floor planning processing time from becoming long. The terminals of the device are on the sides.

【0018】素子の面積と形状との組み合わせで素子サ
イズを決定することにより、ライブラリ13に登録すべ
き情報量を低減することができる。フロアプラニング部
12では、ネットリスト及び素子サイズに基づいて、半
導体集積回路のチップ表面に相当するフロア20上で概
略的に自動配置配線設計を行う。計算時間を短縮するた
めに、例えば、各機能ブロックは正方形であるとし、そ
のサイズを機能ブロック内の素子の面積の総和に定数を
乗じたものとする。まず、機能ブロックをフロア20上
に自動配置し、機能ブロック間をグローバル配線する。
次に、各機能ブロックについて、上記サイズの素子の自
動配置及び素子間の自動配線を行う。概略的な自動配置
配線設計では、計算時間を短縮するために、レイアウト
設計での自動配置配線のルールを弱くする。例えば、素
子間の部分的重なりを無視するというふうにルールを弱
くし、その替わりに、グリッド間隔を実配置(レイアウ
ト設計での配置)の場合よりも大きくする。
By determining the element size based on the combination of the area and shape of the element, the amount of information to be registered in the library 13 can be reduced. The floor planning unit 12 roughly performs automatic placement and routing design on the floor 20 corresponding to the chip surface of the semiconductor integrated circuit based on the netlist and the element size. In order to reduce the calculation time, for example, each functional block is assumed to be a square, and its size is obtained by multiplying the total area of the elements in the functional block by a constant. First, the functional blocks are automatically arranged on the floor 20, and global wiring is performed between the functional blocks.
Next, for each functional block, automatic placement of the elements of the above size and automatic wiring between the elements are performed. In the general automatic placement and routing design, the rule of the automatic placement and routing in the layout design is weakened in order to reduce the calculation time. For example, the rule is weakened by ignoring the partial overlap between elements, and instead, the grid spacing is made larger than in the case of actual arrangement (arrangement in layout design).

【0019】図1では、1つの機能ブロック内の一部の
フロアプラニングの結果を示す。Dフリップフロップ2
1、バッファゲート22、Dフリップフロップ23及び
24はこの順に縦続接続されている。外部端子に入力さ
れるクロックCK1及びCK2がそれぞれ配線L1及び
L2を介してDフリップフロップ21及び23のクロッ
ク入力端に供給される。Dフリップフロップ21とバッ
ファゲート22との間及びバッファゲート22とDフリ
ップフロップ23との間の配線をそれぞれL3及びL4
とする。Dフリップフロップ21のデータ入力端、デー
タ出力端及びクロック入力端での信号をそれぞれD1、
D2及びCK1’とする。また、Dフリップフロップ2
3のデータ入力端、データ出力端及びクロック入力端で
の信号をそれぞれD2’、D3及びCK2’とする。こ
れらの信号を図4に示す。
FIG. 1 shows the result of a part of floor planning within one functional block. D flip-flop 2
1, the buffer gate 22, the D flip-flops 23 and 24 are cascaded in this order. The clocks CK1 and CK2 input to the external terminals are supplied to the clock input terminals of the D flip-flops 21 and 23 via the wirings L1 and L2, respectively. Wirings between the D flip-flop 21 and the buffer gate 22 and between the buffer gate 22 and the D flip-flop 23 are L3 and L4, respectively.
And The signals at the data input terminal, the data output terminal and the clock input terminal of the D flip-flop 21 are D1 and
D2 and CK1 '. Also, the D flip-flop 2
The signals at the data input terminal, the data output terminal, and the clock input terminal of No. 3 are D2 ′, D3, and CK2 ′, respectively. These signals are shown in FIG.

【0020】なお、説明の簡単化のためにクロックCK
1及びCK2が外部端子に入力されるとしたが、クロッ
クCK2はクロックCK1を半導体集積回路内で分周し
たものであってもよい。フリップフロップに対するクロ
ックのトリガエッジは立ち上がりエッジであるとし、ク
ロックCK1及びCK2の周期をそれぞれTP1及びT
P2とし、クロックCK2のトリガエッジとクロックC
K1のトリガエッジの時間差をT11とする。周期TP
1、TP2及びトリガエッジ時間差T11は、外部端子
に入力するクロックCK1及びCK2の特性として、設
計仕様10に含まれている。
For simplification of explanation, the clock CK
Although 1 and CK2 are input to the external terminal, the clock CK2 may be the clock CK1 divided in the semiconductor integrated circuit. The trigger edge of the clock for the flip-flop is the rising edge, and the periods of the clocks CK1 and CK2 are TP1 and T, respectively.
P2, the trigger edge of clock CK2 and clock C
The time difference between the trigger edges of K1 is T11. Period TP
1, TP2 and the trigger edge time difference T11 are included in the design specification 10 as the characteristics of the clocks CK1 and CK2 input to the external terminals.

【0021】フロアプラニングにより配線長が定まり、
この配線長に単位長さ当たりの配線容量を乗ずることに
より配線容量が求まる。例えば、クロックCK1’とク
ロックCK1との時間差(遅延時間)Δt11は、配線
L1の配線容量とDフリップフロップ21のクロック入
力端の入力容量との和に基づいて求まる。遅延時間Δt
2は、クロックCK2’とクロックCK2との時間差で
ある。遅延時間Δt13は、配線L3とDフリップフロ
ップ21の入力容量とに基づく遅延時間と、バッファゲ
ート22の信号伝播遅延時間と、配線L4とDフリップ
フロップ23の入力容量とに基づく遅延時間との和であ
る。
The wiring length is determined by floor planning,
The wiring capacitance is obtained by multiplying the wiring length by the wiring capacitance per unit length. For example, the time difference (delay time) Δt11 between the clock CK1 ′ and the clock CK1 is obtained based on the sum of the wiring capacitance of the wiring L1 and the input capacitance of the clock input terminal of the D flip-flop 21. Delay time Δt
2 is the time difference between the clock CK2 'and the clock CK2. The delay time Δt13 is the sum of the delay time based on the wiring L3 and the input capacitance of the D flip-flop 21, the signal propagation delay time of the buffer gate 22, and the delay time based on the input capacitance of the wiring L4 and the D flip-flop 23. Is.

【0022】一般には、信号の立ち上がりの遅延時間と
立ち下がりの遅延時間とは互いに異なるが、フロアプラ
ニングで求めた遅延時間は近似的な値であるので、両者
は同一であるとする。ライブラリ13には、素子特性と
して、素子の入力容量及び信号伝播遅延時間、フリップ
フロップのセットアップタイムST及びホールドタイム
HTも格納されている。ここに、セットアップタイムS
Tとは、一般に、回路の第1端に入力する信号のトリガ
エッジの時点から前に信号レベルが変化しない時間が、
セットアップタイムSTより短ければ、動作が保証され
ないことを意味する時間である。ホールドタイムHTと
は、一般に、回路の第1端に入力する信号のトリガエッ
ジの時点から後に信号レベルが変化しない時間が、ホー
ルドタイムHTより短ければ、動作が保証されないこと
を意味する時間である。セットアップタイムST及びホ
ールドタイムHTは、どの範囲を対象の回路とみなすか
で異なる。フリップフロップの場合には、この第1端及
び第2端はそれぞれクロック入力端及びデータ入力端で
ある。
Generally, the delay time of the rising edge of the signal and the delay time of the falling edge of the signal are different from each other, but since the delay times obtained by the floor planning are approximate values, they are assumed to be the same. The library 13 also stores the input capacitance of the device, the signal propagation delay time, the setup time ST of the flip-flop, and the hold time HT as the device characteristics. Here is the setup time S
T is generally the time during which the signal level does not change before the trigger edge of the signal input to the first end of the circuit,
If it is shorter than the setup time ST, it means that the operation is not guaranteed. The hold time HT is generally a time that means that the operation is not guaranteed if the time during which the signal level does not change after the trigger edge of the signal input to the first end of the circuit is shorter than the hold time HT. . The setup time ST and the hold time HT differ depending on which range is regarded as a target circuit. In the case of a flip-flop, the first end and the second end are a clock input end and a data input end, respectively.

【0023】論理合成部11は、フロアプラニングの結
果に基づいてフリップフロップのタイミングの解析及び
調整を行う。次に、この処理を図3に基づいて説明す
る。理解を容易にするため、Dフリップフロップ23の
タイミングの解析及び調整を主に説明する。以下、括弧
内の数値は図中のステップ識別番号である。 (50)クロックCK1の周期TP1とクロックCK2
の周期TP2との最大公約数GCDを求める。例えば、
TP1=10ns、TP2=20nsの場合、GCD=
10nsである。
The logic synthesizer 11 analyzes and adjusts the timing of the flip-flops based on the floor planning result. Next, this process will be described with reference to FIG. For ease of understanding, the analysis and adjustment of the timing of the D flip-flop 23 will be mainly described. Hereinafter, numerical values in parentheses are step identification numbers in the figure. (50) Clock CK1 cycle TP1 and clock CK2
The greatest common divisor GCD with the period TP2 of is calculated. For example,
When TP1 = 10 ns and TP2 = 20 ns, GCD =
It is 10 ns.

【0024】(51〜53)トリガエッジ時間差T11
が0でなければ、S=T11、H=GCD−T11と
し、トリガエッジ時間差T11が0であれば、S=GC
D、H=0とする。時間S及びHは、クロックCK1及
びCK2の入力端から見たDフリップフロップ23のセ
ットアップタイムST及びホールドタイムHTに対応し
ている。そこで、信号遅延を考慮して時間S及びHを、
Dフリップフロップ23の入力端から見たセットアップ
タイムST及びホールドタイムHTに対応した時間T2
1及びT22に変換する必要がある。
(51-53) Trigger edge time difference T11
Is not 0, S = T11, H = GCD-T11, and if the trigger edge time difference T11 is 0, S = GC
Let D and H = 0. The times S and H correspond to the setup time ST and the hold time HT of the D flip-flop 23 seen from the input ends of the clocks CK1 and CK2. Therefore, considering the signal delay, the times S and H are
Time T2 corresponding to the setup time ST and the hold time HT viewed from the input end of the D flip-flop 23
1 and T22 need to be converted.

【0025】(54)この変換を行うため、クロックC
K1の入力端からDフリップフロップ23のデータ入力
端までの信号遅延時間とクロックCK2の入力端からD
フリップフロップ23のクロック入力端までの信号遅延
時間との差αを求める。遅延時間差αは、図4中の記号
を用いて次式で表される。 α=Δt11+Δt12+Δt13−Δt2 (1) 上記時間T21及びT22は、図4から明らかなよう
に、 T21(α)=S−α、T22(α)=H+α (2) となる。
(54) To perform this conversion, clock C
The signal delay time from the input end of K1 to the data input end of the D flip-flop 23 and from the input end of the clock CK2 to D
The difference α from the signal delay time to the clock input terminal of the flip-flop 23 is calculated. The delay time difference α is expressed by the following equation using the symbols in FIG. α = Δt11 + Δt12 + Δt13−Δt2 (1) The times T21 and T22 are T21 (α) = S−α and T22 (α) = H + α (2), as is apparent from FIG.

【0026】(55)この遅延時間差αは通常値である
が、電圧変動、温度変動及び半導体製造プロセスの条件
変動等に応じて変化する。この場合、クロック遅延時間
の変化の割合とデータ遅延時間の変化の割合とが異なる
とすると、両者が等しい場合よりも遅延時間差αの変化
が大きくなるので、タイミングエラーが生じ易くなる。
そこで、このような悪い条件下でのタイミングエラーを
検出するために、クロック遅延係数λとデータ遅延係数
μとを導入し、上式(1)の遅延時間差αを次式のよう
に書き換える。
(55) This delay time difference α is a normal value, but it changes according to voltage fluctuations, temperature fluctuations, fluctuations in semiconductor manufacturing process conditions, and the like. In this case, if the rate of change in the clock delay time and the rate of change in the data delay time are different, the change in the delay time difference α is larger than in the case where the two are the same, so that a timing error is likely to occur.
Therefore, in order to detect the timing error under such a bad condition, the clock delay coefficient λ and the data delay coefficient μ are introduced, and the delay time difference α in the above equation (1) is rewritten as the following equation.

【0027】 α=λ(Δt11−Δt2)+μ(Δt12+Δt13) (3) クロック遅延係数λとデータ遅延係数μとを、図5に示
すように変化させる。一般に、クロック遅延係数λが増
加する場合にはデータ遅延係数μも増加し、クロック遅
延係数λが減少する場合はデータ遅延係数μも減少する
と考えられる。そこで、λ−μ直交座標系において、点
P(1,1)を通常値とし、 点A(0.85,0.85) 点B(0.9 ,0.85) 点C(1.25,1.1 ) 点D(1.25,1.25) 点E(1.1 ,1.25) 点F(0.85,0.9 ) を順に直線で結んで得られる六角形ABCDEFを考
え、この六角形内でλ及びμが変化すると仮定する。点
A及びDはλ/μ=1であり、点B、C、E及びFはλ
/μ≠1である。一般に、λ/μ=1の場合よりもλ/
μ≠1の場合の方が、遅延時間差αの変動が大きいと考
えられるので、4点B、C、E及びFでタイミングエラ
ーが生じなければ六角形ABCDEF内でタイミングエ
ラーが生じないと推定する。
Α = λ (Δt11−Δt2) + μ (Δt12 + Δt13) (3) The clock delay coefficient λ and the data delay coefficient μ are changed as shown in FIG. Generally, when the clock delay coefficient λ increases, the data delay coefficient μ also increases, and when the clock delay coefficient λ decreases, the data delay coefficient μ also decreases. Therefore, in the λ-μ Cartesian coordinate system, the point P (1,1) is a normal value, and the point A (0.85,0.85) point B (0.9,0.85) point C (1.25 , 1.1) Point D (1.25, 1.25) Point E (1.1, 1.25) Point F (0.85, 0.9) is connected in order with a straight line to obtain a hexagon ABCDEF Consider, and assume that λ and μ vary within this hexagon. Points A and D have λ / μ = 1, points B, C, E and F have λ
/ Μ ≠ 1. In general, λ / is less than when λ / μ = 1
Since it is considered that the variation of the delay time difference α is larger when μ ≠ 1, it is estimated that the timing error does not occur in the hexagon ABCDEF unless the timing error occurs at the four points B, C, E and F. .

【0028】このようなことから、点B、C、E及びF
の4点の各々について遅延時間差αの値を求め、これに
対応してT21(α)及びT22(α)を求める。 (56)上記4点の遅延時間差αの各々について、タイ
ミングエラーチェックを行う。すなわち、この4点の遅
延時間差αの各々について T21(α)>ST及びT22(α)>HT (4) が成立すればタイミングエラーが生じないと判定してス
テップ58へ進み、そうでなければステップ57へ進
む。
From the above, points B, C, E and F
The value of the delay time difference α is obtained for each of the four points, and T21 (α) and T22 (α) are obtained correspondingly. (56) A timing error check is performed for each of the four delay time differences α. That is, if T21 (α)> ST and T22 (α)> HT (4) are satisfied for each of the four delay time differences α, it is determined that a timing error does not occur, and the process proceeds to step 58, and otherwise. Go to step 57.

【0029】(57)タイミングエラーの程度ST−T
21(α)及びH−T22(α)に応じて、バッファゲ
ート22のゲートサイズを変更し(バッファゲート22
の入力容量及び信号伝播時間を変更)、又は、配線L1
もしくは配線L2にバッファゲートを挿入することによ
り、タイミングエラーを解消する。タイミングエラーが
比較的小さい場合には、バッファサイズを変えることに
より解消する。
(57) Timing error degree ST-T
21 (α) and H-T22 (α), the gate size of the buffer gate 22 is changed (buffer gate 22
Change the input capacitance and signal propagation time of), or wiring L1
Alternatively, a timing error is eliminated by inserting a buffer gate in the wiring L2. If the timing error is relatively small, it can be resolved by changing the buffer size.

【0030】(58)トリガエッジ時間差T11には上
限値と下限値とがあり、以上の処理を、これら上限値と
下限値の各々について行う。例えば、トリガエッジ時間
差T11と(GBD−T11)の小さい方を下限値と
し、大きい方を上限値とし、又は、上下限値を設計仕様
10に記述しておく。設計仕様10で指定された全ての
フリップフロップについて、以上の処理を繰り返し行
う。例えばDフリップフロップ24のタイミングエラー
については、Dフリップフロップ23と24との組に対
し、上記のDフリップフロップ21と23との組でのD
フリップフロップ23のタイミングエラーの場合と同様
に行えばよい。Dフリップフロップ21のタイミングエ
ラーについては、その前段のフリップフロップとDフリ
ップフロップ21との組に対し、上記のDフリップフロ
ップ21と23との組でのDフリップフロップ23のタ
イミングエラーの場合と同様に行えばよい。
(58) The trigger edge time difference T11 has an upper limit value and a lower limit value, and the above processing is performed for each of the upper limit value and the lower limit value. For example, the smaller one of the trigger edge time differences T11 and (GBD-T11) is set as the lower limit value, the larger one is set as the upper limit value, or the upper and lower limit values are described in the design specification 10. The above process is repeated for all the flip-flops designated by the design specification 10. For example, regarding the timing error of the D flip-flop 24, the D flip-flop 23 and the pair of the D flip-flops 23 and 24 are different from the pair of the D flip-flops 21 and 23.
It may be performed in the same manner as in the case of the timing error of the flip-flop 23. The timing error of the D flip-flop 21 is the same as that of the timing error of the D flip-flop 23 in the pair of the D flip-flops 21 and 23 with respect to the pair of the flip-flop and the D flip-flop 21 in the preceding stage. You can go to

【0031】タイミング調整により回路構成が部分的に
変わるので、次に、フロア20上の回路からネットリス
トを抽出し、これを出力する。このネットリストに基づ
いて、不図示の構成によりレイアウト設計及びシミュレ
ーションが行われる。本実施形態では、論理設計段階に
おいて、概略のフロアプラニングを行い、かつ、その結
果に基づいてタイミングの解析を行ってタイミングエラ
ーを検出しているので、論理設計後に機能ブロックのサ
イズに応じた信号遅延を考慮して論理シミュレーション
を行うことによりタイミングエラーを検出していた従来
よりも、(1)タイミング調整が正確になり、論理設計
とレイアウト設計との繰り返し回数が低減され、結果と
して半導体集積回路の設計期間が短縮され、また、
(2)回路全体が複雑に絡みあった従来の論理シミュレ
ーションよりも遥かに短時間でタイミングエラーを検出
することができ、これらのことから、半導体集積回路の
設計期間が短縮される。
Since the circuit configuration is partially changed by the timing adjustment, next, a netlist is extracted from the circuit on the floor 20 and is output. Based on this netlist, layout design and simulation are performed with a configuration not shown. In the present embodiment, in the logic design stage, rough floor planning is performed, and timing analysis is performed based on the result to detect the timing error. (1) Timing adjustment is more accurate and the number of repetitions of logic design and layout design is reduced as compared with the conventional method in which a timing simulation is performed by performing a logic simulation in consideration of delay, resulting in a semiconductor integrated circuit. Shortened the design period of
(2) Timing errors can be detected in a much shorter time than in the conventional logic simulation in which the entire circuit is intricately entangled, which shortens the design period of the semiconductor integrated circuit.

【0032】さらに、タイミング解析において、電圧変
動、温度変動及び半導体製造プロセスの条件変動等でク
ロック遅延係数とデータ遅延係数とが異なると仮定して
タイミングエラーを検出しているので、タイミングエラ
ー検出漏れが低減され、半導体集積回路の信頼性が向上
する。この処理自体は簡単であるので、論理設計所要時
間には殆ど影響しない。
Further, in the timing analysis, since the timing error is detected on the assumption that the clock delay coefficient and the data delay coefficient are different due to voltage fluctuation, temperature fluctuation, semiconductor manufacturing process condition fluctuation, etc., timing error detection omission is made. Is reduced and the reliability of the semiconductor integrated circuit is improved. Since this process itself is simple, it hardly affects the logic design time.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施形態に係る論理設計装置の概略
構成図である。
FIG. 1 is a schematic configuration diagram of a logic design device according to an embodiment of the present invention.

【図2】素子サイズ決定方法説明図である。FIG. 2 is an explanatory diagram of an element size determination method.

【図3】タイミングの解析及び調整を示すフローチャー
トである。
FIG. 3 is a flowchart showing timing analysis and adjustment.

【図4】図1中に示すフロア上の回路のタイミングチャ
ートである。
FIG. 4 is a timing chart of a circuit on the floor shown in FIG.

【図5】タイミングエラーチェックにおいて用いられる
クロックとデータの遅延係数の変化を示す線図である。
FIG. 5 is a diagram showing changes in delay coefficients of clock and data used in timing error check.

【符号の説明】[Explanation of symbols]

10 設計仕様 11 論理合成部 12 フロアプラニング部 13 ライブラリ 20 フロア 21、23、24 Dフリップフロップ 22 バッファゲート 10 Design Specifications 11 Logic Synthesis Section 12 Floor Planning Section 13 Library 20 Floors 21, 23, 24 D Flip-Flop 22 Buffer Gate

─────────────────────────────────────────────────────
─────────────────────────────────────────────────── ───

【手続補正書】[Procedure amendment]

【提出日】平成8年5月9日[Submission date] May 9, 1996

【手続補正1】[Procedure amendment 1]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】全図[Correction target item name] All figures

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図1】 FIG.

【図2】 [Fig. 2]

【図5】 [Figure 5]

【図3】 [Figure 3]

【図4】 FIG. 4

───────────────────────────────────────────────────── フロントページの続き (72)発明者 杉岡 俊明 神奈川県川崎市中原区上小田中1015番地 富士通株式会社内 (72)発明者 林 久代 栃木県小山市城東3丁目28番1号 富士通 キャドテック株式会社内 (72)発明者 大川 正一 栃木県小山市城東3丁目28番1号 富士通 キャドテック株式会社内 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Toshiaki Sugioka 1015 Kamiodanaka, Nakahara-ku, Kawasaki City, Kanagawa Prefecture, Fujitsu Limited (72) Inventor, Hisashi Hayashi 3-28-1, Joto, Oyama City, Tochigi Prefecture Fujitsu Cadtech Co., Ltd. In-house (72) Inventor Shoichi Okawa 3-2-1, Joto, Oyama-shi, Tochigi Prefecture Fujitsu Cadtech Co., Ltd.

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 製造される半導体集積回路のテクノロジ
に応じたゲートレベルの素子の名称及び該素子の面積を
予めライブラリに登録しておき、ハードウエア記述言語
で記述された該半導体集積回路の仕様、単純化した素子
形状及び該半導体集積回路へ与えるクロックの特性をデ
ータ処理装置に入力し、該データ処理装置により、 該ライブラリに登録されている素子を用い、該仕様に基
づいてゲートレベルの回路のネットリストを生成し、該
ライブラリに登録されている該素子の面積と該素子形状
とに基づいて素子サイズを決定する論理合成工程と、 該ネットリストと該素子サイズとに基づいて、該半導体
集積回路のチップに対応したフロア上で該素子の自動配
置及び素子間の自動配線をレイアウト設計段階よりも概
略的に行うフロアプラニング工程と、 該自動配置及び自動配線の結果に基づいて信号遅延時間
を求め、該信号遅延時間と該クロックの特性とに基づい
て該半導体集積回路内のフリップフロップでの信号のタ
イミング解析を行うタイミング解析工程と、 を実行することを特徴とする論理設計方法。
1. The specifications of the semiconductor integrated circuit described in a hardware description language are registered in advance in the library with the names of the gate level elements and the areas of the elements according to the technology of the semiconductor integrated circuit to be manufactured. , A simplified element shape and characteristics of a clock to be given to the semiconductor integrated circuit are input to a data processing device, and the data processing device uses an element registered in the library, and a gate level circuit based on the specification. And a logic synthesis step of determining an element size based on the area of the element and the element shape registered in the library, and the semiconductor based on the netlist and the element size. A floor plan that performs automatic placement of the elements and automatic wiring between the elements on the floor corresponding to the chip of the integrated circuit more roughly than at the layout design stage. And a signal delay time is obtained based on the result of the automatic placement and the automatic wiring, and the timing analysis of the signal in the flip-flop in the semiconductor integrated circuit is performed based on the signal delay time and the characteristics of the clock. A logic design method characterized by executing a timing analysis step and.
【請求項2】 請求項1にさらに、 上記タイミング解析の結果に基づいて上記フロア上の回
路を修正することによりタイミングエラーを解消するタ
イミング調整工程と、 該フロア上のタイミング調整された半導体集積回路から
ネットリストを抽出するネットリスト抽出工程と、 を実行することを特徴とする論理設計方法。
2. A timing adjusting step of eliminating a timing error by correcting a circuit on the floor based on a result of the timing analysis, and a semiconductor integrated circuit on which the timing is adjusted on the floor. And a netlist extraction step of extracting a netlist from, and a logic design method characterized by:
【請求項3】 上記フロア上で第1フリップフロップの
出力が第2フリップフロップに供給され、第1点からの
第1クロック及び第2点からの第2クロックがそれぞれ
該第1フリップフロップ及び該第2フリップフロップの
クロック入力端へ供給され、 上記タイミング解析工程は、実質的に、 該第2点での該第2クロックのトリガエッジと該第1点
での該第1クロックのトリガエッジとの時間差である第
1時間差T11と、該第1クロックの周期と該第2クロ
ックの周期との最大公約数GDCと該トリガエッジ時間
差T11との差(GDC−T11)である第2時間差T
12とを求める第1工程と、 該第1点と該第2フリップフロップのデータ入力端との
間の信号遅延時間と、該第2点と該第2フリップフロッ
プのクロック入力端との間の信号遅延時間との差である
遅延時間差αを求める第2工程と、 第3時間(T11−α)及び第4時間(T12+α)を
求める第3工程と、 該第3時間及び該第4時間をそれぞれ該第2フリップフ
ロップの該セットアップ時間ST及び該ホールド時間H
Tと比較してタイミングエラーが生ずるか否かを判定す
る第4工程と、 を有することを特徴とする請求項1又は2記載の論理設
計方法。
3. The output of the first flip-flop is supplied to the second flip-flop on the floor, and the first clock from the first point and the second clock from the second point are respectively supplied to the first flip-flop and the second flip-flop. The second flip-flop is supplied to the clock input terminal, and the timing analysis step is substantially the same as the trigger edge of the second clock at the second point and the trigger edge of the first clock at the first point. And a second time difference T11 which is a difference (GDC-T11) between the greatest common divisor GDC between the cycle of the first clock and the cycle of the second clock and the trigger edge time difference T11.
12, a signal delay time between the first point and the data input terminal of the second flip-flop, and a signal delay time between the second point and the clock input terminal of the second flip-flop. The second step of obtaining the delay time difference α which is the difference from the signal delay time, the third step of obtaining the third time (T11−α) and the fourth time (T12 + α), the third time and the fourth time The setup time ST and the hold time H of the second flip-flop, respectively.
4. The logic design method according to claim 1, further comprising a fourth step of comparing with T to determine whether a timing error occurs.
【請求項4】 上記第2工程では、クロック遅延時間に
第1係数λを乗じデータ遅延時間に第2係数μを乗じ、
組(λ,μ)が物理的条件の変動に応じ変化すると仮定
して複数組の(λ,μ)の値についての上記遅延時間差
αの値を求め、 上記第3工程では、該遅延時間差αの複数の値の各々に
対応して上記第3時間(T11−α)及び上記第4時間
(T12+α)を求める、 ことを特徴とする請求項1乃至3のいずれか1つに記載
の論理設計方法。
4. In the second step, the clock delay time is multiplied by a first coefficient λ and the data delay time is multiplied by a second coefficient μ,
Assuming that the set (λ, μ) changes according to the change of the physical condition, the value of the delay time difference α with respect to the values of (λ, μ) of the plurality of sets is obtained, and in the third step, the delay time difference α is obtained. The logical design according to any one of claims 1 to 3, wherein the third time (T11-α) and the fourth time (T12 + α) are obtained corresponding to each of a plurality of values of Method.
【請求項5】 請求項1乃至4のいずれか1つに記載の
論理設計方法を実行して得られた論理設計結果に基づい
てレイアウト設計を行い、該レイアウト設計の結果に基
づいてマスクを製作し、該マスクを用いて半導体集積回
路を製造することを特徴とする半導体集積回路製造方
法。
5. A layout design is performed based on a logic design result obtained by executing the logic design method according to claim 1, and a mask is manufactured based on the result of the layout design. Then, a semiconductor integrated circuit is manufactured using the mask.
【請求項6】 製造される半導体集積回路のテクノロジ
に応じたゲートレベルの素子の名称と面積とが登録され
たライブラリと、 ハードウエア記述言語で記述された該半導体集積回路の
仕様、単純化した素子形状及び該半導体集積回路へ与え
るクロックの特性が入力され、該入力に基づいて論理設
計を行うデータ処理装置と、 を有し、該データ処理装置は、 該ライブラリに登録されている素子を用い、該仕様に基
づいてゲートレベルの回路のネットリストを生成し、該
ライブラリに登録されている該素子の面積と該素子形状
とに基づいて素子サイズを決定し、 該ネットリストと該素子サイズとに基づいて、該半導体
集積回路のチップに対応したフロア上で該素子の自動配
置及び素子間の自動配線をレイアウト設計段階よりも概
略的に行い、 該自動配置及び自動配線の結果に基づいて信号遅延時間
を求め、該信号遅延時間と該クロックの特性とに基づい
て該半導体集積回路内のフリップフロップでの信号のタ
イミング解析を行う、 ことを特徴とする論理設計装置。
6. A library in which the names and areas of gate-level elements corresponding to the technology of manufactured semiconductor integrated circuits are registered, and the specifications of the semiconductor integrated circuits described in a hardware description language are simplified. A data processing device for inputting an element shape and characteristics of a clock to be given to the semiconductor integrated circuit, and performing a logic design based on the input; and the data processing device uses an element registered in the library. , Generating a netlist of gate level circuits based on the specifications, determining an element size based on the area of the element and the element shape registered in the library, and determining the netlist and the element size. On the basis of the above, on the floor corresponding to the chip of the semiconductor integrated circuit, the automatic arrangement of the elements and the automatic wiring between the elements are roughly performed from the layout design stage, A signal delay time is obtained based on a result of automatic placement and automatic wiring, and timing analysis of a signal in a flip-flop in the semiconductor integrated circuit is performed based on the signal delay time and the characteristics of the clock. Logic design device.
JP8063592A 1996-03-19 1996-03-19 Method and device for designing logic, and production of semiconductor integrated circuit Withdrawn JPH09259154A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8063592A JPH09259154A (en) 1996-03-19 1996-03-19 Method and device for designing logic, and production of semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8063592A JPH09259154A (en) 1996-03-19 1996-03-19 Method and device for designing logic, and production of semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JPH09259154A true JPH09259154A (en) 1997-10-03

Family

ID=13233701

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8063592A Withdrawn JPH09259154A (en) 1996-03-19 1996-03-19 Method and device for designing logic, and production of semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JPH09259154A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020510389A (en) * 2017-08-18 2020-04-02 エルジー・ケム・リミテッド Customized BMS module and its design method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020510389A (en) * 2017-08-18 2020-04-02 エルジー・ケム・リミテッド Customized BMS module and its design method

Similar Documents

Publication Publication Date Title
US7239997B2 (en) Apparatus for statistical LSI delay simulation
US7117466B2 (en) System and method for correlated process pessimism removal for static timing analysis
US6698006B1 (en) Method for balanced-delay clock tree insertion
US7549134B1 (en) Method and system for performing crosstalk analysis
US7003741B2 (en) Method for determining load capacitance
US7555740B2 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-corner static timing analysis
US6289412B1 (en) Layout synopsizing process for efficient layout parasitic extraction and circuit simulation in post-layout verification
US6701507B1 (en) Method for determining a zero-skew buffer insertion point
US6278964B1 (en) Hot carrier effect simulation for integrated circuits
KR20090077692A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
US7587693B2 (en) Apparatus and method of delay calculation for structured ASIC
JPH0749903A (en) Simulation method and layout method of integrated circuit
US6701506B1 (en) Method for match delay buffer insertion
US6223328B1 (en) Wire processing method, wire processing equipment, and recording medium for wire processing program used in designing a large scale integrated circuit
CN112069763A (en) Method for correcting circuit
US8667435B1 (en) Function symmetry-based optimization for physical synthesis of programmable integrated circuits
US7082587B2 (en) Method of estimating path delays in an IC
US7975249B2 (en) Operation timing verifying apparatus and program
US5930147A (en) Design support system in which delay is estimated from HDL description
JP2001350810A (en) Library for designing semiconductor device
US6074429A (en) Optimizing combinational circuit layout through iterative restructuring
US20220391566A1 (en) Machine learning models for predicting detailed routing topology and track usage for accurate resistance and capacitance estimation for electronic circuit designs
US8818784B1 (en) Hardware description language (HDL) incorporating statistically derived data and related methods
US20220171910A1 (en) Modelling timing behavior using augmented sensitivity data for physical parameters
US6253354B1 (en) Method and apparatus for analyzing variations in source voltage of semiconductor device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20030603