JPH0860183A - Detergent composition for hard surface - Google Patents

Detergent composition for hard surface

Info

Publication number
JPH0860183A
JPH0860183A JP19237894A JP19237894A JPH0860183A JP H0860183 A JPH0860183 A JP H0860183A JP 19237894 A JP19237894 A JP 19237894A JP 19237894 A JP19237894 A JP 19237894A JP H0860183 A JPH0860183 A JP H0860183A
Authority
JP
Japan
Prior art keywords
group
acid
compound
reaction
mol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP19237894A
Other languages
Japanese (ja)
Inventor
Kazukuni Tsukuda
一訓 佃
Satoru Suzuki
哲 鈴木
Kozo Ohira
晃三 大平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kao Corp
Original Assignee
Kao Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kao Corp filed Critical Kao Corp
Priority to JP19237894A priority Critical patent/JPH0860183A/en
Publication of JPH0860183A publication Critical patent/JPH0860183A/en
Pending legal-status Critical Current

Links

Landscapes

  • Detergent Compositions (AREA)

Abstract

PURPOSE: To obtain a detergent composition for hard surfaces excellent in detergency and finishing performance, weakly stimulant to skin and containing a specific 2-hydroxypropanediamine derivative, a salt thereof or a quaternary derivative thereof, a metal ion sequestering agent, an alkali agent, a water soluble solvent, etc. CONSTITUTION: This detergent composition excellent in detergency and finishing performance and weakly stimulant to skin comprises 0.1-50wt.% of (A) a 2- hydroxypropanediamine derivative, its salt or a quaternary derivative thereof expressed by formula I [R<1> and R<2> are each a 6-36C alkyl or alkenyl; X is hydroxyl, a 1-6C alkyl or a substituted alkyl with sulfonic acid or carboxyl, or an alkenylene; Y<1> is sulfonic acid residue or sulfuric acid residue, Y<2> is hydroxyl, sulfuric acid residue or carboxyl; Y<2> is hydroxyl, sulfuric acid residue or a group expressed by formula II; (n) is 0 or 1] and 0.01-50wt.% of (B) >=1 agents selected from a metal ion sequestering agent, an alkali agent, a water soluble solvent, etc.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は硬質表面用洗浄剤組成
物、更に詳しくは、洗浄力、仕上り性に優れ、かつ皮膚
などに対する刺激の少ない硬質表面用洗浄剤組成物に関
する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a hard surface cleaner composition, and more particularly to a hard surface cleaner composition which is excellent in detergency and finish and has less irritation to the skin.

【0002】[0002]

【従来の技術】従来、硬質表面用洗浄剤組成物は、汚れ
の種類及び程度に応じて、以下のものが使い分けられて
いる。即ち、比較的汚れの程度の軽い手あか汚れ、未変
性油汚れに対しては界面活性剤/溶剤系の洗浄剤が、台
所回り等の熱、日光、空気中の酸素などの作用により変
質した油脂汚れ等には界面活性剤/溶剤/アルカリ剤系
の洗浄剤が主に用いられている。
2. Description of the Related Art Conventionally, as a cleaning composition for hard surfaces, the following ones are properly used according to the type and degree of stains. That is to say, for hand stains that are relatively lightly soiled and unmodified oil stains, a surfactant / solvent-based detergent is a fat and oil that has been altered by the effects of heat around the kitchen, sunlight, oxygen in the air, etc. A detergent / solvent / alkaline cleaner is mainly used for dirt and the like.

【0003】ところが、これらの洗浄剤の多くは使用時
において皮膚に対する刺激が強いという問題がある。こ
のような問題から、皮膚に対する刺激性の弱い界面活性
剤を配合した硬質表面用洗浄剤が種々開発されている。
However, many of these detergents have a problem that they are very irritating to the skin during use. Due to such problems, various detergents for hard surfaces have been developed, which contain a surfactant having a low irritation to the skin.

【0004】[0004]

【発明が解決しようとする課題】しかしながら、これら
従来の洗浄剤は、洗浄力と低皮膚刺激性の両者を充分に
満足できるものではなかった。従って、本発明の目的は
洗浄力、仕上り性に優れ、かつ皮膚に対する刺激性の弱
い硬質表面用洗浄剤組成物を提供することにある。
However, these conventional detergents have not been able to sufficiently satisfy both the detergency and the low skin irritation. Therefore, an object of the present invention is to provide a detergent composition for hard surfaces, which has excellent detergency and finish, and is less irritating to the skin.

【0005】[0005]

【課題を解決するための手段】かかる実情において、本
発明者は鋭意検討を行った結果、後記一般式(1)で表
わされる2−ヒドロキシプロパンジアミン誘導体に金属
イオン封鎖剤、アルカリ剤又は水溶性溶剤を配合すれ
ば、台所回り、トイレ回り、浴室内等の硬質表面の汚れ
に対する洗浄力に優れ、仕上り性が良好で、かつ皮膚に
対する刺激性のない優れた洗浄剤が得られることを見出
し、本発明を完成するに至った。
Under such circumstances, the present inventor has conducted diligent studies, and as a result, the 2-hydroxypropanediamine derivative represented by the following general formula (1) was added to a sequestering agent, an alkaline agent or a water-soluble agent. If a solvent is blended, it is found that a cleansing agent having excellent cleaning power for stains on hard surfaces such as kitchens, toilets, bathrooms, etc., good finish, and not irritating to the skin can be obtained, The present invention has been completed.

【0006】すなわち、本発明は、次の成分(A)及び
(B): (A)下記一般式(1);
That is, the present invention provides the following components (A) and (B): (A) the following general formula (1);

【0007】[0007]

【化3】 [Chemical 3]

【0008】〔式中、R1 及びR2 は同一又は異なって
炭素数6〜36の直鎖又は分岐鎖のアルキル又はアルケ
ニル基を示し、Xはヒドロキシル基、スルホン酸基又は
カルボキシル基が置換していてもよい炭素数1〜6のア
ルキレン又はアルケニレン基を示し、Y1 はスルホン酸
基、硫酸残基又はカルボキシル基を示し、Y2 はヒドロ
キシル基、硫酸残基又は下記式(2);
[In the formula, R 1 and R 2 are the same or different and each represents a linear or branched alkyl or alkenyl group having 6 to 36 carbon atoms, and X is substituted with a hydroxyl group, a sulfonic acid group or a carboxyl group. Optionally represents an alkylene or alkenylene group having 1 to 6 carbon atoms, Y 1 represents a sulfonic acid group, a sulfuric acid residue or a carboxyl group, Y 2 represents a hydroxyl group, a sulfuric acid residue or the following formula (2);

【0009】[0009]

【化4】 [Chemical 4]

【0010】で表わされる基を示し、nは0又は1を示
す〕で表わされる2−ヒドロキシプロパンジアミン誘導
体、その塩又はその4級化物、(B)金属イオン封鎖
剤、アルカリ剤及び水溶性溶剤から選ばれる1種又は2
種以上を含有する硬質表面用洗浄剤組成物を提供するも
のである。
A 2-hydroxypropanediamine derivative represented by a group represented by the formula, n is 0 or 1], a salt thereof or a quaternary compound thereof, (B) a sequestering agent, an alkali agent and a water-soluble solvent. 1 or 2 selected from
The present invention provides a hard surface cleaner composition containing one or more species.

【0011】成分(A)として用いられる2−ヒドロキ
シプロパンジアミン誘導体、その塩又はその4級化物は
洗浄活性成分として用いられるものであり、式(1)
中、R 1 及びR2 で示される炭素数6〜36の直鎖又は
分岐鎖のアルキル基としては、ヘキシル基、ヘプチル
基、オクチル基、ノニル基、デシル基、ウンデシル基、
ドデシル基、トリデシル基、テトラデシル基、ペンタデ
シル基、ヘキサデシル基、ヘプタデシル基、オクタデシ
ル基、ノナデシル基、エイコシル基、ヘンエイコシル
基、ドコシル基、トリコシル基、テトラコシル基などの
直鎖アルキル基及びこれらの分岐鎖アルキル基を挙げる
ことができる。また、炭素数6〜36の直鎖又は分岐鎖
のアルケニル基としては、ヘキセニル基、ヘプテニル
基、オクテニル基、ノネニル基、デセニル基、ドデセニ
ル基、ウンデセニル基、トリデセニル基、テトラデセニ
ル基、ペンタデセニル基、ヘキサデセニル基、ヘプタデ
セニル基、オクタデセニル基、ノナデセニル基、エイコ
セニル基、ヘンエイコセニル基、ドコセニル基、トリコ
セニル基、テトラコセニル基などの直鎖アルケニル基及
びこれらの分岐鎖アルケニル基を挙げることができる。
1 及びR2 としては、これらのなかでも炭素数6〜2
4のアルキル基又はアルケニル基が、特に炭素数6〜2
4のアルキル基が、更には炭素数6〜18のアルキル基
が好ましい。
2-hydroxy used as component (A)
Cypropanediamine derivative, its salt or its quaternary compound
It is used as a cleaning active ingredient and has the formula (1)
Medium, R 1And R2A straight chain having 6 to 36 carbon atoms or
Examples of the branched chain alkyl group include hexyl group and heptyl group.
Group, octyl group, nonyl group, decyl group, undecyl group,
Dodecyl group, tridecyl group, tetradecyl group, pentadecyl group
Syl group, hexadecyl group, heptadecyl group, octadecyl
Group, nonadecyl group, eicosyl group, heneicosyl group
Group, docosyl group, tricosyl group, tetracosyl group, etc.
List straight chain alkyl groups and these branched chain alkyl groups
be able to. In addition, a straight or branched chain having 6 to 36 carbon atoms
Examples of the alkenyl group include hexenyl group and heptenyl group.
Group, octenyl group, nonenyl group, decenyl group, dodecenyl
Group, undecenyl group, tridecenyl group, tetradecenyl group
Group, pentadecenyl group, hexadecenyl group, heptade
Cenyl group, octadecenyl group, nonadecenyl group, eico
Cenyl group, heneicosenyl group, docosenyl group, trico
Linear alkenyl groups such as cenyl and tetracocenyl groups
And these branched alkenyl groups.
R1And R2Among these, carbon numbers of 6 to 2
4 alkyl groups or alkenyl groups, especially 6 to 2 carbon atoms
4 alkyl group is further an alkyl group having 6 to 18 carbon atoms
Is preferred.

【0012】式(1)中、Xで示される炭素数1〜6の
アルキレン又はアルケニレン基としては、例えばメチレ
ン基、エチレン基、トリメチレン基、テトラメチレン
基、ペンタメチレン基、ヘキサメチレン基、メチルエチ
レン基、エチルエチレン基、エテニレン基、プロペニレ
ン基、ブテニレン基、ペンテニレン基、ヘキセニレン基
等が挙げられる。このうち炭素数1〜4のものが好まし
く、炭素数1〜3のものがより好ましく、メチレン基、
エチレン基、トリメチレン基、エテニレン基が特に好ま
しい。
Examples of the alkylene or alkenylene group having 1 to 6 carbon atoms represented by X in the formula (1) include methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group and methylethylene. Group, ethylethylene group, ethenylene group, propenylene group, butenylene group, pentenylene group, hexenylene group and the like. Of these, those having 1 to 4 carbon atoms are preferable, those having 1 to 3 carbon atoms are more preferable, and methylene group,
Particularly preferred are ethylene group, trimethylene group and ethenylene group.

【0013】これらのアルキレン又はアルケニレン基に
は、ヒドロキシル基(-OH)、スルホン酸基(-SO3H)又は
カルボキシル基(-COOH)が置換し得る(ただし、後述
するX1はヒドロキシル基又はカルボキシル基が置換し
得る)が、これらの置換基は1種又は2種以上を組み合
わせて1〜4個置換していてもよい。
A hydroxyl group (-OH), a sulfonic acid group (-SO 3 H) or a carboxyl group (-COOH) may be substituted on these alkylene or alkenylene groups (provided that X 1 described later is a hydroxyl group or Carboxyl group may be substituted), but 1 to 4 of these substituents may be substituted singly or in combination of two or more.

【0014】ヒドロキシル置換アルキレン又はアルケニ
レン基としては、1−ヒドロキシエチレン基、2−ヒド
ロキシエチレン基、1,2−ジヒドロキシエチレン基、
1−ヒドロキシトリメチレン基、2−ヒドロキシトリメ
チレン基、3−ヒドロキシトリメチレン基、1,2−ジ
ヒドロキシトリメチレン基、1,3−ジヒドロキシトリ
メチレン基、1,2,3−トリヒドロキシトリメチレン
基、1−ヒドロキシテトラメチレン基、2−ヒドロキシ
テトラメチレン基、3−ヒドロキシテトラメチレン基、
4−ヒドロキシテトラメチレン基、1,2−ジヒドロキ
シテトラメチレン基、1,3−ジヒドロキシテトラメチ
レン基、1,4−ジヒドロキシテトラメチレン基、2,
3−ジヒドロキシテトラメチレン基、2,4−ジヒドロ
キシテトラメチレン基、3,4−ジヒドロキシテトラメ
チレン基、1,2,3−トリヒドロキシテトラメチレン
基、2,3,4−トリヒドロキシテトラメチレン基、
1,3,4−トリヒドロキシテトラメチレン基、1,
2,3,4−テトラヒドロキシテトラメチレン基等が挙
げられるが、このうち1,2−ジヒドロキシエチレン
基、1−ヒドロキシエチレン基、2−ヒドロキシエチレ
ン基、2−ヒドロキシトリメチレン基が特に好ましい。
The hydroxyl-substituted alkylene or alkenylene group includes 1-hydroxyethylene group, 2-hydroxyethylene group, 1,2-dihydroxyethylene group,
1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1,2-dihydroxytrimethylene group, 1,3-dihydroxytrimethylene group, 1,2,3-trihydroxytrimethylene group , 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group,
4-hydroxytetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,
3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, 3,4-dihydroxytetramethylene group, 1,2,3-trihydroxytetramethylene group, 2,3,4-trihydroxytetramethylene group,
1,3,4-trihydroxytetramethylene group, 1,
Examples thereof include a 2,3,4-tetrahydroxytetramethylene group, and among these, a 1,2-dihydroxyethylene group, a 1-hydroxyethylene group, a 2-hydroxyethylene group, and a 2-hydroxytrimethylene group are particularly preferable.

【0015】スルホン酸基置換アルキレン又はアルケニ
レン基としては、1−スルホエチレン基、2−スルホエ
チレン基、1−スルホトリメチレン基、2−スルホトリ
メチレン基、3−スルホトリメチレン基、1−スルホテ
トラメチレン基、2−スルホテトラメチレン基、3−ス
ルホテトラメチレン基、4−スルホテトラメチレン基、
1,3−ジスルホテトラメチレン基、1,4−ジスルホ
テトラメチレン基、2,3−ジスルホテトラメチレン
基、2,4−ジスルホテトラメチレン基等が挙げられる
が、このうち特に1−スルホエチレン基、2−スルホエ
チレン基が特に好ましい。
The sulfonic acid group-substituted alkylene or alkenylene group includes 1-sulfoethylene group, 2-sulfoethylene group, 1-sulfotrimethylene group, 2-sulfotrimethylene group, 3-sulfotrimethylene group, 1-sulfo group. Tetramethylene group, 2-sulfotetramethylene group, 3-sulfotetramethylene group, 4-sulfotetramethylene group,
Examples thereof include a 1,3-disulfotetramethylene group, a 1,4-disulfotetramethylene group, a 2,3-disulfotetramethylene group and a 2,4-disulfotetramethylene group, and among them, 1- A sulfoethylene group and a 2-sulfoethylene group are particularly preferable.

【0016】カルボキシル基が置換したアルキレン又は
アルケニレン基としては、1−カルボキシエチレン基、
2−カルボキシエチレン基、1−カルボキシトリメチレ
ン基、2−カルボキシトリメチレン基、3−カルボキシ
トリメチレン基、1−カルボキシテトラメチレン基等が
挙げられる。
The alkylene or alkenylene group substituted with a carboxyl group is a 1-carboxyethylene group,
Examples thereof include a 2-carboxyethylene group, a 1-carboxytrimethylene group, a 2-carboxytrimethylene group, a 3-carboxytrimethylene group and a 1-carboxytetramethylene group.

【0017】ヒドロキシル基及びカルボキシル基が置換
したアルキレン又はアルケニレン基としては、2−カル
ボキシ−1−ヒドロキシトリメチレン基、2−カルボキ
シ−1,3−ジヒドロキシトリメチレン基、2−カルボ
キシ−2−ヒドロキシトリメチレン基、3−カルボキシ
−2,4−ジヒドロキシテトラメチレン基等を挙げるこ
とができる。これらのなかでも2−カルボキシ−2−ヒ
ドロキシトリメチレン基が好ましい。
Examples of the alkylene or alkenylene group substituted with a hydroxyl group and a carboxyl group include 2-carboxy-1-hydroxytrimethylene group, 2-carboxy-1,3-dihydroxytrimethylene group and 2-carboxy-2-hydroxytrimethylene group. Examples thereof include a methylene group and a 3-carboxy-2,4-dihydroxytetramethylene group. Among these, 2-carboxy-2-hydroxytrimethylene group is preferable.

【0018】ヒドロキシル基及びスルホン酸基が置換し
たアルキレン又はアルケニレン基としては、1−ヒドロ
キシ−2−スルホエチレン基、2−ヒドロキシ−1−ス
ルホエチレン基、1−ヒドロキシ−2−スルホトリメチ
レン基、1−ヒドロキシ−3−スルホトリメチレン基、
2−ヒドロキシ−1−スルホトリメチレン基、2−ヒド
ロキシ−3−スルホトリメチレン基、1,2−ジヒドロ
キシ−3−スルホトリメチレン基、1,3−ジヒドロキ
シ−2−スルホトリメチレン基、1−ヒドロキシ−2−
スルホテトラメチレン基、1−ヒドロキシ−4−スルホ
テトラメチレン基、2−ヒドロキシ−4−スルホテトラ
メチレン基、3−ヒドロキシ−4−スルホテトラメチレ
ン基等が挙げられる。
Examples of the alkylene or alkenylene group substituted with a hydroxyl group and a sulfonic acid group include 1-hydroxy-2-sulfoethylene group, 2-hydroxy-1-sulfoethylene group, 1-hydroxy-2-sulfotrimethylene group, 1-hydroxy-3-sulfotrimethylene group,
2-hydroxy-1-sulfotrimethylene group, 2-hydroxy-3-sulfotrimethylene group, 1,2-dihydroxy-3-sulfotrimethylene group, 1,3-dihydroxy-2-sulfotrimethylene group, 1- Hydroxy-2-
Examples thereof include a sulfotetramethylene group, a 1-hydroxy-4-sulfotetramethylene group, a 2-hydroxy-4-sulfotetramethylene group and a 3-hydroxy-4-sulfotetramethylene group.

【0019】上記一般式(1)で表わされる化合物は、
スルホン酸基(-SO3H)、硫酸残基(-OSO3H)又はカル
ボキシル基(-COOH)を有するので種々の塩基性物質と
の間に塩を形成し得る。例えばアルカリ金属塩、アルカ
リ土類金属塩、アミン塩、塩基性アミノ酸塩、アンモニ
ウム塩等を挙げることができる。具体的には、ナトリウ
ム、カリウム、リチウム、マグネシウム、カルシウム、
トリメチルアミン、トリエチルアミン、トリブチルアミ
ン、モノエタノールアミン、ジエタノールアミン、トリ
エタノールアミン、リジン、アルギニン、コリン、アン
モニア等との塩を挙げることができ、これらのなかでも
アルカリ金属塩、特にナトリウム塩が好ましい。なお、
化合物(1)は、第3級アミノ基を有するので、プロト
ンが第3級アミノ基の窒素原子上に配位して当該第3級
アミノ基がアンモニウムカチオンとなり、スルホン酸
基、硫酸残基又はカルボキシル基がスルホン酸アニオ
ン、硫酸アニオン又はカルボキシアニオンとなった4級
塩構造をとっていることもある。
The compound represented by the general formula (1) is
Sulfonic acid group (-SO 3 H), can form salts between the various basic substances because it has a sulfuric acid residue (-OSO 3 H) or carboxyl group (-COOH). Examples thereof include alkali metal salts, alkaline earth metal salts, amine salts, basic amino acid salts, ammonium salts and the like. Specifically, sodium, potassium, lithium, magnesium, calcium,
Examples thereof include salts with trimethylamine, triethylamine, tributylamine, monoethanolamine, diethanolamine, triethanolamine, lysine, arginine, choline, ammonia and the like, and among these, alkali metal salts, particularly sodium salts are preferable. In addition,
Since the compound (1) has a tertiary amino group, the proton is coordinated on the nitrogen atom of the tertiary amino group, the tertiary amino group becomes an ammonium cation, and a sulfonic acid group, a sulfuric acid residue or The carboxyl group may have a quaternary salt structure in which a sulfonate anion, a sulfate anion or a carboxy anion is formed.

【0020】また、化合物(1)は、必要により第4級
化物とすることもでき、具体的には式(1)中の2個の
窒素原子が第4級化された化合物(1f)が挙げられ
る。
If necessary, the compound (1) can be made into a quaternized compound. Specifically, the compound (1f) in which two nitrogen atoms in the formula (1) are quaternized is used. Can be mentioned.

【0021】[0021]

【化5】 [Chemical 5]

【0022】〔式中、R3 及びR4 はスルホン酸基、カ
ルボキシル基もしくはヒドロキシル基が置換してもよい
炭素数1〜6のアルキル又はアルケニル基、ベンジル基
又は-(R5O)mH(ここでR5 は炭素数2〜4のアルキレン
基を、mは1〜50の数を示す)を示す〕
[In the formula, R 3 and R 4 are a sulfonic acid group, an alkyl or alkenyl group having 1 to 6 carbon atoms which may be substituted with a carboxyl group or a hydroxyl group, a benzyl group or-(R 5 O) m H (Here, R 5 represents an alkylene group having 2 to 4 carbon atoms, and m represents a number of 1 to 50).

【0023】ここで、水酸基、カルボキシル基、スルホ
ン酸基が置換していてもよい炭素数1〜6のアルキル基
としては、例えばメチル基、エチル基、プロピル基、イ
ソプロピル基、ブチル基、ペンチル基、ヘキシル基、ヒ
ドロキシエチル基、1,2−ジヒドロキシプロピル基、
カルボキシメチル基、2−ヒドロキシ−3−スルホプロ
ピル基等が挙げられる。基-(R5O)mHで示される基として
は、具体的には、ポリオキシエチレン基、ポリオキシプ
ロピレン基等が例示され、就中m=1〜20のものが好
ましい。なお、化合物(1)の第4級化物は、式(1)
中のnが0の場合に存在し得るものである。
Examples of the alkyl group having 1 to 6 carbon atoms which may be substituted with a hydroxyl group, a carboxyl group or a sulfonic acid group include, for example, a methyl group, an ethyl group, a propyl group, an isopropyl group, a butyl group and a pentyl group. , Hexyl group, hydroxyethyl group, 1,2-dihydroxypropyl group,
Examples thereof include a carboxymethyl group and a 2-hydroxy-3-sulfopropyl group. Specific examples of the group represented by the group — (R 5 O) m H include a polyoxyethylene group and a polyoxypropylene group, with m = 1 to 20 being preferred. The quaternary compound of the compound (1) has the formula (1)
It can exist when n in is 0.

【0024】化合物(1)は、例えば次の反応a〜反応
cに従って製造される。
The compound (1) is produced, for example, according to the following reactions a to c.

【0025】[0025]

【化6】 [Chemical 6]

【0026】〔式中、A1 及びA2 はハロゲン原子を示
し、X1 はヒドロキシル基又はカルボキシル基が置換し
ていてもよい炭素数1〜6のアルキレン又はアルケニレ
ン基を示し、Y3 はスルホン酸基又はカルボキシル基を
示し、R1 及びR2 は前記と同じ〕
[Wherein A 1 and A 2 represent a halogen atom, X 1 represents an alkylene or alkenylene group having 1 to 6 carbon atoms which may be substituted with a hydroxyl group or a carboxyl group, and Y 3 represents a sulfone. An acid group or a carboxyl group, and R 1 and R 2 are the same as above]

【0027】すなわち、2つのアミン((3)及び
(4))とエピハロヒドリン(5)との反応により得ら
れるアミン誘導体(6)に、化合物(7)又はその塩を
反応させれば化合物(1a)が製造される。
That is, the amine derivative (6) obtained by the reaction of two amines ((3) and (4)) with epihalohydrin (5) is reacted with compound (7) or a salt thereof to give compound (1a). ) Is manufactured.

【0028】2つのアミンとエピハロヒドリンとの反応
は、例えば米国特許第3017258号又は同第365
4158号に従って行えばよい。
Reactions of two amines with epihalohydrin are described, for example, in US Pat. No. 3,017,258 or 365.
Follow No. 4158.

【0029】アミン誘導体(6)と化合物(7)又はそ
の塩との反応は、例えば不活性溶媒の存在下20〜15
0℃、好ましくは40〜100℃で、アミン誘導体
(6)に対し、1〜10倍モル、好ましくは2〜5倍モ
ルの化合物(7)又はその塩を反応させることによって
行われる。ここで化合物(7)のA2 で示されるハロゲ
ン原子としては、例えば塩素原子、臭素原子、ヨウ素原
子が挙げられ、このうち塩素原子がより好ましい。化合
物(7)又はその塩の具体例としては、クロロ酢酸ナト
リウム、3−クロロ−2−ヒドロキシプロパンスルホン
酸ナトリウム、3−クロロプロピオン酸ナトリウム、4
−クロロ−n−酪酸ナトリウム等が挙げられ、このうち
クロロ酢酸ナトリウム、3−クロロ−2−ヒドロキシプ
ロパンスルホン酸ナトリウムがより好ましい。また、こ
こで用いる不活性溶媒としては、例えば、水、メタノー
ル、エタノール、イソプロパノール、ジメチルホルムア
ミド、ジメチルスルホキシド等の極性溶媒等が挙げら
れ、これらは1種又は2種以上を組み合わせて用いるこ
とができるが、就中、水、低級アルコール又は水と低級
アルコールの混合溶媒が好ましい。
The reaction of the amine derivative (6) with the compound (7) or a salt thereof is carried out, for example, in the presence of an inert solvent at 20-15.
The reaction is carried out at 0 ° C., preferably 40 to 100 ° C., by reacting the amine derivative (6) with 1 to 10 times mol, preferably 2 to 5 times mol of the compound (7) or a salt thereof. Here, examples of the halogen atom represented by A 2 of the compound (7) include a chlorine atom, a bromine atom and an iodine atom, and among these, a chlorine atom is more preferable. Specific examples of the compound (7) or a salt thereof include sodium chloroacetate, sodium 3-chloro-2-hydroxypropanesulfonate, sodium 3-chloropropionate, and 4
-Chloro-n-butyric acid sodium etc. are mentioned, Of these, sodium chloroacetate and sodium 3-chloro-2-hydroxypropane sulfonate are more preferable. Examples of the inert solvent used herein include polar solvents such as water, methanol, ethanol, isopropanol, dimethylformamide, and dimethylsulfoxide, and these can be used alone or in combination of two or more. However, among them, water, a lower alcohol or a mixed solvent of water and a lower alcohol is preferable.

【0030】なお、本反応においてアミン誘導体(6)
に対して化合物(7)を過剰に使用すると下記の第4級
化物(1f′)が生成する。
In this reaction, the amine derivative (6)
On the other hand, when the compound (7) is used in excess, the following quaternary compound (1f ') is produced.

【0031】[0031]

【化7】 [Chemical 7]

【0032】〔式中、R1 、R2 、X1 及びY3 は前記
と同じ〕
[Wherein R 1 , R 2 , X 1 and Y 3 are the same as above]

【0033】この反応終了後、反応混合物中には目的と
する化合物(1a)の他、無機塩、未反応のアミン誘導
体(6)、アミン誘導体(6)に化合物(7)が1モル
付加した化合物及び未反応の化合物(7)が含まれてい
る場合がある。この際には、反応混合物をそのまま使用
できる場合を除き、次の方法により精製することができ
る。精製方法は、例えば溶媒分別法、イオン交換クロマ
トグラフィー法、再結晶法、電気透析法等の常法を採用
できる。また、得られた目的物は遊離塩基として単離し
てもよいが、所望の塩基物質で中和する等の通常の手段
により塩交換を行い、所望の塩の形態で単離してもよ
い。
After the completion of this reaction, 1 mol of the compound (7) was added to the reaction mixture, in addition to the desired compound (1a), an inorganic salt, unreacted amine derivative (6) and amine derivative (6). The compound and unreacted compound (7) may be contained. In this case, the reaction mixture can be purified by the following method, unless the reaction mixture can be used as it is. As a purification method, for example, a conventional method such as a solvent fractionation method, an ion exchange chromatography method, a recrystallization method or an electrodialysis method can be adopted. The obtained target product may be isolated as a free base, or may be isolated in the form of a desired salt by salt exchange by a usual means such as neutralization with a desired base substance.

【0034】[0034]

【化8】 Embedded image

【0035】〔式中、R6 及びR7 は同一又は異なっ
て、水素原子、ヒドロキシル基又はカルボキシル基が置
換していてもよいアルキル基又はアルケニル基を示し、
2′はヒドロキシル基又は硫酸残基を示し、R1 、R
2 及びX1 は前記と同じ〕
[In the formula, R 6 and R 7 are the same or different and each represents an alkyl group or an alkenyl group which may be substituted with a hydrogen atom, a hydroxyl group or a carboxyl group,
Y 2 ′ represents a hydroxyl group or a sulfuric acid residue, and R 1 , R
2 and X 1 are the same as above]

【0036】すなわち、アミン誘導体(6)にエポキシ
化合物(8)を反応させ、得られるアミノアルコール
(9)に硫酸エステル化剤(10)を反応させ、所望に
より塩基性物質で中和することにより、化合物(1b)
が製造される。
That is, the amine derivative (6) is reacted with the epoxy compound (8), the resulting amino alcohol (9) is reacted with the sulfuric acid esterifying agent (10), and neutralized with a basic substance if desired. , Compound (1b)
Is manufactured.

【0037】アミン誘導体(6)とエポキシ化合物
(8)との反応は、例えば不活性溶媒中、好ましくは1
00〜200℃、特に好ましくは130〜180℃で、
アミン誘導体(6)に対して、エポキシ化合物(8)を
2〜5倍モル反応させることにより行うのが好ましい。
この反応で使用する不活性溶媒としては、非プロトン性
の溶媒であれば特に制限されるものではないが、価格や
溶解性の点を考慮すると、低級炭化水素類、芳香族炭化
水素類、エーテル類、ハロ炭化水素類などが好ましい。
また、この反応は、エポキシ化合物(8)及び使用した
不活性溶媒の沸点を考慮して、オートクレーブなどの耐
圧容器内で行うことが好ましい。エポキシ化合物(8)
としては、安価であることからエチレンオキシド、プロ
ピレンオキシド、ブチレンオキシドが好ましく、特にエ
チレンオキシドが好ましい。
The reaction between the amine derivative (6) and the epoxy compound (8) is carried out, for example, in an inert solvent, preferably 1
0 to 200 ° C, particularly preferably 130 to 180 ° C,
It is preferably carried out by reacting the amine derivative (6) with the epoxy compound (8) in a molar amount of 2 to 5 times.
The inert solvent used in this reaction is not particularly limited as long as it is an aprotic solvent, but in view of price and solubility, lower hydrocarbons, aromatic hydrocarbons, ethers And halohydrocarbons are preferred.
Further, this reaction is preferably carried out in a pressure resistant vessel such as an autoclave in consideration of the boiling points of the epoxy compound (8) and the inert solvent used. Epoxy compound (8)
As these, ethylene oxide, propylene oxide, and butylene oxide are preferable because they are inexpensive, and ethylene oxide is particularly preferable.

【0038】次に、このようにして得られたアミノアル
コール(10)とClSO3HやSO3等の硫酸エステル化剤
(10)との反応は、不活性溶媒中又は無溶媒で、−7
5℃〜150℃の温度範囲で行うのが好ましい。ClSO3H
やSO3の使用量は、アミノアルコール(10)に対して
1〜3倍モルであるのが好ましい。また、この反応終了
後、必要に応じて行う中和反応では、目的とする中和度
に応じて所望量の水酸化ナトリウム、水酸化カリウム、
水酸化リチウム、水酸化マグネシウム、水酸化カルシウ
ム、アンモニア、トリメチルアミン、トリエチルアミ
ン、トリブチルアミン、アルカノールアミン(モノエタ
ノールアミン、ジエタノールアミン、トリエタノールア
ミン等)、リジン、アルギニン、コリンなどの塩基物
質、好ましくは水酸化ナトリウム、水酸化カリウム等の
アルカリ金属の水酸化物を反応させることにより行うこ
とができる。
Then, the reaction of the amino alcohol (10) thus obtained with the sulfuric acid esterifying agent (10) such as ClSO 3 H or SO 3 is carried out in an inert solvent or without a solvent at -7.
It is preferably carried out in the temperature range of 5 ° C to 150 ° C. ClSO 3 H
The amount of or SO 3 used is preferably 1 to 3 times the mol of the amino alcohol (10). In addition, after the completion of this reaction, in a neutralization reaction performed as necessary, a desired amount of sodium hydroxide, potassium hydroxide, or the like depending on the desired degree of neutralization.
Basic substances such as lithium hydroxide, magnesium hydroxide, calcium hydroxide, ammonia, trimethylamine, triethylamine, tributylamine, alkanolamines (monoethanolamine, diethanolamine, triethanolamine, etc.), lysine, arginine, choline, etc., preferably hydroxylated It can be carried out by reacting an alkali metal hydroxide such as sodium or potassium hydroxide.

【0039】なお、上記反応中、アミン誘導体(6)と
エポキシ化合物(8)との反応においては、少量の次
式;
In the reaction between the amine derivative (6) and the epoxy compound (8) in the above reaction, a small amount of the following formula:

【0040】[0040]

【化9】 [Chemical 9]

【0041】で表わされる化合物が副生し、更にアミノ
アルコール(9)と硫酸エステル化剤(10)との反応
においては、次式;
In the reaction of the amino alcohol (9) with the sulfuric acid esterifying agent (10), the compound represented by

【0042】[0042]

【化10】 [Chemical 10]

【0043】で表わされる化合物が副生する場合があ
る。この反応生成物はそのまま各種の用途に使用するこ
ともできるが、より高純度のものが必要な場合には、通
常の方法、例えば再結晶、カラムクロマトグラフィー、
蒸留等により精製して用いることもできる。
The compound represented by may be produced as a by-product. This reaction product can be used as it is for various uses, but when a higher purity one is required, an ordinary method such as recrystallization, column chromatography,
It can also be used after being purified by distillation or the like.

【0044】[0044]

【化11】 [Chemical 11]

【0045】〔式中、R8 は水素原子又は置換基を有し
ていてもよいアルキル基を示し、R1、R2 、X1 及び
2′は前記と同じ〕
[In the formula, R 8 represents a hydrogen atom or an alkyl group which may have a substituent, and R 1 , R 2 , X 1 and Y 2 ′ are the same as the above]

【0046】すなわち、アミン誘導体(6)にラクトン
類又はオキシカルボン酸類を反応させ、得られるアミド
アルコール(11)に硫酸エステル化剤(10)を反応
させ、所望により塩基性物質で中和することにより化合
物(1c)が製造される。
That is, the amine derivative (6) is reacted with a lactone or an oxycarboxylic acid, the resulting amide alcohol (11) is reacted with a sulfuric acid esterifying agent (10), and, if desired, neutralized with a basic substance. To produce compound (1c).

【0047】アミン誘導体(6)とラクトン類又はオキ
シカルボン酸類との反応は、例えば不活性溶媒中又は無
溶媒で、好ましくは20〜180℃、特に好ましくは4
0〜150℃で、アミン誘導体(6)に対して、ラクト
ン類又はオキシカルボン酸類を2〜5倍モル反応させる
ことにより行うのが好ましい。この反応で使用する不活
性溶媒としては、非プロトン性の溶媒であれば特に制限
されるものではないが、価格や溶解性の点を考慮する
と、低級炭化水素類、芳香族炭化水素類、エーテル類、
ハロ炭化水素類等が好ましい。この反応に用いるラクト
ン類及びオキシカルボン酸類としては、安価であること
から、γ−ラクトン、δ−ラクトン、グリコール酸、乳
酸、α−ヒドロキシ酸及びこれらのメチルエステル、エ
チルエステルなどが好ましい。
The reaction of the amine derivative (6) with a lactone or an oxycarboxylic acid is carried out, for example, in an inert solvent or without a solvent, preferably 20 to 180 ° C., particularly preferably 4
It is preferable to carry out the reaction by reacting the amine derivative (6) with a lactone or oxycarboxylic acid in a molar amount of 2 to 5 times at 0 to 150 ° C. The inert solvent used in this reaction is not particularly limited as long as it is an aprotic solvent, but in view of price and solubility, lower hydrocarbons, aromatic hydrocarbons, ethers Kind,
Halohydrocarbons and the like are preferable. As the lactones and oxycarboxylic acids used in this reaction, γ-lactone, δ-lactone, glycolic acid, lactic acid, α-hydroxy acid and their methyl esters, ethyl esters and the like are preferable because they are inexpensive.

【0048】このようにして得られたアミドアルコール
(11)とClSO3HやSO3等の硫酸エステル化剤(10)
との反応は、不活性溶媒中又は無溶媒で、−75℃〜1
50℃の温度範囲で行うのが好ましい。ClSO3HやSO3
使用量は、アミドアルコール(11)に対して1〜3倍
モルであるのが好ましい。また、この反応終了後、必要
に応じて行う中和反応は、前記反応bの場合と同様に行
うことができる。
The amide alcohol (11) thus obtained and a sulfuric acid esterifying agent (10) such as ClSO 3 H or SO 3
The reaction with is carried out in an inert solvent or without a solvent at -75 ° C to 1
It is preferably carried out in the temperature range of 50 ° C. The amount of ClSO 3 H or SO 3 used is preferably 1 to 3 times the mol of the amide alcohol (11). After the completion of this reaction, the neutralization reaction, which is carried out if necessary, can be carried out in the same manner as in the case of the reaction b.

【0049】なお、上記反応中、アミン誘導体(6)と
ラクトン類又はオキシカルボン酸類との反応において
は、少量の次式;
In the reaction of the amine derivative (6) with lactones or oxycarboxylic acids during the above reaction, a small amount of the following formula;

【0050】[0050]

【化12】 [Chemical 12]

【0051】で表わされる化合物が副生し、更にアミド
アルコール(11)と硫酸エステル化剤との反応におい
ては、次式;
A compound represented by the following formula is formed as a by-product, and in the reaction between the amide alcohol (11) and the sulfuric acid esterifying agent, the following formula:

【0052】[0052]

【化13】 [Chemical 13]

【0053】で表わされる化合物が副生する場合があ
る。この反応生成物はそのまま各種の用途に使用するこ
ともできるが、より高純度のものが必要な場合には、通
常の方法、例えば再結晶、カラムクロマトグラフィー、
蒸留等により精製して用いることもできる。
The compound represented by may be produced as a by-product. This reaction product can be used as it is for various uses, but when a higher purity one is required, an ordinary method such as recrystallization, column chromatography,
It can also be used after being purified by distillation or the like.

【0054】[0054]

【化14】 Embedded image

【0055】〔式中、R9 及びR10は水素原子、置換基
を有していてもよいアルキル基又はアルケニル基を示
し、X2 はヒドロキシル基又はカルボキシル基が置換し
ていてもよくスルホン酸基が置換している炭素数1〜6
のアルキレン基を示し、Y2″はヒドロキシル基又は下
記式(12);
[In the formula, R 9 and R 10 represent a hydrogen atom, an alkyl group or an alkenyl group which may have a substituent, and X 2 represents a sulfonic acid which may be substituted by a hydroxyl group or a carboxyl group. 1 to 6 carbon atoms substituted by a group
Represents an alkylene group of Y 2 ″ is a hydroxyl group or the following formula (12);

【0056】[0056]

【化15】 [Chemical 15]

【0057】で表わされる基を示し、R1 、R2 、X及
びX1 は前記と同じ〕
And R 1 , R 2 , X and X 1 are the same as defined above.

【0058】すなわち、アミン誘導体(6)に酸無水
物、ジカルボン酸又はそのエステルを反応させ、エステ
ルを用いた場合は更に加水分解し、必要に応じて塩基性
物質で中和することにより、化合物(1d)を製造する
ことができる。また、得られた化合物(1d)のX1
に-CH=CH-がある場合には、これにSO3、亜硫酸ナトリウ
ム、亜硫酸水素ナトリウム等のスルホン化剤を反応さ
せ、必要に応じて塩基性物質で中和することにより化合
物(1e)が製造される。
That is, the amine derivative (6) is reacted with an acid anhydride, a dicarboxylic acid or an ester thereof, and when an ester is used, it is further hydrolyzed and, if necessary, neutralized with a basic substance to give a compound. (1d) can be produced. Further, when -CH = CH- is present in X 1 of the obtained compound (1d), it is reacted with a sulfonating agent such as SO 3 , sodium sulfite, sodium hydrogen sulfite and the like, and if necessary, a base. Compound (1e) is produced by neutralizing with a volatile substance.

【0059】アミン誘導体(6)と酸無水物との反応
は、例えば無水不活性溶媒の存在下、20〜150℃、
好ましくは40〜100℃で、アミン誘導体(6)に対
して、好ましくは1.0〜5.0倍モルの酸無水物を反
応させることにより行うのが好ましい。ここで用いられ
る無水不活性溶媒としては、例えばエーテル、テトラヒ
ドロフラン、ベンゼン、ピリジン等が挙げられる。この
反応においてはアミン誘導体(6)のヒドロキシル基と
酸無水物が反応し、式(1d)においてY2″が上記式
(12)で示す基である化合物が得られる。
The reaction between the amine derivative (6) and the acid anhydride can be carried out, for example, in the presence of an anhydrous inert solvent at 20 to 150 ° C.
It is preferably carried out at 40 to 100 ° C. by reacting an amine derivative (6) with a 1.0 to 5.0-fold molar amount of acid anhydride. Examples of the anhydrous inert solvent used here include ether, tetrahydrofuran, benzene, pyridine and the like. In this reaction, the hydroxyl group of the amine derivative (6) reacts with the acid anhydride to obtain a compound in which Y 2 ″ is a group represented by the above formula (12) in the formula (1d).

【0060】また、アミン誘導体(6)とジカルボン酸
又はそのエステルとの反応は、例えば、不活性溶媒中、
40〜180℃、好ましくは80〜150℃で、アミン
誘導体(6)に対して、好ましくは2.0〜5.0倍モ
ルのジカルボン酸又はそのエステルを反応させることに
より行うのが好ましい。この反応は、生成してくるアル
コール又は水を除去しながら行うのが好ましい。この反
応で用いる不活性溶媒としては、ヘキサン、ベンゼン、
トルエン、キシレン等を挙げることができる。
The reaction of the amine derivative (6) with the dicarboxylic acid or its ester can be carried out, for example, in an inert solvent,
It is preferably carried out at 40 to 180 ° C., preferably 80 to 150 ° C., by reacting the amine derivative (6) with a dicarboxylic acid or an ester thereof in a molar amount of preferably 2.0 to 5.0 times. This reaction is preferably carried out while removing the produced alcohol or water. As the inert solvent used in this reaction, hexane, benzene,
Examples thereof include toluene and xylene.

【0061】なお、ジカルボン酸エステルにおいて、式
中、R9 及びR10で示されるアルキル基又はアルケニル
基としては、炭素数1〜5のものを挙げることができる
が、これらのなかでも、メチル基、エチル基が好まし
い。
In the dicarboxylic acid ester, examples of the alkyl group or alkenyl group represented by R 9 and R 10 in the formula include those having 1 to 5 carbon atoms, and among these, a methyl group is preferable. , And an ethyl group is preferable.

【0062】なお、ここでジカルボン酸エステルを用い
た場合には、一般式(14)で表わされる製造中間体が
得られることになり、次いでこれを例えば含水アルコー
ル中、酸又は塩基触媒下、加水分解することが必要とな
る。
When the dicarboxylic acid ester is used here, a production intermediate represented by the general formula (14) is obtained, which is then hydrolyzed, for example, in a hydrous alcohol under an acid or base catalyst. It is necessary to disassemble.

【0063】[0063]

【化16】 Embedded image

【0064】〔式中、R11及びR12はR9 又はR10に対
応するアルキル又はアルケニル基を示し、R1 、R2
びX1 は前記と同じ〕
[In the formula, R 11 and R 12 represent an alkyl or alkenyl group corresponding to R 9 or R 10 , and R 1 , R 2 and X 1 are the same as the above]

【0065】次に、X1 中に-CH=CH-を有する化合物
(1d)とSO3、亜硫酸ナトリウムや亜硫酸水素ナトリ
ウム等のスルホン化剤との反応は、例えば、水中におい
て、30〜100℃、好ましくは40〜80℃で、化合
物(1d)に対して1.0〜6.0倍モル、好ましくは
2.0〜5.0倍モルのSO3、亜硫酸ナトリウムや亜硫
酸水素ナトリウムを、pH4.0〜11.0、好ましくは
5.0〜8.0で反応させることにより行うのが好まし
い。
Next, the reaction of the compound (1d) having —CH═CH— in X 1 with a sulfonating agent such as SO 3 , sodium sulfite or sodium hydrogen sulfite is carried out, for example, in water at 30 to 100 ° C. , Preferably 40 to 80 ° C., 1.0 to 6.0 times mol, preferably 2.0 to 5.0 times mol of SO 3 , sodium sulfite or sodium bisulfite with respect to the compound (1d) at pH 4; It is preferable to carry out the reaction at a rate of 0 to 11.0, preferably 5.0 to 8.0.

【0066】得られた化合物(1d)及び(1e)の中
和反応は前記反応bと同様にして行うことができる。ま
た、これらの反応においては、前記反応b及び反応cと
同様に一方のアミノ基のみがアミド化された化合物等が
副生するが、反応生成物はそのまま各種の用途に使用す
ることもできる。しかし、より高純度のものが必要な場
合には、通常の方法、例えば再結晶、カラムクロマトグ
ラフィー、電気透析等により精製して用いることもでき
る。
The neutralization reaction of the obtained compounds (1d) and (1e) can be carried out in the same manner as in the above reaction b. Further, in these reactions, a compound in which only one amino group is amidated is produced as a by-product as in the case of the reaction b and the reaction c, but the reaction product can be used as it is for various purposes. However, when a higher-purity product is required, it can be purified by a conventional method, for example, recrystallization, column chromatography, electrodialysis, etc., and then used.

【0067】一般式(1)において、n=0の場合に
は、これに4級化剤を反応させることにより、化合物
(1)中の2個の窒素原子が第4級化された化合物(1
f)が得られる。4級化剤としては、スルホン酸基、カ
ルボキシル基もしくはヒドロキシル基が置換していても
よい炭素数1〜6のアルキル(アルケニル)ハライド、
ベンジルハライド、アルキレンオキサイド又はその塩等
が挙げられる。このうち、アルキルハライドがより好ま
しい。ここでアルキル基としてはメチル基、エチル基、
n−プロピル基、n−ブチル基、イソプロピル基等が挙
げられ、ハロゲンとしては塩素、臭素、ヨウ素が挙げら
れる。このうちメチルクロライドが特に好ましい。
In the general formula (1), when n = 0, a quaternizing agent is reacted with this to give a compound (2) in which two nitrogen atoms in the compound (1) are quaternized. 1
f) is obtained. As the quaternizing agent, an alkyl (alkenyl) halide having 1 to 6 carbon atoms, which may be substituted with a sulfonic acid group, a carboxyl group or a hydroxyl group,
Examples thereof include benzyl halide, alkylene oxide or salts thereof. Of these, alkyl halides are more preferable. Here, as the alkyl group, a methyl group, an ethyl group,
Examples thereof include n-propyl group, n-butyl group and isopropyl group, and examples of halogen include chlorine, bromine and iodine. Of these, methyl chloride is particularly preferable.

【0068】成分(A)の配合量は特に制限されない
が、洗浄力及び皮膚刺激性の観点から、通常0.1〜5
0重量%(以下、単に%で示す)であるが、0.01〜
30%とすることが好ましく、特に0.03〜10%、
更に0.05〜7%とすることが好ましい。
The amount of the component (A) is not particularly limited, but is usually 0.1 to 5 from the viewpoint of detergency and skin irritation.
0% by weight (hereinafter, simply indicated by%), but 0.01 to
30% is preferable, and 0.03 to 10% is particularly preferable.
Further, it is preferably set to 0.05 to 7%.

【0069】本発明に使用される成分(B)のうち金属
イオン封鎖剤としては、通常使用されるものならばいず
れのものも使用でき特に限定されるものではないが、例
えば以下のものが挙げられる。
As the sequestering agent of the component (B) used in the present invention, any sequestering agent which is usually used can be used and is not particularly limited, but examples thereof include the following. To be

【0070】(1)オルソリン酸、ピロリン酸、トリポ
リリン酸、メタリン酸、ヘキサメタリン酸、フィチン酸
等のリン酸系化合物のアルカリ金属塩又はアルカノール
アミン塩。 (2)エタン−1,1−ジホスホン酸、エタン−1,
1,2−トリホスホン酸、エタン−1−ヒドロキシ−
1,1−ジホスホン酸及びその誘導体、エタンヒドロキ
シ−1,1,2−トリホスホン酸、エタン−1,2−ジ
カルボキシ−1,2−ジホスホン酸、メタンヒドロキシ
ホスホン酸等のホスホン酸のアルカリ金属塩又はアルカ
ノールアミン塩。 (3)2−ホスホノブタン−1,2−ジカルボン酸、1
−ホスホノブタン−2,3,4−トリカルボン酸、α−
メチルホスホノコハク酸等のホスホノカルボン酸のアル
カリ金属塩又はアルカノールアミン塩。 (4)アスパラギン酸、グルタミン酸、グリシン等のア
ミノ酸のアルカリ金属塩又はアルカノールアミン塩。 (5)ニトリロ三酢酸、イミノ二酢酸、エチレンジアミ
ン四酢酸、ヒドロキシエチレンジアミン酢酸、ジエチレ
ントリアミン五酢酸、グリコールエーテルジアミン四酢
酸、ヒドロキシエチルイミノ二酢酸、トリエチレンテト
ラミン六酢酸、ジエンコル酸等のアミノポリ酢酸のアル
カリ金属塩又はアルカノールアミン塩。 (6)ジグリコール酸、オキシジコハク酸、カルボキシ
メチルオキシコハク酸、クエン酸、乳酸、酒石酸、シュ
ウ酸、リンゴ酸、オキシジコハク酸、グルコン酸、カル
ボキシメチルコハク酸、カルボキシメチル酒石酸等の有
機酸のアルカリ金属塩又はアルカノールアミン塩。 (7)ゼオライトAに代表されるアルミノケイ酸のアル
カリ金属塩又はアルカノールアミン塩。 (8)アミノポリ(メチレンホスホン酸)及びそのアル
カリ金属塩又はアルカノールアミン塩、ポリエチレンポ
リアミンポリ(メチレンホスホン酸)及びそのアルカリ
金属塩又はアルカノールアミン塩。
(1) Alkali metal salts or alkanolamine salts of phosphoric acid compounds such as orthophosphoric acid, pyrophosphoric acid, tripolyphosphoric acid, metaphosphoric acid, hexametaphosphoric acid and phytic acid. (2) ethane-1,1-diphosphonic acid, ethane-1,
1,2-triphosphonic acid, ethane-1-hydroxy-
Alkali metal salts of phosphonic acids such as 1,1-diphosphonic acid and its derivatives, ethanehydroxy-1,1,2-triphosphonic acid, ethane-1,2-dicarboxy-1,2-diphosphonic acid and methanehydroxyphosphonic acid Or an alkanolamine salt. (3) 2-phosphonobutane-1,2-dicarboxylic acid, 1
-Phosphonobutane-2,3,4-tricarboxylic acid, α-
Alkali metal salts or alkanolamine salts of phosphonocarboxylic acids such as methylphosphonosuccinic acid. (4) Alkali metal salts or alkanolamine salts of amino acids such as aspartic acid, glutamic acid and glycine. (5) Alkali metal of aminopolyacetic acid such as nitrilotriacetic acid, iminodiacetic acid, ethylenediaminetetraacetic acid, hydroxyethylenediamineacetic acid, diethylenetriaminepentaacetic acid, glycol etherdiaminetetraacetic acid, hydroxyethyliminodiacetic acid, triethylenetetraminehexaacetic acid, dienecoric acid Salt or alkanolamine salt. (6) Alkali metals of organic acids such as diglycolic acid, oxydisuccinic acid, carboxymethyloxysuccinic acid, citric acid, lactic acid, tartaric acid, oxalic acid, malic acid, oxydisuccinic acid, gluconic acid, carboxymethylsuccinic acid, carboxymethyltartaric acid Salt or alkanolamine salt. (7) Alkali metal salt or alkanolamine salt of aluminosilicate represented by zeolite A. (8) Aminopoly (methylenephosphonic acid) and its alkali metal salt or alkanolamine salt, and polyethylenepolyamine poly (methylenephosphonic acid) and its alkali metal salt or alkanolamine salt.

【0071】特にクエン酸あるいはリンゴ酸等のヒドロ
キシカルボン酸、ピロリン酸等の縮合リン酸、エチレン
ジアミン四酢酸あるいはヒドロキシエチレンジアミン酢
酸等のアミノカルボン酸、又はこれらのナトリウム塩、
カリウム塩等のアルカリ金属塩又はアンモニウム塩もし
くはアルカノールアミン塩等及びそれらの水溶性塩が好
ましいものとして挙げられる。上記金属イオン封鎖剤
は、1種でも、また2種以上を混合して使用してもよ
い。
Particularly, hydroxycarboxylic acid such as citric acid or malic acid, condensed phosphoric acid such as pyrophosphoric acid, aminocarboxylic acid such as ethylenediaminetetraacetic acid or hydroxyethylenediamineacetic acid, or sodium salt thereof,
Alkali metal salts such as potassium salts, ammonium salts, alkanolamine salts and the like and water-soluble salts thereof are preferred. The sequestering agents may be used alone or in admixture of two or more.

【0072】金属イオン封鎖剤の配合量は特に制限され
ないが、洗浄力の観点から通常0.01〜50%、好ま
しくは0.5〜20%、特に好ましくは1〜20%であ
る。
The amount of the sequestering agent is not particularly limited, but is usually 0.01 to 50%, preferably 0.5 to 20%, particularly preferably 1 to 20% from the viewpoint of detergency.

【0073】本発明において用いられる成分(B)のア
ルカリ剤としてはアミン化合物が好ましく、下記一般式
(15)〜(18)で表わされるアミン化合物から選ば
れる1種又は2種以上が特に好ましい。
As the alkaline agent of the component (B) used in the present invention, amine compounds are preferable, and one or more selected from the amine compounds represented by the following general formulas (15) to (18) are particularly preferable.

【0074】[0074]

【化17】 [Chemical 17]

【0075】〔式中、R13、R16、R18、R20、R22
24、R25はそれぞれ水素原子又は炭素数1〜4のアル
キル基を示し、R14、R15、R17、R19、R21、R23
それぞれ水素原子、炭素数1〜4のアルキル基又は炭素
数2〜3のヒドロキシアルキル基を示す〕
[Wherein R 13 , R 16 , R 18 , R 20 , R 22 ,
R 24 and R 25 each represent a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, and R 14 , R 15 , R 17 , R 19 , R 21 and R 23 each represent a hydrogen atom and an alkyl group having 1 to 4 carbon atoms. A group or a hydroxyalkyl group having 2 to 3 carbon atoms]

【0076】一般式(15)で表わされる化合物として
は、アンモニア、モノエタノールアミン、ジエタノール
アミン、N−メチルプロパノール等が挙げられる。一般
式(16)で表わされる化合物としては、N−(β−ア
ミノエチル)エタノールアミン等が挙げられる。一般式
(17)で表わされる化合物としては、ジエチレントリ
アミン等が挙げられる。また、一般式(18)で表わさ
れる化合物としては、モルホリン、N−エチルモルホリ
ン等が挙げられる。これらの中でもモノエタノールアミ
ン及びモルホリンが特に好ましい。
Examples of the compound represented by the general formula (15) include ammonia, monoethanolamine, diethanolamine, N-methylpropanol and the like. Examples of the compound represented by the general formula (16) include N- (β-aminoethyl) ethanolamine. Examples of the compound represented by the general formula (17) include diethylenetriamine. Examples of the compound represented by the general formula (18) include morpholine and N-ethylmorpholine. Among these, monoethanolamine and morpholine are particularly preferable.

【0077】アルカリ剤の配合量は、特に制限されない
が、洗浄力の観点から通常、0.01〜50%である
が、好ましくは0.5〜20%、特に好ましくは1〜2
0%である。
The blending amount of the alkaline agent is not particularly limited, but is usually 0.01 to 50% from the viewpoint of detergency, preferably 0.5 to 20%, particularly preferably 1 to 2%.
It is 0%.

【0078】本発明に使用される成分(B)の水溶性溶
剤としては、特に限定されるものではないが、下記一般
式(19)〜(22)で表わされるものが好ましい。
The water-soluble solvent of the component (B) used in the present invention is not particularly limited, but those represented by the following general formulas (19) to (22) are preferable.

【0079】[0079]

【化18】 Embedded image

【0080】〔式中、R26は炭素数3〜8の飽和もしく
は不飽和の直鎖もしくは分岐鎖のアルキル基、フェニル
基又はベンジル基を示し、r及びsはそれぞれ0〜4の
整数を示し、かつ1≦r+s≦4である。ただし、r×
s≠0のとき、付加されるエチレンオキシド基及びプロ
ピレンオキシド基は任意に配列される〕
[Wherein R 26 represents a saturated or unsaturated linear or branched alkyl group having 3 to 8 carbon atoms, a phenyl group or a benzyl group, and r and s each represent an integer of 0 to 4] , And 1 ≦ r + s ≦ 4. However, r ×
When s ≠ 0, the added ethylene oxide group and propylene oxide group are arranged arbitrarily]

【0081】一般式(18)で表わされる溶剤の具体例
としては、ジエチレングリコールモノブチルエーテル、
プロピレングリコールモノブチルエーテル、ジプロピレ
ングリコールモノブチルエーテル、ジ−(プロピレンエ
チレングリコール)−モノブチルエーテル、フェニルグ
リコール、フェニルジグリコール、ベンジルグリコー
ル、ベンジルジグリコール等が挙げられる。これらは1
種でも、また2種以上を混合して使用してもよい。
Specific examples of the solvent represented by the general formula (18) include diethylene glycol monobutyl ether,
Propylene glycol monobutyl ether, dipropylene glycol monobutyl ether, di- (propylene ethylene glycol) -monobutyl ether, phenyl glycol, phenyl diglycol, benzyl glycol, benzyl diglycol and the like can be mentioned. These are 1
One kind may be used, or two or more kinds may be mixed and used.

【0082】[0082]

【化19】 [Chemical 19]

【0083】〔式中、R27、R28は、同一でも異なって
いてもよい、炭素数1〜6のアルキル基を示す〕
[In the formula, R 27 and R 28 represent the same or different alkyl groups having 1 to 6 carbon atoms]

【0084】これらの具体例としては、1,8−ジメチ
ル−2−イミダゾリジノン、1,3−ジエチル−2−イ
ミダゾリジノン等が好ましいものとして挙げられる。
Specific examples thereof include 1,8-dimethyl-2-imidazolidinone and 1,3-diethyl-2-imidazolidinone as preferable ones.

【0085】[0085]

【化20】 Embedded image

【0086】〔式中、R29は炭素数1〜6のアルキル基
を示す〕
[In the formula, R 29 represents an alkyl group having 1 to 6 carbon atoms]

【0087】これらの具体例としては、3−メトキシ−
3−メチルブタノール、3−エトキシ−3−メチルブタ
ノールが好ましいものとして挙げられる。
Specific examples of these include 3-methoxy-
3-Methylbutanol and 3-ethoxy-3-methylbutanol are preferred.

【0088】[0088]

【化21】HO-R30-OH (22)[Chemical 21] HO-R 30 -OH (22)

【0089】〔式中、R30は炭素数4〜12の炭化水素
基を示す〕
[In the formula, R 30 represents a hydrocarbon group having 4 to 12 carbon atoms]

【0090】これらの具体例としては、3−メチル−
1,3−ブタンジオール、2,2,4−トリメチル−
1,3−ペンタンジオール、2−エチル−1,3−ヘキ
サンジオール、1,9−ノナンジオール、1,8−オク
タンジオール等が好ましいものとして挙げられる。これ
らは1種でも、また2種以上を混合して使用してもよ
い。
Specific examples of these include 3-methyl-
1,3-butanediol, 2,2,4-trimethyl-
Preferred examples include 1,3-pentanediol, 2-ethyl-1,3-hexanediol, 1,9-nonanediol, and 1,8-octanediol. These may be used alone or in combination of two or more.

【0091】水溶性溶剤の配合量は、特に制限されない
が、洗浄力の観点から通常0.1〜50%であるが、好
ましくは0.5〜20%、特に好ましくは1〜20%で
ある。
The blending amount of the water-soluble solvent is not particularly limited, but is usually 0.1 to 50% from the viewpoint of detergency, preferably 0.5 to 20%, particularly preferably 1 to 20%. .

【0092】成分(A)に成分(B)を配合する場合、
洗浄の対象となる硬質表面の汚れの種類や程度によって
最適な組み合わせが異なる。例えば、比較的軽度の手あ
か汚れ、未変性の油脂汚れなどに対しては、成分(A)
に成分(B)として水溶性溶剤を配合した洗浄剤組成物
が洗浄力及び仕上り性の面で優れている。台所回り等に
おいて、熱、日光及び空気中の酸素等の作用により変質
した油脂汚れなどに対しては、成分(A)にアルカリ剤
を配合した洗浄剤組成物が洗浄力及び仕上り性の面で優
れており、更に水溶性溶剤を配合するとより効果的であ
る。トイレ回りの便器内の汚れ、浴室内の金属石けん、
特に脂肪酸カルシウム汚れなどに対しては、成分(A)
に金属イオン封鎖剤を配合した洗浄剤組成物が洗浄力の
面で優れており、更に水溶性溶剤を配合するとより効果
的である。トイレ回りの便器内の汚れ、浴室内の金属石
ケン、特に脂肪酸カルシウム汚れなどに対しては、成分
(A)に金属イオン封鎖剤を配合した洗浄剤組成物が洗
浄力の面で優れており、更に水溶性溶剤を配合すること
により効果的である。
When the component (B) is added to the component (A),
The optimum combination differs depending on the type and degree of dirt on the hard surface to be cleaned. For example, for relatively mild stains on hands, unmodified grease stains, etc., component (A)
A detergent composition in which a water-soluble solvent is added as the component (B) is excellent in detergency and finish. For oil stains and the like that have deteriorated due to the action of heat, sunlight, oxygen in the air, etc. around the kitchen, a detergent composition containing an alkaline agent in the component (A) is effective in terms of detergency and finish. It is excellent, and it is more effective if it further contains a water-soluble solvent. Dirt in the toilet bowl around the toilet, metal soap in the bathroom,
Especially for fatty acid calcium stains, etc., component (A)
The detergent composition in which the sequestering agent is blended with is excellent in detergency, and it is more effective if a water-soluble solvent is further blended. For stains in the toilet bowl around the toilet, metal soap in the bathroom, especially fatty acid calcium stains, the detergent composition containing the component (A) with a sequestering agent is superior in terms of detergency. Further, it is effective to add a water-soluble solvent.

【0093】本発明の硬質表面用洗浄剤組成物には、必
要に応じて、本発明の効果を損なわない範囲において、
上記以外の界面活性剤を配合することができる。このよ
うな界面活性剤は特に限定されないが、非イオン性、陰
イオン性及び両性界面活性剤からなる群から選ばれる1
種又は2種以上のものが好ましい。具体的には次のもの
が挙げられる。
The hard surface cleaner composition of the present invention may contain, if necessary, within a range not impairing the effects of the present invention.
Surfactants other than the above can be added. Such a surfactant is not particularly limited, but is selected from the group consisting of nonionic, anionic and amphoteric surfactants.
One kind or two or more kinds is preferable. Specifically, the following are mentioned.

【0094】陰イオン性界面活性剤としては、アルキル
ベンゼンスルホン酸塩類、アルキレンオキシド付加アル
キル又はアルケニルエーテル硫酸塩類、アルキル又はア
ルケニル硫酸塩類、オレフィンスルホン酸塩類、アルカ
ンスルホン酸塩類、脂肪酸塩類、アルキレンオキシド付
加アルキル又はアルケニルエーテルカルボン酸塩類、α
−スルホ脂肪酸塩類及びそのエステル類、ジフェニルエ
ーテルジスルホン酸類等が挙げられる。陰イオン性界面
活性剤の対イオンとしては、ナトリウム、カリウム等の
アルカリ金属又はアンモニウム塩もしくはモノエタノー
ルアンモニウム、ジエタノールアンモニウム、トリエタ
ノールアンモニウム等のアルカノール置換アンモニウム
塩を挙げることができる。
Examples of the anionic surfactant include alkylbenzene sulfonates, alkylene oxide-added alkyl or alkenyl ether sulfates, alkyl or alkenyl sulfates, olefin sulfonates, alkane sulfonates, fatty acid salts, alkylene oxide-added alkyls. Or alkenyl ether carboxylates, α
-Sulfo fatty acid salts and esters thereof, diphenyl ether disulfonic acids and the like. Examples of the counterion of the anionic surfactant include alkali metals such as sodium and potassium, ammonium salts, and alkanol-substituted ammonium salts such as monoethanolammonium, diethanolammonium, and triethanolammonium.

【0095】非イオン性界面活性剤としては、ポリオキ
シアルキレンアルキル又はアルケニルエーテル類、ポリ
オキシアルキレンアルキルフェニルエーテル類、蔗糖脂
肪酸エステル類、脂肪酸グリセリンエステル類、アミン
オキシド類、高級脂肪酸アルカノールアミド類又はその
アルキレンオキサイド付加物、次の一般式(23)で表
わされるアルキルグリコシド等が挙げられる。
Examples of the nonionic surfactant include polyoxyalkylene alkyl or alkenyl ethers, polyoxyalkylene alkylphenyl ethers, sucrose fatty acid esters, fatty acid glycerin esters, amine oxides, higher fatty acid alkanolamides or the like. Examples thereof include alkylene oxide adducts and alkyl glycosides represented by the following general formula (23).

【0096】[0096]

【化22】R31(OR32)tGu (23)[Chemical Formula 22] R 31 (OR 32 ) t G u (23)

【0097】〔式中、R31は炭素数8〜18の直鎖もし
くは分岐鎖のアルキル基、アルケニル基又はアルキルフ
ェニル基を示し、R32は炭素数2〜4のアルキレン基を
示し、Gは炭素数5〜6を有する還元糖に由来する残基
を示し、tはその平均値が0〜5の数を示し、uはその
平均値が1〜10の数を示す〕
[Wherein R 31 represents a linear or branched alkyl group, alkenyl group or alkylphenyl group having 8 to 18 carbon atoms, R 32 represents an alkylene group having 2 to 4 carbon atoms, and G represents A residue derived from a reducing sugar having 5 to 6 carbon atoms, t represents a number having an average value of 0 to 5, and u represents a number having an average value of 1 to 10]

【0098】一般式(23)中、tはその平均値が0〜
5であるが、この値が本発明組成物の水溶性及び結晶性
を調整する。つまり、tが高い程、水溶性が高くなり且
つ結晶性が低くなる傾向にある。好ましいtの値は0〜
2であり、特に好ましくは0である。一方、uは、その
平均値が1より大きい場合、つまり2糖以上の糖鎖を親
水性基とする一般式(23)で表わされる界面活性剤を
含有する場合、糖鎖の結合様式は1−2、1−3、1−
4、1−6結合、更にα−、β−ピラノシド結合又はフ
ラノシド結合及びこれらの混合された結合様式を有する
任意の混合物を含むことが可能である。また、一般式
(23)中のuの平均値は1〜10、好ましくは1〜
3、より好ましくは1〜1.5であるが、更に好ましい
平均値は1.1〜1.4である。なお、yの測定法はプ
ロトンNMR法によるものである。
In the general formula (23), t has an average value of 0 to
The value of 5 controls the water solubility and crystallinity of the composition of the present invention. That is, the higher t, the higher the water solubility and the lower the crystallinity. The preferred value of t is 0
2 and particularly preferably 0. On the other hand, when the average value of u is greater than 1, that is, when it contains a surfactant represented by the general formula (23) having a sugar chain of two or more sugars as a hydrophilic group, the binding mode of the sugar chain is 1 -2, 1-3, 1-
It is possible to include 4,1-6 bonds, as well as α-, β-pyranoside or furanoside bonds and any mixtures thereof having mixed binding modes. The average value of u in the general formula (23) is 1 to 10, preferably 1 to
3, and more preferably 1 to 1.5, and even more preferably the average value is 1.1 to 1.4. The measurement method of y is based on the proton NMR method.

【0099】また、一般式(23)中のR31は炭素数8
〜18の直鎖又は分岐鎖を有するアルキル基、アルケニ
ル基又はアルキルフェニル基であるが、溶解性、起泡性
及び洗浄性向上の点から、好ましいのは炭素数10〜1
4のアルキル基である。また、R32は炭素数2〜4のア
ルキレン基であるが、水溶性の点から好ましい炭素数は
2〜3である。更にGは単糖もしくは2糖以上の原料に
よってその構造が決定されるが、このGの原料として
は、単糖ではグルコース、フルクトース、ガラクトー
ス、キシロース、マンノース、リキソース、アラビノー
ス等及びこれらの混合物等が、2糖以上ではマルトー
ス、キシロビオース、イソマルトース、セロビオース、
ゲンチビオース、ラクトース、スクロース、ニゲロー
ス、ツラノース、ラフィノース、ゲンチアノース、メレ
ジトース等及びこれらの混合物等が挙げられる。これら
のうち、好ましい単糖類原料は、それらの入手容易性及
びコストの点から、グルコース及びフルクトースであ
り、2糖以上ではマルトース及びスクロースである。こ
の中でも、特に入手容易性の点からグルコースが好まし
い。更に、ペンタエリスリトール・イソステアリルグリ
シジルエーテルの1モル付加体、ソルビトール・イソス
テアリルグリシジルエーテルの1モル付加体、マンニト
ール・2−オクチルドデシルグリシジルエーテルの1モ
ル付加体、メチルグルコシド・イソステアリルグリシジ
ルエーテルの1モル付加体、ジグリセリン・イソステア
リルグリシジルエーテルの1モル付加体、フィタントリ
オール等の1分子中に少なくとも1個の長鎖分岐アルキ
ル基又はアルケニル基及び少なくとも3個の水酸基を有
する非イオン性界面活性剤が挙げられる。
R 31 in the general formula (23) has 8 carbon atoms.
It is an alkyl group, an alkenyl group, or an alkylphenyl group having a linear or branched chain of 18 carbon atoms, but from the viewpoint of improving the solubility, foaming property and detergency, it is preferably 10 to 1 carbon atoms.
4 alkyl group. R 32 is an alkylene group having 2 to 4 carbon atoms, and preferably 2 to 3 carbon atoms from the viewpoint of water solubility. Further, the structure of G is determined by a monosaccharide or a raw material of two or more sugars. As the raw material of G, glucose, fructose, galactose, xylose, mannose, lyxose, arabinose and the like, and mixtures thereof, etc. For 2 sugars or more, maltose, xylobiose, isomaltose, cellobiose,
Examples thereof include gentibiose, lactose, sucrose, nigerose, turanose, raffinose, gentianose, melezitose and the like, and mixtures thereof. Among these, preferred monosaccharide raw materials are glucose and fructose from the viewpoints of their availability and cost, and maltose and sucrose for two or more sugars. Among these, glucose is particularly preferable from the viewpoint of easy availability. Furthermore, 1 mol adduct of pentaerythritol isostearyl glycidyl ether, 1 mol adduct of sorbitol isostearyl glycidyl ether, 1 mol adduct of mannitol 2-octyldodecyl glycidyl ether, 1 mol of methylglucoside isostearyl glycidyl ether. Nonionic interface having at least one long-chain branched alkyl group or alkenyl group and at least three hydroxyl groups in one molecule such as a mole adduct, a 1 mole adduct of diglycerin / isostearyl glycidyl ether, and phytantriol. Activators may be mentioned.

【0100】両性界面活性剤としては、例えば炭素数1
〜22の直鎖又は分岐鎖のアルキル基又はアルケニル基
を有するスルホベタイン及びカルボベタイン等が挙げら
れる。
Examples of the amphoteric surfactant include, for example, 1 carbon atom.
And sulfobetaine and carbobetaine having a linear or branched alkyl group or alkenyl group of -22.

【0101】本発明においては、これらの界面活性剤の
うち、洗浄力又は拭き取り性の向上の点から、ジフェニ
ルエーテルジスルホン酸類、高級脂肪酸アルカノールア
ミド類又はそのアルキレンオキサイド付加物、アミンオ
キサイド類、アルキルグリコシド類、スルホベタイン類
又はカルボベタイン類が好ましく、この中でも、特にジ
フェニルエーテルジスルホン酸類、アルキルグリコシド
類が好ましい。
In the present invention, among these surfactants, diphenyl ether disulfonic acids, higher fatty acid alkanol amides or their alkylene oxide adducts, amine oxides, alkyl glycosides, among these surfactants, from the viewpoint of improving detergency or wiping property. , Sulfobetaines or carbobetaines are preferred, and among these, diphenyl ether disulfonic acids and alkyl glycosides are particularly preferred.

【0102】これらの界面活性剤を配合するときの配合
量は、組成物中に0.01〜20%、好ましくは0.0
5〜10%、特に好ましくは0.05〜5%である。界
面活性剤の配合量が0.01%未満では、界面活性能が
充分発揮されず配合する意味がなく、また20%を超え
て配合してもそれ以上の効果は期待されないため、20
%を超える配合は必要としない。
The blending amount of these surfactants in the composition is 0.01 to 20%, preferably 0.0
5 to 10%, particularly preferably 0.05 to 5%. If the content of the surfactant is less than 0.01%, it is meaningless to fully exhibit the surface activity, and if it exceeds 20%, no further effect is expected.
% Is not required.

【0103】本発明の硬質表面用洗浄剤組成物は、上記
成分の他、更に必要に応じて、更に系の低温での保存安
定性を向上させるために、通常の分散剤、香料、染料、
顔料、防腐剤等を本発明の効果を損なわない範囲で添加
することができる。
The detergent composition for hard surfaces of the present invention comprises, in addition to the above-mentioned components, other conventional dispersants, fragrances, dyes, and, if necessary, further improved storage stability of the system at low temperatures.
Pigments, preservatives and the like can be added within a range that does not impair the effects of the present invention.

【0104】本発明の硬質表面用洗浄剤組成物は、常法
により、上記成分及び水を混合して製造することができ
る。
The detergent composition for hard surfaces of the present invention can be produced by mixing the above components and water by a conventional method.

【0105】[0105]

【実施例】次に実施例を挙げて本発明を更に説明する
が、本発明はこれら実施例に限定されるものではない。
実施例の説明に先立ち、各実施例で使用する成分(A)
の合成例について説明する。
The present invention will be further described with reference to examples, but the present invention is not limited to these examples.
Prior to the description of the examples, the component (A) used in each example
A synthesis example of will be described.

【0106】合成例1 反応容器にビス−(1,3−デシルアミノ)プロパン−
2−オール40.2g(0.108モル)、エタノール
260g、水100gを入れ、50℃に昇温した。これ
に、モノクロロ酢酸ナトリウム50.3g(0.432
モル)を100gのエタノール70gの水に溶解させた
溶液を加え、水酸化ナトリウム水溶液でpHを8〜10に
保ちながら還流下20時間反応させた。反応終了後、溶
媒を留去しクロロホルムに溶解し、不溶の未反応のモノ
クロロ酢酸ナトリウム、副生する塩化ナトリウム等の塩
類を除いた。この後、シリカゲルカラムクロマトグラフ
ィーにより、薄層クロマトグラフィーで単一のスポット
を与えるまで精製し、2,6−ジデシル−4−ヒドロキ
シ−2,6−ジアザ−1,7−ヘプタンジカルボン酸2
3gを白色粉末として得た(単離収率44%)。
Synthesis Example 1 Bis- (1,3-decylamino) propane-in a reaction vessel
2-Ol 40.2g (0.108mol), ethanol 260g, and water 100g were put, and it heated up at 50 degreeC. To this, 50.3 g of sodium monochloroacetate (0.432
Was added to a solution of 100 g of ethanol in 70 g of water, and the mixture was reacted under reflux for 20 hours while maintaining the pH at 8 to 10 with an aqueous sodium hydroxide solution. After completion of the reaction, the solvent was distilled off and the residue was dissolved in chloroform to remove insoluble unreacted sodium monochloroacetate and by-produced salts such as sodium chloride. This was followed by silica gel column chromatography purification by thin layer chromatography to give a single spot, 2,6-didecyl-4-hydroxy-2,6-diaza-1,7-heptanedicarboxylic acid 2
3 g was obtained as a white powder (isolated yield 44%).

【0107】このものは、HPLC(カラム:RP−1
8(メルク社製))、溶離液メタノール/H2O=80/
20測定に於いて単一のピークを与えた。以下に1H−
NMRデータを示す。
This product was analyzed by HPLC (column: RP-1
8 (manufactured by Merck), eluent methanol / H 2 O = 80 /
A single peak was given in 20 measurements. Below 1 H-
The NMR data is shown.

【0108】[0108]

【化23】 [Chemical formula 23]

【0109】合成例2 反応容器にビス−(1,3−オクチルアミノ)プロパン
−2−オール40g(0.13モル)、エタノール15
0g、水70gを入れ50℃に昇温した。これにモノク
ロロ酢酸ナトリウム52g(0.44モル)を100g
のエタノール及び70gの水に溶解させた溶液を加え、
水酸化ナトリウム水溶液でpHを8〜10に保ちながら還
流下26時間反応させた。反応終了後、溶媒を留去し、
クロロホルムに溶解し、不溶の未反応のモノクロロ酢酸
ナトリウム、副生する塩化ナトリウム等の塩類を除い
た。この後シリカゲルカラムクロマトグラフィーによ
り、薄層クロマトグラフィーで単一のスポットを与える
まで精製し、2,6−ジオクチル−4−ヒドロキシ−
2,6−ジアザ−1,7−ヘプタンジカルボン酸19g
(単離収率34%)を白色粉末として得た。
Synthesis Example 2 40 g (0.13 mol) of bis- (1,3-octylamino) propan-2-ol and 15 ethanol in a reaction vessel.
0 g and 70 g of water were added and the temperature was raised to 50 ° C. Add 52 g (0.44 mol) of sodium monochloroacetate to 100 g.
Of ethanol and 70 g of water dissolved in water,
The reaction was carried out for 26 hours under reflux while maintaining the pH at 8 to 10 with an aqueous sodium hydroxide solution. After completion of the reaction, the solvent was distilled off,
It was dissolved in chloroform and insoluble unreacted sodium monochloroacetate and by-produced salts such as sodium chloride were removed. This was followed by silica gel column chromatography purification by thin layer chromatography to give a single spot, 2,6-dioctyl-4-hydroxy-
19 g of 2,6-diaza-1,7-heptanedicarboxylic acid
(Isolated yield 34%) was obtained as a white powder.

【0110】このものは、HPLC(カラム:RP−1
8(メルク社製))、溶離液メタノール/H2O=80/
20測定に於いて単一のピークを与えた。以下に1H−
NMRデータを示す。
This product was analyzed by HPLC (column: RP-1
8 (manufactured by Merck), eluent methanol / H 2 O = 80 /
A single peak was given in 20 measurements. Below 1 H-
The NMR data is shown.

【0111】[0111]

【化24】 [Chemical formula 24]

【0112】合成例3 反応容器にビス−(1,3−デシルアミノ)プロパン−
2−オール31.8g(0.086モル)、エタノール
244g、水51gを入れ、75℃に昇温した。これに
ソジウム3−クロロ−2−ヒドロキシプロパン−1−ス
ルホネート76.7g(0.390モル)を361gの
水に溶解させた溶液を加え、水酸化ナトリウム水溶液で
pHを8〜10に保ちながら、75℃を20時間維持し
た。反応終了後、溶媒を減圧下留去し、水−エタノール
より結晶化し、これをアセトンで洗浄し、電気透析にて
脱塩し、凍結乾燥することにより、4,8−ジデシル−
2,6,10−トリヒドロキシ−4,8−ジアザ−1,
11−ウンデカンジスルホン酸37.3g(0.057
モル)を白色粉末として得た。
Synthesis Example 3 Bis- (1,3-decylamino) propane-in a reaction vessel
2-Ol 31.8g (0.086mol), ethanol 244g, and water 51g were put, and it heated up at 75 degreeC. To this, a solution of 76.7 g (0.390 mol) of sodium 3-chloro-2-hydroxypropane-1-sulfonate dissolved in 361 g of water was added, and a sodium hydroxide aqueous solution was added.
The temperature was maintained at 75 ° C for 20 hours while maintaining the pH at 8-10. After completion of the reaction, the solvent was distilled off under reduced pressure, crystallized from water-ethanol, washed with acetone, desalted by electrodialysis, and freeze-dried to give 4,8-didecyl-.
2,6,10-trihydroxy-4,8-diaza-1,
37.3 g of 11-undecanedisulfonic acid (0.057
Mol) was obtained as a white powder.

【0113】このものは、HPLC(カラム:RP−1
8(メルク社製));溶離液;メタノール/水=80/
20測定に於いて、単一のピークを与えた。以下に1
−NMRデータを示す(なお、a−iは、それぞれのシ
グナルをもたらす下記化学式中の部位を示す)。
This product was analyzed by HPLC (column: RP-1
8 (manufactured by Merck); eluent; methanol / water = 80 /
A single peak was given in 20 measurements. 1 H below
-NMR data (where a-i represents the site in the following chemical formula that gives each signal).

【0114】[0114]

【化25】 [Chemical 25]

【0115】合成例4 3,7−ジドデシル−3,7−ジアザ−1,5,9−ノ
ナントリオールの製造:容量1リットルのオートクレー
ブに、15−ヒドロキシ−13,17−ジアザノナコサ
ン50.2g(0.12モル)及びキシレン500mlを
入れ、155℃に昇温した。これにエチレンオキシド2
2g(0.5モル)を注入し、155℃で6時間保持し
て反応させた。反応終了後、溶媒を減圧下で留去し、標
記化合物60g(収率99%)を粘稠な液体として得
た。
Synthesis Example 4 Preparation of 3,7-didodecyl-3,7-diaza-1,5,9-nonanetriol: In an autoclave having a volume of 1 liter, 50.2 g (0) of 15-hydroxy-13,17-diazanononacosan. (0.12 mol) and 500 ml of xylene were added and the temperature was raised to 155 ° C. Ethylene oxide 2
2 g (0.5 mol) was injected and the mixture was kept at 155 ° C. for 6 hours for reaction. After completion of the reaction, the solvent was distilled off under reduced pressure to obtain 60 g (yield 99%) of the title compound as a viscous liquid.

【0116】質量分析(FABイオン化法) m/z=515(M+H)+ (M=C3166
32 ),1 H−NMR(CDCl3 ):δ(ppm), 0.85(三重線,6H,a), 1.21(幅広い一重線,36H,b), 1.45(五重線,4H,c), 2.41〜2.70(複雑な多重線,12H,d.e.
g), 3.57(三重線,4H,h), 3.75(五重線,1H,f),
Mass spectrometry (FAB ionization method) m / z = 515 (M + H) + (M = C 31 H 66 O
3 N 2 ), 1 H-NMR (CDCl 3 ): δ (ppm), 0.85 (triplet line, 6H, a), 1.21 (wide singlet line, 36H, b), 1.45 (pentuplet) Line, 4H, c), 2.41-2.70 (complex multiline, 12H, de.
g), 3.57 (triplet line, 4H, h), 3.75 (quintet line, 1H, f),

【0117】[0117]

【化26】 [Chemical formula 26]

【0118】合成例5 3,7−ジドデシル−5−ヒドロキシ−3,7−ジアザ
−1,9−ノナンジサルフェートの製造:反応容器に合
成例4で得た3,7−ジドデシル−3,7−ジアザ−
1,5,9−ノナントリオール18g(0.035モ
ル)及びジクロロメタン135mlを入れ、窒素気流下、
氷冷しながらクロロスルホン酸5.1ml(0.077モ
ル)を滴下した。その後、徐々に室温まで戻し、窒素を
用いて発生する塩酸及びジクロロメタンを除去した。残
渣にn−ブタノールを加えて溶解し、水洗後、減圧下で
溶媒を留去して24.8gの固体を得た。次に、この固
体を水に溶解し、1N水酸化ナトリウム水溶液を用いて
pH7に調整した。その後、脱塩装置(マイクロアシライ
ザーG3,旭化成社製)により脱塩し、更にそれを凍結
乾燥機により乾燥し、標記化合物17.2g(収率85
%)を白色粉末として得た。
Synthesis Example 5 Preparation of 3,7-didodecyl-5-hydroxy-3,7-diaza-1,9-nonanedisulfate: 3,7-didodecyl-3,7 obtained in Synthesis Example 4 in a reaction vessel. -Diaza-
18 g (0.035 mol) of 1,5,9-nonanetriol and 135 ml of dichloromethane were added, and under a nitrogen stream,
While cooling with ice, 5.1 ml (0.077 mol) of chlorosulfonic acid was added dropwise. Then, the temperature was gradually returned to room temperature, and hydrochloric acid and dichloromethane generated using nitrogen were removed. N-Butanol was added to the residue to dissolve it, washed with water, and then the solvent was distilled off under reduced pressure to obtain 24.8 g of a solid. Next, this solid is dissolved in water and 1N sodium hydroxide aqueous solution is used.
The pH was adjusted to 7. After that, desalting was carried out by a desalting apparatus (Micro Acylyzer G3, manufactured by Asahi Kasei Co., Ltd.), and further dried by a freeze dryer to obtain 17.2 g (yield 85
%) As a white powder.

【0119】この化合物は薄層クロマトグラフィー(展
開溶媒:クロロホルム/メタノール=3/1)により単
一スポット(Rf=0.5)であることを確認した。ま
た、この化合物の1H−NMR(溶媒:CDCl3 )チ
ャートを図1に示す。更に、この化合物のIRスペクト
ルは次のとおりであった。
It was confirmed by thin layer chromatography (developing solvent: chloroform / methanol = 3/1) that this compound had a single spot (Rf = 0.5). A 1 H-NMR (solvent: CDCl 3 ) chart of this compound is shown in FIG. Furthermore, the IR spectrum of this compound was as follows.

【0120】IR(KBr錠剤法,cm-1):3600〜
3200(νO-H )、1390、1192(νS=O )
IR (KBr tablet method, cm -1 ): 3600-
3200 (νO-H), 1390, 1192 (νS = O).

【0121】合成例6 ソディウム3,7−ジドデシル−3,7−ジアザ−1,
5,9−ノナントリサルフェートの製造:反応容器に合
成例4で得た3,7−ジドデシル−3,7−ジアザ−
1,5,9−ノナントリオール18g(0.035モ
ル)及びジクロロメタン150mlを入れ、窒素気流下、
氷冷しながらクロロスルホン酸7.7ml(0.115モ
ル)を滴下した。その後、徐々に室温まで戻し、窒素を
用いて発生する塩酸及びジクロロメタンを除去した。残
渣に水を加えて溶解し、更に1N水酸化ナトリウム水溶
液を用いてpH7に調整した。その後、脱塩装置(マイク
ロアシライザーG3,旭化成社製)により脱塩し、更に
それを凍結乾燥機により乾燥し、標記化合物26.7g
(収率97%)を白色粉末として得た。
Synthesis Example 6 Sodium 3,7-didodecyl-3,7-diaza-1,
Preparation of 5,9-nonanetrisulfate: 3,7-didodecyl-3,7-diaza-obtained in Synthesis Example 4 was placed in a reaction vessel.
18 g (0.035 mol) of 1,5,9-nonanetriol and 150 ml of dichloromethane were added, and under a nitrogen stream.
While cooling with ice, 7.7 ml (0.115 mol) of chlorosulfonic acid was added dropwise. Then, the temperature was gradually returned to room temperature, and hydrochloric acid and dichloromethane generated using nitrogen were removed. Water was added to the residue to dissolve it, and the pH was adjusted to 7 with a 1N aqueous sodium hydroxide solution. After that, desalting was performed using a desalting device (Micro Acylyzer G3, manufactured by Asahi Kasei Co., Ltd.), and the desalting was further dried using a freeze dryer to obtain 26.7 g of the title compound
(Yield 97%) was obtained as a white powder.

【0122】この化合物は薄層クロマトグラフィー(展
開溶媒:クロロホルム/メタノール=1/1)による測
定の結果、単一スポット(Rf=0.3)であることを
確認した。また、この化合物の1H−NMR(溶媒:D2
O)チャートを図2に示す。更に、この化合物のIRス
ペクトルは次のとおりであった。
As a result of measurement by thin layer chromatography (developing solvent: chloroform / methanol = 1/1), this compound was confirmed to be a single spot (Rf = 0.3). In addition, 1 H-NMR of this compound (solvent: D 2
O) chart is shown in FIG. Furthermore, the IR spectrum of this compound was as follows.

【0123】IR(KBr錠剤法,cm-1):1390、
1186(νS=O )
IR (KBr tablet method, cm -1 ): 1390,
1186 (νS = O)

【0124】合成例7 5,9−ジドデシル−4,10−ジオキソ−5,9−ジ
アザ−1,7,13−トリデカントリオールの製造:反
応容器に、15−ヒドロキシ−13,17−ジアザノナ
コサン9.5g(0.02モル)及びγ−ブチロラクト
ン11.7g(0.13モル)を入れ、160℃に昇温
し、この温度で5時間保持して反応させた。反応終了
後、カラムクロマトグラフィー(シリカゲル500g,
展開溶媒:クロロホルム/メタノール=97/3)によ
り精製し、標記化合物6g(収率45%)を粘稠な液体
として得た。
Synthesis Example 7 Preparation of 5,9-didodecyl-4,10-dioxo-5,9-diaza-1,7,13-tridecanetriol: 15-hydroxy-13,17-diazanonacosane 9 was placed in a reaction vessel. 0.5 g (0.02 mol) and γ-butyrolactone 11.7 g (0.13 mol) were added, the temperature was raised to 160 ° C., and the temperature was maintained for 5 hours for reaction. After completion of the reaction, column chromatography (silica gel 500 g,
Purification with a developing solvent: chloroform / methanol = 97/3) gave 6 g (yield 45%) of the title compound as a viscous liquid.

【0125】質量分析(FABイオン化法) m/z=600(M+H)+ (M=C3570521 H−NMR(CDCl3 ):δ(ppm) 0.87(三重線,6H,a), 1.23(幅広い一重線,36H,b), 1.53(五重線,4H,c), 1.85(五重線,4H,h), 2.40〜2.52(幅広い多重線,4H,g), 3.28〜3.40(幅広い多重線,8H,d.e), 3.65(三重線,4H,i), 4.03(幅広い多重線,1H,f)Mass spectrometry (FAB ionization method) m / z = 600 (M + H) + (M = C 35 H 70 O 5 N 2 ) 1 H-NMR (CDCl 3 ): δ (ppm) 0.87 (triplet line) , 6H, a), 1.23 (wide singlet, 36H, b), 1.53 (quintet, 4H, c), 1.85 (quintet, 4H, h), 2.40-2. .52 (wide line, 4H, g), 3.28 to 3.40 (wide line, 8H, de), 3.65 (triple line, 4H, i), 4.03 (wide line) , 1H, f)

【0126】[0126]

【化27】 [Chemical 27]

【0127】合成例8 ジソディウム5,9−ジドデシル−7−ヒドロキシ−
4,10−ジオキソ−5,9−ジアザ−1,13−トリ
デカンジサルフェートの製造:反応容器に合成例7で得
た5,9−ジドデシル−4,10−ジオキソ−5,9−
ジアザ−1,7,13−トリデカントリオール6g
(0.01モル)、ピリジン4.5g(0.06モル)
及びジクロロメタン50mlを入れ、窒素気流下、氷冷し
ながらクロロスルホン酸3ml(0.02モル)を滴下し
た。その後、0〜5℃を維持し、4時間攪拌を続けた。
反応終了後、ナトリウムメチラート(28%メタノール
溶液)11g(0.06モル)により処理した。次に、
溶媒を減圧下留去して、残渣を水に溶解し、電気透析に
より脱塩処理した。この水溶液を凍結乾燥することによ
り、標記化合物3.3g(収率41%)を白色粉末とし
て得た。
Synthesis Example 8 Disodium 5,9-didodecyl-7-hydroxy-
Production of 4,10-dioxo-5,9-diaza-1,13-tridecanedisulfate: 5,9-didodecyl-4,10-dioxo-5,9-obtained in Synthesis Example 7 in a reaction vessel
Diaza-1,7,13-tridecanetriol 6g
(0.01 mol), pyridine 4.5 g (0.06 mol)
And 50 ml of dichloromethane were added thereto, and 3 ml (0.02 mol) of chlorosulfonic acid was added dropwise while cooling with ice under a nitrogen stream. Then, 0-5 degreeC was maintained and stirring was continued for 4 hours.
After completion of the reaction, it was treated with 11 g (0.06 mol) of sodium methylate (28% methanol solution). next,
The solvent was distilled off under reduced pressure, the residue was dissolved in water, and desalted by electrodialysis. By freeze-drying this aqueous solution, 3.3 g (41% yield) of the title compound was obtained as a white powder.

【0128】この化合物は薄層クロマトグラフィー(展
開溶媒:クロロホルム/メタノール=2/1)による測
定の結果、単一スポット(Rf=0.3)であることを
確認した。また、この化合物の1H−NMR(溶媒:C
DCl3)チャートを図3に示す。更に、この化合物の
IRスペクトルは次のとおりであった。
As a result of measurement by thin layer chromatography (developing solvent: chloroform / methanol = 2/1), this compound was confirmed to be a single spot (Rf = 0.3). In addition, 1 H-NMR of this compound (solvent: C
The DCl 3 ) chart is shown in FIG. Furthermore, the IR spectrum of this compound was as follows.

【0129】IR(KBr錠剤法,cm-1):3620
〜3300(νO-H )、1690、(νC=O )、139
2、1188(νS=O )
IR (KBr tablet method, cm -1 ): 3620
~ 3300 (νO-H), 1690, (νC = O), 139
2,1188 (νS = O)

【0130】合成例9 3,7−ジドデシル−2,8−ジオキソ−3,7−ジア
ザ−1,5,9−ノナントリオールの製造:反応容器に
15−ヒドロキシ−13,17−ジアザノナコサン1
0.7g(0.03モル)、トルエン50ml及びグリコ
ール酸エチル7.1ml(0.07モル)を入れ、100
℃に昇温し、窒素気流により生成するエタノールを追い
出しながら、7.5時間攪拌を続けた。反応終了後、減
圧下溶媒を留去して、残渣をカラムクロマトグラフィー
(シリカゲル200g,展開溶媒:クロロホルム/メタ
ノール=100/1)により精製し、標記化合物13g
(収率96%)を粘稠な液体として得た。
Synthesis Example 9 Preparation of 3,7-didodecyl-2,8-dioxo-3,7-diaza-1,5,9-nonanetriol: 15-hydroxy-13,17-diazanononacosane 1 in a reaction vessel
Add 0.7 g (0.03 mol), 50 ml of toluene and 7.1 ml (0.07 mol) of ethyl glycolate, and add 100
The temperature was raised to 0 ° C., and stirring was continued for 7.5 hours while driving out the ethanol produced by the nitrogen stream. After the reaction was completed, the solvent was distilled off under reduced pressure, and the residue was purified by column chromatography (silica gel 200 g, developing solvent: chloroform / methanol = 100/1) to give 13 g of the title compound.
(96% yield) was obtained as a viscous liquid.

【0131】質量分析(FABイオン化法) m/z=543(M+H)+ (M=C3162521 H−NMR(CDCl3 ):δ(ppm) 0.82(三重線,6H,a),1.28(幅広い一重
線,36H,b),1.49〜1.60(幅広い多重
線,4H,c),3.18(三重線,4H,d),3.
48(多重線,4H,e),4.05(幅広い多重線,
1H,f),4.20(一重線,4H,g)
Mass spectrometry (FAB ionization method) m / z = 543 (M + H) + (M = C 31 H 62 O 5 N 2 ) 1 H-NMR (CDCl 3 ): δ (ppm) 0.82 (triplet line) , 6H, a), 1.28 (wide singlet, 36H, b), 1.49 to 1.60 (wide multiplet, 4H, c), 3.18 (triplet, 4H, d), 3.
48 (multiple lines, 4H, e), 4.05 (wide multiple lines,
1H, f), 4.20 (single line, 4H, g)

【0132】[0132]

【化28】 [Chemical 28]

【0133】合成例10 ジソディウム3,7−ジドデシル−5−ヒドロキシ−
2,8−ジオキソ−3,7−ジアザ−1,9−ノナンジ
サルフェートの製造:反応容器に合成例9で得た3,7
−ジドデシル−2,8−ジオキソ−3,7−ジアザ−
1,5,9−ノナントリオール10.8g(0.02モ
ル)及びジクロロメタン50mlを入れ、窒素気流下、氷
冷しながらクロロスルホン酸3ml(0.04モル)を2
0分かけて滴下した。その後、徐々に室温に戻し、窒素
気流により発生する塩酸及びジクロロメタンを除去し
た。残渣に水を加えて溶解し、1N水酸化ナトリウム水
溶液によりpHを7に調整した。この水溶液を電気透析に
より脱塩処理したのち、凍結乾燥することにより、標記
化合物11.8g(収率79%)を白色粉末として得
た。
Synthesis Example 10 Disodium 3,7-didodecyl-5-hydroxy-
Preparation of 2,8-dioxo-3,7-diaza-1,9-nonanedisulfate: 3,7 obtained in Synthesis Example 9 in a reaction vessel
-Didodecyl-2,8-dioxo-3,7-diaza-
10.8 g (0.02 mol) of 1,5,9-nonanetriol and 50 ml of dichloromethane were added, and 2 ml of 3 ml (0.04 mol) of chlorosulfonic acid was added while cooling with ice under a nitrogen stream.
It was added dropwise over 0 minutes. Then, the temperature was gradually returned to room temperature, and hydrochloric acid and dichloromethane generated by a nitrogen stream were removed. Water was added to the residue to dissolve it, and the pH was adjusted to 7 with a 1N aqueous sodium hydroxide solution. The aqueous solution was desalted by electrodialysis and then freeze-dried to obtain 11.8 g (yield 79%) of the title compound as a white powder.

【0134】この化合物は薄層クロマトグラフィー(展
開溶媒:クロロホルム/メタノール=3/1)による測
定の結果、単一スポット(Rf=0.2)であることを
確認した。また、この化合物の1H−NMR(溶媒:D2
O)チャートを図4に示す。更に、この化合物のIRス
ペクトルは次のとおりであった。
As a result of measurement by thin layer chromatography (developing solvent: chloroform / methanol = 3/1), this compound was confirmed to be a single spot (Rf = 0.2). In addition, 1 H-NMR of this compound (solvent: D 2
O) chart is shown in FIG. Furthermore, the IR spectrum of this compound was as follows.

【0135】IR(KBr錠剤法,cm-1):3600
〜3200(νO-H )、1658(νC=O )、138
5、1195(νS=O )
IR (KBr tablet method, cm -1 ): 3600
~ 3200 (νO-H), 1658 (νC = O), 138
5, 1195 (νS = O)

【0136】合成例11 トリソディウム3,7−ジドデシル−2,8−ジオキソ
−3,7−ジアザ−1,5,9−ノナントリサルフェー
トの製造:反応容器に合成例9で得た3,7−ジドデシ
ル−2,8−ジオキソ−3,7−ジアザ−1,5,9−
ノナントリオール28g(0.05モル)及びジクロロ
メタン100mlを入れ、窒素気流下、氷冷しながらクロ
ロスルホン酸11ml(0.16モル)を滴下した。その
後、徐々に室温に戻し、窒素気流により発生する塩酸及
びジクロロメタンを除去した。残渣に水を加えて溶解
し、1N水酸化ナトリウム水溶液によりpHを7に調整し
た。この水溶液を電気透析により脱塩処理したのち、凍
結乾燥することにより、標記化合物28g(収率66
%)を白色粉末として得た。
Synthesis Example 11 Preparation of trisodium 3,7-didodecyl-2,8-dioxo-3,7-diaza-1,5,9-nonanetrisulfate: 3,7 obtained in Synthesis Example 9 in a reaction vessel. -Didodecyl-2,8-dioxo-3,7-diaza-1,5,9-
28 g (0.05 mol) of nonanetriol and 100 ml of dichloromethane were added, and 11 ml (0.16 mol) of chlorosulfonic acid was added dropwise while cooling with ice under a nitrogen stream. Then, the temperature was gradually returned to room temperature, and hydrochloric acid and dichloromethane generated by a nitrogen stream were removed. Water was added to the residue to dissolve it, and the pH was adjusted to 7 with a 1N aqueous sodium hydroxide solution. This aqueous solution was desalted by electrodialysis and then freeze-dried to give 28 g of the title compound (yield: 66
%) As a white powder.

【0137】この化合物は薄層クロマトグラフィー(展
開溶媒:クロロホルム/メタノール=1/1)による測
定の結果、単一スポット(Rf=0.3)であることを
確認した。また、この化合物の1H−NMR(溶媒:D2
O)チャートを図5に示す。更に、この化合物のIRス
ペクトルは次のとおりであった。
As a result of measurement by thin layer chromatography (developing solvent: chloroform / methanol = 1/1), this compound was confirmed to be a single spot (Rf = 0.3). In addition, 1 H-NMR of this compound (solvent: D 2
O) chart is shown in FIG. Furthermore, the IR spectrum of this compound was as follows.

【0138】IR(KBr錠剤法,cm-1):139
0、1185(νS=O )、1660(νC=O )
IR (KBr tablet method, cm −1 ): 139
0, 1185 (νS = O), 1660 (νC = O).

【0139】合成例12 反応容器に、ビス−(1,3−デシルアミノ)プロパン
−2−オール22.2g(0.06モル)、無水コハク
酸13.2g(0.12モル)及び無水エーテル300
mlを入れ、5時間還流した。反応終了後、エーテルを減
圧下留去することにより、4,8−ジデシル−3,9−
ジオキソ−6−ヒドロキシ−4,8−ジアザ−1,11
−ウンデカンジカルボン酸34g(0.06モル)をガ
ラス状固体として得た。
Synthesis Example 12 Bis- (1,3-decylamino) propan-2-ol 22.2 g (0.06 mol), succinic anhydride 13.2 g (0.12 mol) and anhydrous ether 300 were placed in a reaction vessel.
ml was added and refluxed for 5 hours. After completion of the reaction, ether was distilled off under reduced pressure to give 4,8-didecyl-3,9-
Dioxo-6-hydroxy-4,8-diaza-1,11
34 g (0.06 mol) of undecanedicarboxylic acid were obtained as a glassy solid.

【0140】本化合物を水酸化ナトリウムによりpH10
に調整したものは、HPLC測定において(カラム:R
P−18(メルク社製))、溶離液メタノール/水=8
0/20測定に於いて単一ピークを与えた。以下に、1
H−NMRデータを示す。
The compound was adjusted to pH 10 with sodium hydroxide.
The ones adjusted to (column: R
P-18 (Merck), eluent methanol / water = 8
A single peak was given in the 0/20 measurement. Below, 1
1H-NMR data are shown.

【0141】1H−NMR(CDCl3):δ(ppm)
TMS基準 0.88(三重線,6H,a),1.26(幅広い一重
線,28H,b),1.47(幅広い一重線,4H,
c),2.64(複雑な多重線,8H,g.h),3.
3〜3.9(複雑な多重線,9H,d.e.f)
1 H-NMR (CDCl 3 ): δ (ppm)
TMS standard 0.88 (triple line, 6H, a), 1.26 (wide singlet, 28H, b), 1.47 (wide singlet, 4H,
c), 2.64 (complex multiline, 8H, gh), 3.
3 to 3.9 (complex multi-line, 9H, df)

【0142】[0142]

【化29】 [Chemical 29]

【0143】合成例13 反応容器に、ビス−(1,3−デシルアミノ)プロパン
−2−オール17.04g(0.04モル)、無水マレ
イン酸7.8g(0.08モル)及び無水エーテル20
0mlを入れ、3時間還流した。反応終了後、減圧下、エ
ーテルを留去し、4,8−ジドデシル−3,9−ジオキ
ソ−6−ヒドロキシ−4,8−ジアザ−1,10−ウン
デケン−1,11−ジカルボン酸25g(0.04モ
ル)をガラス状固体として得た。
Synthesis Example 13 Bis- (1,3-decylamino) propan-2-ol 17.04 g (0.04 mol), maleic anhydride 7.8 g (0.08 mol) and anhydrous ether 20 were placed in a reaction vessel.
0 ml was added and the mixture was refluxed for 3 hours. After completion of the reaction, ether was distilled off under reduced pressure to obtain 25 g (0,0) of 4,8-didodecyl-3,9-dioxo-6-hydroxy-4,8-diaza-1,10-undecene-1,11-dicarboxylic acid. 0.04 mol) was obtained as a glassy solid.

【0144】本化合物を水酸化ナトリウムによりpH7に
調整したものは、HPLC測定において(カラム:RP
−18(メルク社製)),溶離液メタノール/水=75
/25測定に於いて単一ピークを与えた。以下に、1
−NMRデータを示す。
The compound of the present invention adjusted to pH 7 with sodium hydroxide was used in HPLC measurement (column: RP
-18 (Merck), eluent methanol / water = 75
A single peak was given in the / 25 measurement. Below, 1 H
-Indicates NMR data.

【0145】1H−NMR(CDCl3):δ(ppm)
TMS基準 0.89(三重線,6H,a),1.27(幅広い一重
線,36H,b),1.56(幅広い一重線,4H,
c),3.25〜3.58(複雑な多重線,8H,d.
e),3.8〜3.95(複雑な多重線,1H,f),
6.1〜6.65(複雑な多重線,4H,g.h)
1 H-NMR (CDCl 3 ): δ (ppm)
TMS standard 0.89 (triple line, 6H, a), 1.27 (wide singlet, 36H, b), 1.56 (wide singlet, 4H,
c), 3.25-3.58 (complex multiline, 8H, d.
e), 3.8-3.95 (complex multiline, 1H, f),
6.1 to 6.65 (complex multi-line, 4H, gh)

【0146】[0146]

【化30】 Embedded image

【0147】合成例14 反応容器にビス−(1,3−ドデシルアミノ)プロパン
−2−オール10.65g(0.025モル)、トルエ
ン100ml及び酒石酸ジエチル17.1ml(0.1モ
ル)を入れ、80℃で、生成してくるエタノールを除去
しながら20時間反応させた。反応終了後、水洗により
過剰の酒石酸ジエチルを除去し、トルエン層を無水硫酸
ナトリウムで乾燥した。その後、減圧下において乾固す
ることにより、4,8−ジドデシル−3,9−ジオキソ
−1,2,6,10,11−ペンタヒドロキシ−4,8
−ジアザ−1,11−ウンデカンジカルボン酸エチル1
8g(0.024モル)(97%)を粘稠な液体として
得た。以下に、1H−NMRデータを示す。
Synthesis Example 14 10.65 g (0.025 mol) of bis- (1,3-dodecylamino) propan-2-ol, 100 ml of toluene and 17.1 ml (0.1 mol) of diethyl tartrate were placed in a reaction vessel. The reaction was carried out at 80 ° C. for 20 hours while removing generated ethanol. After completion of the reaction, excess diethyl tartrate was removed by washing with water, and the toluene layer was dried over anhydrous sodium sulfate. Then, by drying under reduced pressure, 4,8-didodecyl-3,9-dioxo-1,2,6,10,11-pentahydroxy-4,8
-Ethyl diaza-1,11-undecane dicarboxylate 1
8 g (0.024 mol) (97%) were obtained as a viscous liquid. The 1 H-NMR data is shown below.

【0148】1H−NMR(CDCl3):δ(ppm)
TMS基準 0.89(三重線,6H,a),1.2〜1.3(複雑
な多重線,42H,b.j),1.63(幅広い一重
線,4H,c),3.52(幅広い一重線,8H,d.
e),3.7〜4.1(複雑な多重線,5H,i.
f),4.6〜4.85(複雑な多重線,4H,g.
h)
1 H-NMR (CDCl 3 ): δ (ppm)
TMS standard 0.89 (triple line, 6H, a), 1.2-1.3 (complex multiplex line, 42H, bj), 1.63 (wide singlet, 4H, c), 3.52 (Wide singlet, 8H, d.
e), 3.7-4.1 (complex multiline, 5H, i.
f), 4.6-4.85 (complex multiline, 4H, g.
h)

【0149】[0149]

【化31】 [Chemical 31]

【0150】合成例15 合成例14で得た4,8−ジドデシル−3,9−ジオキ
ソ−1,2,6,10,11−ペンタヒドロキシ−4,
8−ジアザ−1,11−ウンデカンジカルボン酸エチル
を水−エタノール(1:1)50mlに溶解し、水酸化ナ
トリウム2g(0.05モル)を加えて、60℃で10
時間攪拌した。反応終了後、混合液中のエタノールを減
圧下留去し、水溶液を凍結乾燥することにより、4,8
−ジドデシル−3,9−ジオキソ−1,2,6,10,
11−ペンタヒドロキシ−4,8−ジアザ−1,11−
ウンデカンジカルボン酸ナトリウム(定量的)を白色粉
末として得た。
Synthesis Example 15 4,8-Didodecyl-3,9-dioxo-1,2,6,10,11-pentahydroxy-4, obtained in Synthesis Example 14
Ethyl 8-diaza-1,11-undecanedicarboxylate was dissolved in 50 ml of water-ethanol (1: 1), 2 g (0.05 mol) of sodium hydroxide was added, and the mixture was added at 10 ° C at 10 ° C.
Stir for hours. After completion of the reaction, ethanol in the mixed solution was distilled off under reduced pressure, and the aqueous solution was freeze-dried to give 4,8
-Didodecyl-3,9-dioxo-1,2,6,10,
11-pentahydroxy-4,8-diaza-1,11-
Sodium undecanedicarboxylate (quantitative) was obtained as a white powder.

【0151】この化合物はIRスペクトル(KBr錠剤
法)において、3000−3700cm-1(νO-H)、1
500−1800cm-1(νC=O)に吸収が認められた。
This compound had an IR spectrum (KBr tablet method) of 3000-3700 cm -1 (νO-H), 1
Absorption was observed at 500-1800 cm -1 (νC = O).

【0152】合成例16 反応容器にビス−(1,3−ドデシルアミノ)プロパン
−2−オール12.78g(0.03モル)、トルエン
100ml及びクエン酸トリエチル30ml(0.12モ
ル)を入れ、100℃で、生成してくるエタノールを除
去しながら25時間反応させた。反応終了後、トルエン
を減圧下留去し、残渣をシリカゲルカラムクロマトグラ
フィーにより精製し、5,9−ジドデシル−4,10−
ジオキソ−2,7,12−トリヒドロキシ−5,9−ジ
アザ−1,2,12,13−トリデカンテトラカルボン
酸エチル25g(0.028モル)を白色粉末として得
た。以下に、1H−NMRデータを示す。
Synthesis Example 16 12.78 g (0.03 mol) of bis- (1,3-dodecylamino) propan-2-ol, 100 ml of toluene and 30 ml (0.12 mol) of triethyl citrate were placed in a reaction vessel, The reaction was carried out at 100 ° C. for 25 hours while removing generated ethanol. After completion of the reaction, toluene was distilled off under reduced pressure, the residue was purified by silica gel column chromatography, and 5,9-didodecyl-4,10-
25 g (0.028 mol) of ethyl dioxo-2,7,12-trihydroxy-5,9-diaza-1,2,12,13-tridecanetetracarboxylate was obtained as a white powder. The 1 H-NMR data is shown below.

【0153】1H−NMR(CDCl3 ):δ(pp
m)TMS基準 0.9(三重線,6H,a),1.3(複雑な多重線,
48H,b.j),1.65(幅広い一重線,4H,
c),2.7〜2.9(複雑な多重線,8H,g.
h),3.45(幅広い一重線,8H,d.e),3.
85〜4.3(複雑な多重線,9H,i.f)
1 H-NMR (CDCl 3 ): δ (pp
m) TMS standard 0.9 (triple line, 6H, a), 1.3 (complex multiplex line,
48H, b. j), 1.65 (wide singlet, 4H,
c), 2.7-2.9 (complex multiline, 8H, g.
h), 3.45 (wide singlet, 8H, de), 3.
85-4.3 (complex multi-line, 9H, if)

【0154】[0154]

【化32】 [Chemical 32]

【0155】合成例17 合成例16で得た5,9−ジドデシル−4,10−ジオ
キソ−2,7,12−トリヒドロキシ−5,9−ジアザ
−1,2,12,13−トリデカンテトラカルボン酸エ
チル22g(0.025モル)を水−エタノール(1:
1)50mlに溶解し、水酸化ナトリウム2g(0.05
モル)を加えて、60℃で5時間攪拌した。反応終了
後、混合液中のエタノールを減圧下留去し、水溶液に水
酸化ナトリウム2gを更に加え、凍結乾燥することによ
り、5,9−ジドデシル−4,10−ジオキソ−2,
7,12−トリヒドロキシ−5,9−ジアザ−1,2,
12,13−トリデカンテトラカルボン酸ナトリウム
(定量的)を白色粉末として得た。
Synthesis Example 17 5,9-didodecyl-4,10-dioxo-2,7,12-trihydroxy-5,9-diaza-1,2,12,13-tridecanetetra obtained in Synthesis Example 16 22 g (0.025 mol) of ethyl carboxylate was added to water-ethanol (1:
1) Dissolve in 50 ml and 2 g of sodium hydroxide (0.05
Mol) was added and the mixture was stirred at 60 ° C. for 5 hours. After completion of the reaction, ethanol in the mixed solution was distilled off under reduced pressure, 2 g of sodium hydroxide was further added to the aqueous solution, and freeze-dried to give 5,9-didodecyl-4,10-dioxo-2,
7,12-trihydroxy-5,9-diaza-1,2,
Sodium 12,13-tridecanetetracarboxylate (quantitative) was obtained as a white powder.

【0156】この化合物はIRスペクトル(KBr錠剤
法)において、3000−3700cm-1(νO-H)、1
500−1800cm-1(νC=O)に吸収が認められた。
This compound had an IR spectrum (KBr tablet method) of 3000-3700 cm -1 (νO-H), 1
Absorption was observed at 500-1800 cm -1 (νC = O).

【0157】合成例18 反応容器に、合成例13で得た4,8−ジドデシル−
3,9−ジオキソ−6−ヒドロキシ−4,8−ジアザ−
1,10−ウンデケン−1,11−ジカルボン酸21.
8g(0.035モル)と亜硫酸ナトリウム9.7g
(0.077モル)の水溶液70mlを加え、反応混合物
中のpHを5〜6に保ち、60℃で2時間攪拌した。反応
終了後、反応液を1N水酸化ナトリウム水溶液でpH7に
調整し、電気透析により過剰の亜硫酸ナトリウムを除去
した。その後、凍結乾燥処理し、4,8−ジドデシル−
3,9−ジオキソ−6−ヒドロキシ−1,11−ジカル
ボキシ−4,8−ジアザ−1,11−ウンデカンジスル
ホン酸ナトリウム30gを白色粉末として得た。この化
合物を1N塩酸で処理して得られた下記式
Synthesis Example 18 4,8-didodecyl-obtained in Synthesis Example 13 was placed in a reaction vessel.
3,9-dioxo-6-hydroxy-4,8-diaza-
1,10-undecene-1,11-dicarboxylic acid 21.
8 g (0.035 mol) and sodium sulfite 9.7 g
70 ml of an aqueous solution of (0.077 mol) was added, the pH of the reaction mixture was maintained at 5 to 6, and the mixture was stirred at 60 ° C. for 2 hours. After completion of the reaction, the reaction solution was adjusted to pH 7 with a 1N aqueous sodium hydroxide solution, and excess sodium sulfite was removed by electrodialysis. Then, it is freeze-dried and 4,8-didodecyl-
30 g of sodium 3,9-dioxo-6-hydroxy-1,11-dicarboxy-4,8-diaza-1,11-undecanedisulfonate was obtained as a white powder. The following formula obtained by treating this compound with 1N hydrochloric acid

【0158】[0158]

【化33】 [Chemical 33]

【0159】で示される4,8−ジドデシル−3,9−
ジオキソ−6−ヒドロキシ−1,11−ジカルボキシ−
4,8−ジアザ−1,11−ウンデカンジスルホン酸
は、質量分析(FABイオン化法)の結果、m/z=7
83(M+H)のピークを示した。また、その1H−N
MRの結果は次のとおりである。
4,8-didodecyl-3,9-
Dioxo-6-hydroxy-1,11-dicarboxy-
4,8-diaza-1,11-undecanedisulfonic acid showed m / z = 7 as a result of mass spectrometry (FAB ionization method).
A peak of 83 (M + H) was shown. Also, 1 H-N
The results of MR are as follows.

【0160】1H−NMR(CDCl3 ):δ(pp
m)TMS基準 0.88(三重線,6H,a),1.26(幅広い一重
線,36H,b),1.54(幅広い一重線,4H,
c),2.74(複雑な多重線,2H,g),3.25
〜3.9(複雑な多重線,11H,d.e.f.h)
1 H-NMR (CDCl 3 ): δ (pp
m) TMS standard 0.88 (triple line, 6H, a), 1.26 (wide singlet, 36H, b), 1.54 (wide singlet, 4H,
c), 2.74 (complex multiline, 2H, g), 3.25.
~ 3.9 (complex multi-line, 11H, d.e.f.h)

【0161】合成例19 反応容器に9−ヒドロキシ−7,11−ジアザ−トリコ
サン20g(0.058モル)、無水コハク酸13g
(0.130モル)及び無水エーテル300mlを入れ、
5時間還流した。反応終了後、不溶の過剰無水コハク酸
を濾過で除き、エーテル層を水洗した。エーテルを減圧
下、留去することにより、粘稠な透明液体の4−ドデシ
ル−8−ヘキシル−3,9−ジオキソ−6−ヒドロキシ
−4,8−ジアザ−1,11−ウンデカンジカルボン酸
30g(0.055モル)を得た。
Synthesis Example 19 20 g (0.058 mol) of 9-hydroxy-7,11-diaza-tricosane and 13 g of succinic anhydride were placed in a reaction vessel.
(0.130 mol) and 300 ml of anhydrous ether,
Refluxed for 5 hours. After completion of the reaction, insoluble excess succinic anhydride was removed by filtration, and the ether layer was washed with water. The ether was distilled off under reduced pressure to give a viscous transparent liquid of 4-dodecyl-8-hexyl-3,9-dioxo-6-hydroxy-4,8-diaza-1,11-undecanedicarboxylic acid 30 g ( 0.055 mol) was obtained.

【0162】本化合物を水酸化ナトリウムによりpH10
に調整したものは、HPLC測定において(カラム:R
P−18(メルク社製)),溶離液メタノール/水=8
0/20測定に於いて単一ピークを与えた。以下に1
−NMRデータを示す。
The compound was adjusted to pH 10 with sodium hydroxide.
The ones adjusted to (column: R
P-18 (manufactured by Merck), eluent methanol / water = 8
A single peak was given in the 0/20 measurement. 1 H below
-Indicates NMR data.

【0163】1H−NMR(CDCl3 ):δ(pp
m)TMS基準 0.89(三重線,6H,a),1.26(幅広い一重
線,24H,b),1.43(幅広い一重線,4H,
c),2.60(複雑な多重線,8H,g.h),3.
29〜3.87(複雑な多重線,9H,d.e.f)
1 H-NMR (CDCl 3 ): δ (pp
m) TMS standard 0.89 (triple line, 6H, a), 1.26 (wide singlet, 24H, b), 1.43 (wide singlet, 4H,
c), 2.60 (complex multiline, 8H, gh), 3.
29-3.87 (complex multi-line, 9H, df)

【0164】[0164]

【化34】 Embedded image

【0165】合成例20 反応容器にビス−(1,3−ドデシルアミノ)プロパン
−2−オール21.3g(0.05モル)、無水コハク
酸16.5g(0.15モル)及び無水エーテル300
mlを入れ、5時間還流した。反応終了後、エーテルを減
圧下留去することにより、6−(3−カルボヒドロキシ
−プロパノキシ)−4,8−ジドデシル−3,9−ジオ
キソ−4,8−ジアザ−1,11−ウンデカンジカルボ
ン酸37.8g(定量的)を得た。
Synthesis Example 20 Bis- (1,3-dodecylamino) propan-2-ol 21.3 g (0.05 mol), succinic anhydride 16.5 g (0.15 mol) and anhydrous ether 300 were placed in a reaction vessel.
ml was added and refluxed for 5 hours. After the reaction was completed, ether was distilled off under reduced pressure to give 6- (3-carbohydroxy-propanoxy) -4,8-didodecyl-3,9-dioxo-4,8-diaza-1,11-undecanedicarboxylic acid. 37.8 g (quantitative) was obtained.

【0166】本化合物はHPLC測定(カラム:RP−
18(メルク社製)),溶離液メタノール/水=90/
10,50mM酢酸アンモニウム測定に於いて単一ピーク
を与えた。
This compound was analyzed by HPLC (column: RP-
18 (manufactured by Merck), eluent methanol / water = 90 /
A single peak was given in the 10,50 mM ammonium acetate assay.

【0167】1H−NMR(CDCl3 ):δ(pp
m)TMS基準 0.89(三重線,6H,a),1.28(幅広い一重
線,24H,b),1.55(幅広い一重線,4H,
c),2.24〜2.82(複雑な多重線,12H,
g.h),2.90〜3.83(複雑な多重線,9H,
d.e.f)
1 H-NMR (CDCl 3 ): δ (pp
m) TMS standard 0.89 (triple line, 6H, a), 1.28 (wide singlet, 24H, b), 1.55 (wide singlet, 4H,
c), 2.24 to 2.82 (complex multiline, 12H,
g. h), 2.90 to 3.83 (complex multiline, 9H,
d. e. f)

【0168】[0168]

【化35】 Embedded image

【0169】IR(KBr):3560,2940,2
860,1730,1630,1560cm-1
IR (KBr): 3560, 2940, 2
860, 1730, 1630, 1560 cm -1

【0170】合成例21 反応容器にビス−(1,3−デシルアミノ)プロパン−
2−オール40.2g(0.108モル)、エタノール
260g、水100gを入れ、50℃に昇温した。これ
に、モノクロロ酢酸ナトリウム62.9g(0.540
モル)をイソプロパノール150gと水100gに溶解
させた溶液を加え、pHを8〜10に保ちながら、還流下
30時間反応させた。反応終了後、溶媒を留去しクロロ
ホルムに溶解し、不溶の未反応モノクロロ酢酸ナトリウ
ム、副生する塩化ナトリウム等の塩類を除いた。この
後、シリカゲルカラムクロマトグラフィーにより、薄層
クロマトグラフィーで単一のスポットを与えるまで精製
し、2,6−ジデシル−4−ヒドロキシ−2,6−ジア
ザ−1,1,7,7−ヘプタンテトラカルボン酸20g
を白色粉末として得た(単離収率31%)。このもの
は、HPLC(カラム:RP−18(メルク社製))、
溶離液メタノール/水=80/20測定に於いて単一の
ピークを与えた。以下に1H−NMRデータを示す。
Synthesis Example 21 Bis- (1,3-decylamino) propane-in a reaction vessel
2-Ol 40.2g (0.108mol), ethanol 260g, and water 100g were put, and it heated up at 50 degreeC. To this, sodium monochloroacetate 62.9 g (0.540
Was added to a solution of 150 g of isopropanol dissolved in 150 g of isopropanol and 100 g of water, and the mixture was reacted under reflux for 30 hours while keeping the pH at 8 to 10. After completion of the reaction, the solvent was distilled off and the residue was dissolved in chloroform to remove insoluble unreacted sodium monochloroacetate and by-produced salts such as sodium chloride. After that, it was purified by silica gel column chromatography until a single spot was obtained by thin layer chromatography, and 2,6-didecyl-4-hydroxy-2,6-diaza-1,1,7,7-heptanetetra was obtained. 20 g of carboxylic acid
Was obtained as a white powder (isolated yield 31%). This product was analyzed by HPLC (column: RP-18 (manufactured by Merck)),
Eluent methanol / water = gave a single peak in the 80/20 measurement. The 1 H-NMR data is shown below.

【0171】1H−NMR(D2O):δ(ppm)D2
O基準(4.75) 0.82(三重線,6H,a),1.24(幅広い一重
線,28H,b),1.57(幅広い一重線,4H,
c),2.99〜3.32(複雑な多重線,8H,
d),3.58(幅広い一重線,8H,f),4.02
(幅広い一重線,1H,e)
1 H-NMR (D 2 O): δ (ppm) D 2
O standard (4.75) 0.82 (triple line, 6H, a), 1.24 (wide singlet, 28H, b), 1.57 (wide singlet, 4H,
c), 2.99 to 3.32 (complex multiline, 8H,
d), 3.58 (wide singlet, 8H, f), 4.02
(Wide singlet, 1H, e)

【0172】[0172]

【化36】 Embedded image

【0173】合成例22 反応容器に合成例2で得た2,6−ジオクチル−4−ヒ
ドロキシ−2,6−ジアザ−1,7−ヘプタンジカルボ
ン酸10g(0.023モル)及びメタノール20gを
入れ、50℃に昇温した。これにヨウ化メチル8.4g
(0.06モル)を加え、60℃で14時間反応させ
た。反応終了後、溶媒を留去し、電気透析にて脱塩し、
凍結乾燥することにより、2,6−ジメチル−2,6−
ジオクチル−4−ヒドロキシ−2,6−ジアザ−1,7
−ヘプタンジカルボン酸9.5gを(単離収率90%)
白色粉末として得た。以下に1H−NMRデータを示
す。
Synthesis Example 22 10 g (0.023 mol) of 2,6-dioctyl-4-hydroxy-2,6-diaza-1,7-heptanedicarboxylic acid obtained in Synthesis Example 2 and 20 g of methanol were placed in a reaction vessel. The temperature was raised to 50 ° C. 8.4g of methyl iodide
(0.06 mol) was added, and the mixture was reacted at 60 ° C for 14 hours. After completion of the reaction, the solvent was distilled off and desalted by electrodialysis,
By freeze-drying, 2,6-dimethyl-2,6-
Dioctyl-4-hydroxy-2,6-diaza-1,7
-9.5 g of heptane dicarboxylic acid (isolated yield 90%)
Obtained as a white powder. The 1 H-NMR data is shown below.

【0174】1H−NMR(D2O):δ(ppm)D2
O基準(4.75) 0.82(三重線,6H,a),1.22(幅広い一重
線,20H,b),1.59(幅広い一重線,4H,
c),3.02(一重線,6H,e),3.05〜3.
30(複雑な多重線,8H,d),3.94(一重線,
4H,f),4.11(幅広い一重線,1H,g),
1 H-NMR (D 2 O): δ (ppm) D 2
O standard (4.75) 0.82 (triple line, 6H, a), 1.22 (wide singlet, 20H, b), 1.59 (wide singlet, 4H,
c), 3.02 (single line, 6H, e), 3.05-3.
30 (complex multi-line, 8H, d), 3.94 (single line,
4H, f), 4.11 (wide singlet, 1H, g),

【0175】[0175]

【化37】 Embedded image

【0176】試験例1 下記の方法により、皮膚に対する刺激性を検討した。 (試験方法)モルモット(n=5)の側腹部の毛を剃毛
した後、10%試料溶液30mgを直径約2cmの円形状に
塗布した(計8回)。1日1回塗布を4日間繰り返した
のち、塗布皮膚部の状態を判定した。判定は5段階評価
で行いモルモットの数の平均を算出した。
Test Example 1 The irritation to the skin was examined by the following method. (Test method) After shaving the hair on the flank of a guinea pig (n = 5), 30 mg of a 10% sample solution was applied in a circular shape having a diameter of about 2 cm (total 8 times). After the application was repeated once a day for 4 days, the condition of the applied skin part was evaluated. Judgment was performed by a 5-step evaluation, and the average number of guinea pigs was calculated.

【0177】[0177]

【表1】 [Table 1]

【0178】[0178]

【表2】 [Table 2]

【0179】表2より化合物(1)はラウリル硫酸トリ
エタノールアミンに比べて明らかに皮膚刺激性が弱いこ
とが判明した。
From Table 2, it was revealed that the compound (1) was obviously weaker in skin irritation than triethanolamine lauryl sulfate.

【0180】実施例1 表3に示す組成の硬質表面用洗浄剤組成物を調製し、実
際に手あか汚れやドロ、ヤニ汚れのついた家庭の窓ガラ
スを洗浄し、その洗浄力及び仕上り性について下記評価
方法により評価を行った。
Example 1 A detergent composition for hard surfaces having the composition shown in Table 3 was prepared, and a window glass of a household with hand stains, stains, and tar stains was actually washed. The evaluation was performed by the following evaluation method.

【0181】(洗浄力及び仕上り性の評価方法)洗浄液
を市販のスプレーヤーで2mlスプレーし、乾いたタオル
で拭き取った後に、下記の評価基準にて官能評価をする
ことにより行った。
(Evaluation Method of Detergency and Finishing Property) 2 ml of the cleaning liquid was sprayed with a commercially available sprayer, wiped off with a dry towel, and then sensory evaluation was performed according to the following evaluation criteria.

【0182】評価基準 ・洗浄力 ○:汚れが8割以上落ちる。 △:汚れが3割以上8割未満落ちる。 ×:汚れがほとんど落ちない。Evaluation Criteria: Detergency ○: Dirt drops by 80% or more. Δ: Dirt drops by 30% or more and less than 80%. X: Almost no dirt is removed.

【0183】・仕上り性 ○:拭きすじがほとんどない。 △:やや拭きすじが残る。 ×:拭きすじが残る。-Finishability ◯: Almost no wiping lines. Δ: Some wiping marks remain. X: Wiping lines remain.

【0184】[0184]

【表3】 [Table 3]

【0185】実施例2〜7 表4に示す組成の硬質表面用洗浄剤組成物を調製し、洗
浄力について下記評価方法により評価を行った。
Examples 2 to 7 Cleaning compositions for hard surfaces having the compositions shown in Table 4 were prepared, and the detergency was evaluated by the following evaluation methods.

【0186】(評価方法)天ぷら油を200〜220℃
で20hrs 加熱した後、100℃で空気を吹き込みなが
ら10hrs 処理して油を劣化させた。この劣化した油
を、ステンレス板の表面に5g塗布し、室温に1ケ月放
置した。この汚れに各組成物を滴下し、5分間放置後、
乾いたタオルで拭き取った後に、下記の評価基準にて官
能評価を行った。
(Evaluation method) Tempura oil was added at 200 to 220 ° C.
After heating for 20 hours at 100 ° C., the oil was deteriorated by treating for 10 hours while blowing air at 100 ° C. 5 g of this deteriorated oil was applied to the surface of the stainless steel plate and left at room temperature for 1 month. Each composition was dropped on this stain, left for 5 minutes, and then
After wiping off with a dry towel, sensory evaluation was performed according to the following evaluation criteria.

【0187】・洗浄力 ◎:汚れ落ちが非常に良好である。 ○:汚れ落ちが良好である。 △:汚れが落ちない箇所が有る。 ×:ほとんど汚れが落ちない。Detergency ⊚: Stain removal is very good. ◯: Stain removal is good. Δ: There are places where stains do not come off. X: Almost no dirt is removed.

【0188】[0188]

【表4】 [Table 4]

【0189】実施例8〜10 表5に示す硬質表面用洗浄剤組成物を調製し、洗浄力に
ついて下記評価方法により評価を行った。
Examples 8 to 10 The cleaning compositions for hard surfaces shown in Table 5 were prepared, and the cleaning power was evaluated by the following evaluation methods.

【0190】(評価方法)洗浄力の評価は、こすった程
度では落ちない風呂汚れが付着している鋳鉄ホーロー浴
槽を、評価用洗浄剤を含ませたウレタン製のスポンジに
約500gの荷重をかけ5往復こすった後の浴槽表面の
汚れの除去状態を視覚によって下記の5段階に評価し
た。
(Evaluation method) The evaluation of the detergency was carried out by applying a load of about 500 g to a urethane sponge containing a cleaning agent for evaluation, using a cast iron enamel bath with bath stains that would not be removed by rubbing. The state of removal of stains on the bath surface after rubbing 5 times back and forth was visually evaluated in the following 5 grades.

【0191】・洗浄力 5:汚れ落ちが非常に良好である。 4:汚れ落ちが良好である。 3:汚れ落ちにむらがある。 2:若干汚れが落ちる程度である。 1:ほとんど汚れが落ちない。Detergency 5: Stain removal is very good. 4: Good stain removal. 3: There is uneven stain removal. 2: The stain is slightly removed. 1: Almost no dirt is removed.

【0192】また、スポンジでこすっている時の泡立ち
性(すべり易さ)についても同時に評価した。
Further, the foamability (slipperiness) when rubbing with a sponge was also evaluated.

【0193】[0193]

【表5】 [Table 5]

【0194】実施例11〜15 表6に示す硬質表面用洗浄剤組成物を調製し、洗浄力に
ついて下記評価方法により評価を行った。
Examples 11 to 15 The cleaning compositions for hard surfaces shown in Table 6 were prepared, and the detergency was evaluated by the following evaluation methods.

【0195】(評価方法)実際の家庭の非水洗の小便器
用トイレ汚れに、各組成物を10g塗布し、枝つきブラ
シにより10回こすり、下記の評価基準にて官能評価を
行った。
(Evaluation method) 10 g of each composition was applied to a non-washed toilet bowl for urinals in an actual household, which was rubbed 10 times with a brush having a branch, and a sensory evaluation was performed according to the following evaluation criteria.

【0196】・洗浄力 ○:汚れ落ちが良好である。 △:汚れ落ちがやや良好である。 ×:汚れがほとんど落ちない。Detergency: Good stain removal. Δ: Stain removal is slightly good. X: Almost no dirt is removed.

【0197】[0197]

【表6】 [Table 6]

【0198】実施例1〜15より、本発明の硬質表面用
洗浄剤組成物は、手あか汚れやドロ、ヤニ汚れのついた
ガラス、油汚れのついた台所回り、浴室、トイレ等の種
々の硬質表面の汚れに対する洗浄力に優れ、かつ仕上り
性、泡のすべり性が良好であることがわかる。
From Examples 1 to 15, the cleaning composition for hard surfaces of the present invention was used in various hard surfaces such as hand stains, mud, glass with tar stains, kitchen areas with oil stains, bathrooms, toilets and the like. It can be seen that the detergency for surface stains is excellent, and the finishability and foam slipperiness are good.

【0199】[0199]

【発明の効果】本発明の硬質表面用洗浄剤組成物は、台
所回り、浴室、トイレ、窓ガラス等の硬質表面の汚れに
対する洗浄力に優れ、仕上り性が良好で、しかも皮膚に
対する刺激性が少ないものである。
The detergent composition for hard surfaces of the present invention has excellent cleaning power for stains on hard surfaces such as kitchen areas, bathrooms, toilets, window glass, etc., has good finishing properties, and is not irritating to the skin. There are few.

【図面の簡単な説明】[Brief description of drawings]

【図1】合成例5で得られた化合物の1H−NMRチャ
ートである。
FIG. 1 is a 1 H-NMR chart of the compound obtained in Synthesis Example 5.

【図2】合成例6で得られた化合物の1H−NMRチャ
ートである。
FIG. 2 is a 1 H-NMR chart of the compound obtained in Synthesis Example 6.

【図3】合成例8で得られた化合物の1H−NMRチャ
ートである。
FIG. 3 is a 1 H-NMR chart of the compound obtained in Synthesis Example 8.

【図4】合成例10で得られた化合物の1H−NMRチ
ャートである。
FIG. 4 is a 1 H-NMR chart of the compound obtained in Synthesis Example 10.

【図5】合成例11で得られた化合物の1H−NMRチ
ャートである。
FIG. 5 is a 1 H-NMR chart of the compound obtained in Synthesis Example 11.

─────────────────────────────────────────────────────
─────────────────────────────────────────────────── ───

【手続補正書】[Procedure amendment]

【提出日】平成6年9月2日[Submission date] September 2, 1994

【手続補正1】[Procedure Amendment 1]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】0085[Correction target item name] 0085

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【0085】[0085]

【化20】 Embedded image

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 次の成分(A)及び(B): (A)下記一般式(1); 【化1】 〔式中、R1 及びR2 は同一又は異なって炭素数6〜3
6の直鎖又は分岐鎖のアルキル又はアルケニル基を示
し、Xはヒドロキシル基、スルホン酸基又はカルボキシ
ル基が置換していてもよい炭素数1〜6のアルキレン又
はアルケニレン基を示し、Y1 はスルホン酸基、硫酸残
基又はカルボキシル基を示し、Y2 はヒドロキシル基、
硫酸残基又は下記式(2); 【化2】 で表わされる基を示し、nは0又は1を示す〕で表わさ
れる2−ヒドロキシプロパンジアミン誘導体、その塩又
はその4級化物、(B)金属イオン封鎖剤、アルカリ剤
及び水溶性溶剤から選ばれる1種又は2種以上を含有す
る硬質表面用洗浄剤組成物。
1. The following components (A) and (B): (A) The following general formula (1); [In the formula, R 1 and R 2 are the same or different and have 6 to 3 carbon atoms.
6 represents a linear or branched alkyl or alkenyl group of 6, X represents an alkylene or alkenylene group having 1 to 6 carbon atoms which may be substituted with a hydroxyl group, a sulfonic acid group or a carboxyl group, and Y 1 represents a sulfone. Represents an acid group, a sulfuric acid residue or a carboxyl group, Y 2 is a hydroxyl group,
Sulfate residue or the following formula (2); A 2-hydroxypropanediamine derivative represented by the following formula, wherein n represents 0 or 1], a salt or a quaternary derivative thereof, (B) a sequestering agent, an alkali agent and a water-soluble solvent. A hard surface cleaner composition containing one or more.
【請求項2】 成分(A)において、Y1 がスルホン酸
基又は硫酸残基であり、Y2 がヒドロキシル基又は硫酸
残基である請求項1記載の硬質表面用洗浄剤組成物。
2. The hard surface cleaner composition according to claim 1, wherein in the component (A), Y 1 is a sulfonic acid group or a sulfuric acid residue, and Y 2 is a hydroxyl group or a sulfuric acid residue.
【請求項3】 成分(A)において、Y1 がカルボキシ
ル基であり、Y2 がヒドロキシル基又は上記式(2)で
表わされる基である請求項1記載の硬質表面用洗浄剤組
成物。
3. The hard surface cleaner composition according to claim 1, wherein in the component (A), Y 1 is a carboxyl group, and Y 2 is a hydroxyl group or a group represented by the above formula (2).
【請求項4】 成分(A)において、R1 及びR2 が、
炭素数6〜24の直鎖又は分岐鎖のアルキル又はアルケ
ニル基である請求項1〜3のいずれかの項記載の硬質表
面用洗浄剤組成物。
4. In the component (A), R 1 and R 2 are
The cleaning composition for hard surfaces according to claim 1, which is a linear or branched alkyl or alkenyl group having 6 to 24 carbon atoms.
【請求項5】 成分(A)が遊離塩基又は塩の形態であ
る請求項1〜4のいずれかの項記載の硬質表面用洗浄剤
組成物。
5. The hard surface cleaner composition according to claim 1, wherein the component (A) is in the form of a free base or a salt.
【請求項6】 成分(A)を0.1〜50重量%、成分
(B)を0.01〜50重量%含有するものである請求
項1〜5のいずれかの項記載の硬質表面用洗浄剤組成
物。
6. A hard surface according to any one of claims 1 to 5, which contains 0.1 to 50% by weight of the component (A) and 0.01 to 50% by weight of the component (B). Cleaning composition.
JP19237894A 1994-08-16 1994-08-16 Detergent composition for hard surface Pending JPH0860183A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP19237894A JPH0860183A (en) 1994-08-16 1994-08-16 Detergent composition for hard surface

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP19237894A JPH0860183A (en) 1994-08-16 1994-08-16 Detergent composition for hard surface

Publications (1)

Publication Number Publication Date
JPH0860183A true JPH0860183A (en) 1996-03-05

Family

ID=16290303

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19237894A Pending JPH0860183A (en) 1994-08-16 1994-08-16 Detergent composition for hard surface

Country Status (1)

Country Link
JP (1) JPH0860183A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997031890A1 (en) * 1996-03-02 1997-09-04 HÜLS Aktiengesellschaft Betaine gemini surfactants made from amines

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997031890A1 (en) * 1996-03-02 1997-09-04 HÜLS Aktiengesellschaft Betaine gemini surfactants made from amines
US6034271A (en) * 1996-03-02 2000-03-07 Huels Aktiengesellschaft Betaine gemini surfactants made from amines

Similar Documents

Publication Publication Date Title
JP3436759B2 (en) 2-hydroxypropanediamine derivative and detergent composition containing the same
JPH11509872A (en) Hard surface cleaner containing highly ethoxylated Guerbet alcohol
CA2246165C (en) Low streaking and filming hard surface cleaners
US6232283B1 (en) Liquid detergent composition
JP2001049291A (en) Liquid detergent
JP3773950B2 (en) AMINE DERIVATIVE AND CLEANING COMPOSITION CONTAINING THE SAME
JP4916619B2 (en) Liquid detergent composition for hard surfaces
JP2978304B2 (en) Alkyl or alkenyl succinic acid derivative, method for producing the same, and surfactant comprising the compound
JPH11504046A (en) Hard surface cleaner with less foaming, stripe formation and film formation
JPH0860183A (en) Detergent composition for hard surface
JP3085551B2 (en) N- (N&#39;-long-chain acyl-β-alanyl) -β-alanine or a salt thereof, and a detergent composition containing the same
JP3484230B2 (en) Tris (3-aminopropyl) amine derivative and detergent composition containing the same
JPH08109398A (en) Detergent composition for hard surface
JPH08109395A (en) Detergent composition for hard surface
JPH1143689A (en) Detergent composition for hard surface
JPH09137197A (en) Detergent composition for hard surface
JP3208231B2 (en) Liquid detergent composition
JP3256060B2 (en) Amide polycarboxylic acids or salts thereof and methods for their production
JP3410928B2 (en) Residential liquid detergent composition
JP2001329293A (en) Liquid detergent composition
JP3236704B2 (en) Liquid detergent composition
JPH10219292A (en) Detergent composition for hard surface
JP2000016975A (en) Production of n-substituted beta-alanine or its salt and surfactant composition containing n-substituted beta- alanine or its salt
JPH0881355A (en) Cleaning agent composition for body
JP2000086599A (en) PREPARATION OF N-SUBSTITUTED-beta-ALANINE OR ITS SALT AND SURFACTANT COMPOSITION INCLUDING THE SAME