JPH08316313A - Formation of contact hole - Google Patents

Formation of contact hole

Info

Publication number
JPH08316313A
JPH08316313A JP11947395A JP11947395A JPH08316313A JP H08316313 A JPH08316313 A JP H08316313A JP 11947395 A JP11947395 A JP 11947395A JP 11947395 A JP11947395 A JP 11947395A JP H08316313 A JPH08316313 A JP H08316313A
Authority
JP
Japan
Prior art keywords
film
forming
contact hole
etching
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11947395A
Other languages
Japanese (ja)
Inventor
Tetsuo Gocho
哲雄 牛膓
Yutaka Okamoto
裕 岡本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP11947395A priority Critical patent/JPH08316313A/en
Publication of JPH08316313A publication Critical patent/JPH08316313A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE: To prevent the shortcircuit between a wiring formed inside a contact hole and a conductive pattern formed outside the contact hole and secure the breakdown strength therebetween. CONSTITUTION: In a first step, a gate electrode 13 for a conductive pattern is formed on a silicon substrate 11 and a side wall 16 which has an etch selectivity and insulation property against an interlayer insulating film 18 is formed on the side wall of the electrode 13. In a second step, an insulative protection film 17 having an etch selectivity against the film 18 is formed in such a condition that it covers the electrode 13 and side wall 16. Further, in a third step, the film 18 is formed on the film 17 and, after a contact hole 20 is made in the film 18 between the electrodes 13 in a fourth step, the film 17 on the bottom of the hole 20 is removed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置における基
板と配線との間や多層配線間の接続を取るためのコンタ
クトホールの形成方法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a contact hole for connecting a substrate and wiring in a semiconductor device or between wirings of multiple layers.

【0002】[0002]

【従来の技術】半導体装置の微細化にともない、コンタ
クトホール工程では、マスク上で位置合わせのための設
計余裕を考慮することが不要となる自己整合コンタクト
技術が重要になる。また配線の多層化では、配線の断線
防止や配線材料の段差部分におけるエッチング残り防止
のため、層間絶縁膜の平坦化が必要になる。また、段差
が大きいとリソグラフィー工程でのいわゆるフォーカス
余裕が十分に取れないため、この点からも層間絶縁膜の
平坦化が必要となる。
2. Description of the Related Art With the miniaturization of semiconductor devices, a self-aligned contact technique that makes it unnecessary to consider a design margin for alignment on a mask becomes important in a contact hole process. In addition, when the wiring is made multi-layered, it is necessary to flatten the interlayer insulating film in order to prevent disconnection of the wiring and to prevent etching residue in the step portion of the wiring material. Further, if the step is large, a so-called focus margin in the lithography process cannot be sufficiently obtained, and from this point as well, it is necessary to flatten the interlayer insulating film.

【0003】層間絶縁膜の平坦化と自己整合コンタクト
の両方の技術をメモリセル部分に適応する場合は、例え
ば図5に示すように、シリコン基板111上にゲート絶
縁膜112を介して形成したゲート電極113上にはオ
フセット酸化膜114を有し、コンタクトホールを形成
するためのエッチング時にエッチングストッパとなるス
トッパ絶縁膜115を有している。さらに、ゲート電極
113とオフセット酸化膜114との各側壁には酸化膜
からなるLDDサイドウォール116が形成されてい
る。また層間絶縁膜117は平坦化された構造になって
いる。このような構成では、コンタクトホール118を
形成するために、第1段階のエッチングでストッパ絶縁
膜115までエッチングを行う。そして第2段階のエッ
チングでは、上記層間絶縁膜117の酸化膜と上記絶縁
膜115とのエッチング速度がほぼ等しい条件でエッチ
ングを行う。この第2段階では酸化膜よりストッパ絶縁
膜115である低圧CVDによって形成した窒化シリコ
ン膜の方がエッチング速度が速くなる条件でエッチング
することが望ましい。
When both the techniques of planarizing the interlayer insulating film and self-aligning contact are applied to the memory cell portion, for example, as shown in FIG. 5, a gate formed on a silicon substrate 111 via a gate insulating film 112. An offset oxide film 114 is provided on the electrode 113, and a stopper insulating film 115 serving as an etching stopper at the time of etching for forming a contact hole is provided. Further, LDD sidewalls 116 made of an oxide film are formed on each side wall of the gate electrode 113 and the offset oxide film 114. The interlayer insulating film 117 has a flattened structure. In such a structure, in order to form the contact hole 118, the stopper insulating film 115 is etched in the first-stage etching. Then, in the second-stage etching, the etching is performed under the condition that the oxide film of the interlayer insulating film 117 and the insulating film 115 have substantially the same etching rate. In the second stage, it is desirable to perform etching under the condition that the etching rate of the silicon nitride film formed by low pressure CVD, which is the stopper insulating film 115, is higher than that of the oxide film.

【0004】[0004]

【発明が解決しようとする課題】しかしながら、上記コ
ンタクトホールを形成するためのエッチングでは、下地
のシリコン基板との選択比を確保した上で上記条件を達
成するエッチング条件は現時点ではない。
However, in the etching for forming the contact hole, there is no etching condition at the present time which achieves the above condition while ensuring the selection ratio with respect to the underlying silicon substrate.

【0005】そのため、さらにデバイスの微細化が進行
し、ゲート電極の間隔が狭くなると、図6の(1)に示
すように、コンタクトホール118を形成するべき部分
はストッパ絶縁膜115で埋まってしまい、コンタクト
ホール118を開口する工程の第2段階のエッチング時
に、図6の(2)に示すように、オフセット酸化膜11
4がエッチングされてゲート電極113が露出し、コン
タクトホール118内に形成される配線(図示省略)と
の短絡が発生する。
Therefore, when the device is further miniaturized and the distance between the gate electrodes becomes narrower, the portion where the contact hole 118 is to be formed is filled with the stopper insulating film 115, as shown in FIG. At the time of the second etching in the step of opening the contact hole 118, as shown in (2) of FIG.
4 is etched to expose the gate electrode 113, and a short circuit with a wiring (not shown) formed in the contact hole 118 occurs.

【0006】また図7に示すように、窒化シリコン膜か
らなるストッパ絶縁膜115の形成膜厚を薄くするとコ
ンタクトホール118内はストッパ絶縁膜115で埋ま
ることはないが、選択比の低いLDDサイドウォール1
16の肩の部分がエッチングされて、ゲート電極113
とコンタクトホール118内に形成される配線(図示省
略)との間の耐圧を確保することができなくなる。
Further, as shown in FIG. 7, when the film thickness of the stopper insulating film 115 made of a silicon nitride film is thinned, the inside of the contact hole 118 is not filled with the stopper insulating film 115, but the LDD sidewall having a low selection ratio is formed. 1
The shoulder portion of 16 is etched to form the gate electrode 113.
It becomes impossible to secure the breakdown voltage between the wiring and the wiring (not shown) formed in the contact hole 118.

【0007】本発明は、コンタクトホール内で短絡を発
生することなく、かつコンタクトホール内外の配線間の
耐圧が確保できるコンタクトホールの形成方法を提供す
ることを目的とする。
It is an object of the present invention to provide a method of forming a contact hole which can secure a breakdown voltage between wirings inside and outside the contact hole without causing a short circuit in the contact hole.

【0008】[0008]

【課題を解決するための手段】本発明は、上記目的を達
成するためになされたコンタクトホールの形成方法であ
る。すなわち、基板上に形成されたもので上部にオフセ
ット絶縁膜を設けた複数の導電パターンを覆う状態に絶
縁膜を形成した後、この導電パターン間の絶縁膜にコン
タクトホールを形成するコンタクトホールの形成方法で
あって、第1工程で、基板上に導電パターンを形成した
後、絶縁膜のエッチングに対してエッチング耐性を有し
かつ絶縁性を有するサイドウォールを導電パターンの側
壁に形成する。次いで第2工程で、導電パターンとサイ
ドウォールとを覆う状態に絶縁膜のエッチングに対して
エッチング耐性を有する絶縁性保護膜を形成する。続い
て第3工程で、絶縁性保護膜上に絶縁膜を成膜する。そ
の後第4工程で、導電パターン間の絶縁膜にコンタクト
ホールを形成した後、コンタクトホールの底部の絶縁性
保護膜を除去する。
SUMMARY OF THE INVENTION The present invention is a method for forming a contact hole, which has been made to achieve the above object. That is, after forming an insulating film in a state of covering a plurality of conductive patterns formed on a substrate and having an offset insulating film provided on the upper portion, a contact hole is formed in the insulating film between the conductive patterns. In the method, in the first step, after forming a conductive pattern on the substrate, a sidewall having etching resistance and insulating property against etching of the insulating film is formed on the sidewall of the conductive pattern. Next, in a second step, an insulating protective film having etching resistance against etching of the insulating film is formed so as to cover the conductive pattern and the sidewall. Then, in a third step, an insulating film is formed on the insulating protective film. Then, in a fourth step, after forming a contact hole in the insulating film between the conductive patterns, the insulating protective film at the bottom of the contact hole is removed.

【0009】また、第1工程で、上記絶縁膜のエッチン
グに対してエッチング耐性を有しかつ絶縁性を有するオ
フセット絶縁膜を上面に設けた状態で各導電パターンを
形成する。次いで第2工程で、各導電パターンおよび各
オフセット絶縁膜の各側壁に上記絶縁膜のエッチングに
対してエッチング耐性を有しかつ絶縁性を有するサイド
ウォールを形成する。続いて第3工程で、オフセット絶
縁膜およびサイドウォールを覆う状態に上記絶縁膜を成
膜する。その後第4工程で、導電パターン間の絶縁膜に
コンタクトホールを形成する。
Further, in the first step, each conductive pattern is formed in a state in which an offset insulating film having etching resistance and insulating property against the etching of the insulating film is provided on the upper surface. Next, in a second step, sidewalls having etching resistance and insulating properties against etching of the insulating film are formed on the sidewalls of each conductive pattern and each offset insulating film. Subsequently, in a third step, the insulating film is formed so as to cover the offset insulating film and the sidewall. Then, in a fourth step, contact holes are formed in the insulating film between the conductive patterns.

【0010】[0010]

【作用】コンタクトホールの形成方法では、絶縁膜のエ
ッチングに対してエッチング耐性を有する材料を用いて
サイドウォールと絶縁性保護膜とを形成しているので、
コンタクトホール形成時のエッチングでは、サイドウォ
ールはほとんどエッチングされない。また絶縁性保護膜
によってオフセット絶縁膜が保護される。さらにサイド
ウォール部分上の絶縁性保護膜に比較してゲート電極上
および基板上の絶縁性保護膜は、コンタクトホールを形
成する際のエッチング時の選択比が高いので、絶縁性保
護膜の膜厚を薄く形成することが可能になる。そのた
め、導電パターンの間隔が狭くなっても、導電パターン
間が絶縁性保護膜によって埋め込まれることがなくな
る。
In the method of forming the contact hole, the sidewall and the insulating protective film are formed by using a material having etching resistance against etching of the insulating film.
The sidewalls are hardly etched by the etching for forming the contact holes. Further, the offset insulating film is protected by the insulating protective film. Furthermore, since the insulating protective film on the gate electrode and the substrate has a higher selection ratio at the time of etching when forming the contact hole than the insulating protective film on the sidewall portion, the film thickness of the insulating protective film Can be formed thin. Therefore, even if the space between the conductive patterns is narrowed, the space between the conductive patterns is not filled with the insulating protective film.

【0011】また、絶縁膜のエッチングに対してエッチ
ング耐性を有しかつ絶縁性を有するオフセット絶縁膜と
サイドウォールとを形成する方法では、コンタクトホー
ル形成時のエッチングでは、オフセット絶縁膜およびサ
イドウォールはほとんどエッチングされない。そのた
め、導電パターンの間隔が狭くなっても、導電パターン
間が絶縁性保護膜によって埋め込まれることがなくな
る。
Further, in the method of forming the offset insulating film and the sidewall having etching resistance and insulating property against the etching of the insulating film, in the etching at the time of forming the contact hole, the offset insulating film and the sidewall are formed. Hardly etched. Therefore, even if the space between the conductive patterns is narrowed, the space between the conductive patterns is not filled with the insulating protective film.

【0012】[0012]

【実施例】本発明の第1実施例を図1の形成工程図によ
り説明する。図では、導電パターン間の絶縁膜にコンタ
クトホールを形成する方法の一例として、LDD(Ligh
tly Doped Drain )構造のMOS(金属−酸化膜−半導
体)型トランジスタのゲート電極間の層間絶縁膜にコン
タクトホールを形成する場合を示す。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A first embodiment of the present invention will be described with reference to the forming process chart of FIG. In the figure, as an example of a method of forming a contact hole in an insulating film between conductive patterns, LDD (Ligh
A case where a contact hole is formed in an interlayer insulating film between gate electrodes of a MOS (metal-oxide film-semiconductor) type transistor having a tly doped drain structure is shown.

【0013】図1の(1)に示すように、基板にはシリ
コン基板11を用いる。まず第1工程では、シリコン基
板11上に酸化シリコン膜からなるゲート絶縁膜12、
ゲート電極13、酸化シリコン膜からなるオフセット絶
縁膜14を通常の製造方法によって形成する。次いで、
低圧化学的気相成長(以下、LPCVDという)法によ
って、ゲート電極13側の全面を覆う状態に、酸化シリ
コンのエッチングに対してエッチング耐性を有しかつ絶
縁性を有する窒化シリコン膜15を例えば100nm〜
170nmの厚さに成膜する。上記成膜条件は、一例と
して、反応ガスに流量が50sccm(以下、標準状態
における流量でcm3 /分で表される流量をsccmと
表す)のジクロルシラン(SiH2 Cl2 )と流量が2
00sccmのアンモニア(NH3 )と流量が2000
sccmの窒素(N2 )とを用い、反応雰囲気の圧力を
70Pa、基板温度を760℃に設定する。
As shown in FIG. 1A, a silicon substrate 11 is used as the substrate. First, in the first step, the gate insulating film 12 made of a silicon oxide film is formed on the silicon substrate 11.
The gate electrode 13 and the offset insulating film 14 made of a silicon oxide film are formed by a usual manufacturing method. Then
A silicon nitride film 15 having an etching resistance and an insulating property against the etching of silicon oxide is formed to a thickness of, for example, 100 nm by a low pressure chemical vapor deposition (hereinafter referred to as LPCVD) method so as to cover the entire surface on the gate electrode 13 side. ~
A film having a thickness of 170 nm is formed. The film forming conditions, as an example, the flow rate is 50sccm for the reaction gas (hereinafter, represents the flow rate represented by cm 3 / min at a flow rate in the standard state sccm) dichlorosilane (SiH 2 Cl 2) and flow rate of 2
Ammonia (NH 3 ) of 00 sccm and flow rate of 2000
Using sccm of nitrogen (N 2 ), the pressure of the reaction atmosphere is set to 70 Pa and the substrate temperature is set to 760 ° C.

【0014】次いで図1の(2)に示すように、上記窒
化シリコン膜(15)をエッチバックして、ゲート電極
13とオフセット絶縁膜14との各側壁にサイドウォー
ル16を形成する。この時のドライエッチング条件とし
ては、例えば、枚葉式マグネトロン反応性イオンエッチ
ング装置を用い、エッチングガスに流量が23sccm
のトリフルオロメタン(CHF3 )と流量が78scc
mの一酸化炭素を用いる。またエッチング雰囲気の圧力
を2.7Pa、RFパワーを1.4kW、エッチング装
置のサセプタの温度を20℃に設定する。
Next, as shown in FIG. 1B, the silicon nitride film (15) is etched back to form sidewalls 16 on the side walls of the gate electrode 13 and the offset insulating film 14. As the dry etching conditions at this time, for example, a single-wafer type magnetron reactive ion etching apparatus is used, and the flow rate of the etching gas is 23 sccm.
Flow rate of 78 scc with trifluoromethane (CHF 3 )
m carbon monoxide is used. The pressure of the etching atmosphere is set to 2.7 Pa, the RF power is set to 1.4 kW, and the temperature of the susceptor of the etching apparatus is set to 20 ° C.

【0015】続いて図1の(3)に示すように、第2工
程を行う。この工程では、例えばLPCVD法によっ
て、コンタクトホールを形成するエッチング時にエッチ
ングストッパとなる絶縁性保護膜17をゲート電極13
側の全面に形成する。この絶縁性保護膜17は窒化シリ
コン膜からなり、例えば20nm〜30nmの厚さに形
成される。この窒化シリコン膜の成膜条件は、成膜時間
を除いて上記窒化シリコン膜(15)の成膜条件とほぼ
同様である。
Subsequently, as shown in FIG. 1C, the second step is performed. In this step, the insulating protective film 17 serving as an etching stopper during etching for forming a contact hole is formed on the gate electrode 13 by, for example, LPCVD.
It is formed on the entire side surface. The insulating protective film 17 is made of a silicon nitride film and is formed to have a thickness of 20 nm to 30 nm, for example. The film forming conditions for the silicon nitride film are almost the same as the film forming conditions for the silicon nitride film (15) except for the film forming time.

【0016】次いで第3工程を行う。この工程では、C
VD法によって、上記絶縁性保護膜17上に層間絶縁膜
18を酸化シリコン膜で形成する。このときのCVD条
件としては、例えば平行平板枚葉式プラズマCVD装置
を用い、成膜ガスに流量が800sccmのテトラエト
キシシラン(TEOS)と600sccmの酸素
(O2 )を用いる。また成膜雰囲気の圧力を1.13k
Pa、基板温度を400℃、RFパワーを700Wに設
定する。
Next, the third step is performed. In this process, C
The interlayer insulating film 18 is formed of a silicon oxide film on the insulating protective film 17 by the VD method. As the CVD conditions at this time, for example, a parallel plate single-wafer plasma CVD apparatus is used, and tetraethoxysilane (TEOS) with a flow rate of 800 sccm and oxygen (O 2 ) with 600 sccm are used as film forming gases. In addition, the pressure of the film forming atmosphere is 1.13 k.
Pa, substrate temperature is 400 ° C., and RF power is 700 W.

【0017】次に図1の(4)に示す第4工程を行う。
この工程では、リソグラフィー技術(例えば、レジスト
塗布、露光、現像、ベーキング等)によって、コンタク
トホール開口用のエッチングマスクとなるレジストパタ
ーン19を上記層間絶縁膜18上に形成する。続いて上
記レジストパターン19をエッチングマスクにして上記
層間絶縁膜18をエッチングし、コンタクトホール20
を形成する。このときのエッチングでは、窒化シリコン
膜からなる絶縁性保護膜17およびサイドウォール16
に対してエッチング選択比が高い条件を用いる。上記エ
ッチング条件としては、例えば、枚葉式マグネトロン反
応性イオンエッチング装置を用い、エッチングガスに、
流量が8sccmのオクタフルオロシクロブタン(C4
8 )と流量が60sccmの一酸化炭素(CO)と流
量が200sccmのアルゴン(Ar)とを用いる。ま
たエッチング雰囲気の圧力を5.3Pa、RFパワーを
1.6kW、エッチング装置のサセプタ温度を20℃に
設定する。
Next, the fourth step shown in FIG. 1 (4) is performed.
In this step, a resist pattern 19 serving as an etching mask for opening a contact hole is formed on the interlayer insulating film 18 by a lithography technique (for example, resist coating, exposure, development, baking, etc.). Then, using the resist pattern 19 as an etching mask, the interlayer insulating film 18 is etched to form a contact hole 20.
To form. In the etching at this time, the insulating protective film 17 and the sidewall 16 made of a silicon nitride film are formed.
The condition that the etching selection ratio is high is used. The etching conditions, for example, using a single-wafer type magnetron reactive ion etching device, the etching gas,
Octafluorocyclobutane (C 4 with a flow rate of 8 sccm)
F 8 ), carbon monoxide (CO) with a flow rate of 60 sccm, and argon (Ar) with a flow rate of 200 sccm are used. The pressure of the etching atmosphere is set to 5.3 Pa, the RF power is set to 1.6 kW, and the susceptor temperature of the etching apparatus is set to 20 ° C.

【0018】その後、コンタクトホール20の底部の絶
縁性保護膜17をエッチングして下地のシリコン基板1
1を露出させる。このエッチング条件としては、例え
ば、枚葉式マグネトロン反応性イオンエッチング装置を
用い、エッチングガスに、流量が40sccmのトリフ
ルオロメタン(CHF3 )と流量が10sccmの酸素
(O2 )とを用いる。またエッチング雰囲気の圧力を
2.7Pa、RFパワーを1.0kW、エッチング装置
のサセプタ温度を20℃に設定する。
After that, the insulating protective film 17 on the bottom of the contact hole 20 is etched to form the underlying silicon substrate 1.
Expose 1 As the etching conditions, for example, a single-wafer type magnetron reactive ion etching device is used, and trifluoromethane (CHF 3 ) with a flow rate of 40 sccm and oxygen (O 2 ) with a flow rate of 10 sccm are used as etching gas. The pressure of the etching atmosphere is set to 2.7 Pa, the RF power is set to 1.0 kW, and the susceptor temperature of the etching apparatus is set to 20 ° C.

【0019】図示はしないが、その後アッシングおよび
硫酸過水を用いた洗浄処理によって、上記レジストパタ
ーン19を除去する。
Although not shown, the resist pattern 19 is then removed by ashing and a cleaning process using sulfuric acid / hydrogen peroxide mixture.

【0020】上記コンタクトホールの形成方法の第1実
施例では、層間絶縁膜18のエッチングに対してエッチ
ング耐性を有する窒化シリコン膜を用いてサイドウォー
ル16と絶縁性保護膜17とを形成しているので、コン
タクトホール20を形成する時のエッチングでは、サイ
ドウォール16はほとんどエッチングされない。またエ
ッチングの際には絶縁性保護膜17によってオフセット
絶縁膜14が保護される。さらにサイドウォール16上
の絶縁性保護膜17に比較してゲート電極13上および
シリコン基板11表面上の絶縁性保護膜17は、コンタ
クトホール20を形成する際のエッチング時の選択比が
高いので、絶縁性保護膜17の膜厚を薄く形成すること
が可能になる。そのため、ゲート電極13の間隔がさら
に狭くなっても、ゲート電極13間が絶縁性保護膜17
によって埋め込まれることがなくなる。
In the first embodiment of the method of forming a contact hole, the sidewall 16 and the insulating protective film 17 are formed using a silicon nitride film having etching resistance against the etching of the interlayer insulating film 18. Therefore, the sidewalls 16 are hardly etched during the etching for forming the contact holes 20. In addition, the offset insulating film 14 is protected by the insulating protective film 17 during etching. Furthermore, since the insulating protective film 17 on the gate electrode 13 and the surface of the silicon substrate 11 has a higher selection ratio at the time of etching when forming the contact hole 20, as compared with the insulating protective film 17 on the sidewall 16, The insulating protective film 17 can be formed thin. Therefore, even if the gap between the gate electrodes 13 becomes narrower, the insulating protective film 17 is provided between the gate electrodes 13.
Will not be embedded by.

【0021】次に上記第1実施例において、ゲート電極
の周囲またはその一部分に窒化シリコン膜からの応力を
緩和する応力緩和膜を形成する方法を図2によって説明
する。
Next, a method of forming a stress relaxation film for relaxing the stress from the silicon nitride film around the gate electrode or a part thereof in the first embodiment will be described with reference to FIG.

【0022】図2の(1)に示すように、上記第1工程
で、ゲート電極13およびオフセット絶縁膜14のパタ
ーニングをした後で上記窒化シリコン膜(15)を形成
する前に、少なくとも該ゲート電極13およびオフセッ
ト絶縁膜14の側壁に応力緩和膜31を形成する。例え
ば、CVD法によって、上記ゲート電極13およびオフ
セット絶縁膜14を覆う状態に酸化シリコン膜31を形
成する。
As shown in FIG. 2A, at least in the first step, after the gate electrode 13 and the offset insulating film 14 are patterned and before the silicon nitride film (15) is formed, The stress relaxation film 31 is formed on the sidewalls of the electrode 13 and the offset insulating film 14. For example, the silicon oxide film 31 is formed by the CVD method so as to cover the gate electrode 13 and the offset insulating film 14.

【0023】その後、図2の(2)に示すように、その
酸化シリコン膜(31)をエッチバックしてゲート電極
13およびオフセット絶縁膜14の側壁に応力緩和膜3
2を形成すればよい。その後は、上記第1実施例で説明
したのと同様に、窒化シリコン膜(15)を形成する以
降の工程を行えばよい。この場合には、窒化シリコン膜
で形成されるサイドウォール(16)の応力が緩和され
る。
After that, as shown in FIG. 2B, the silicon oxide film (31) is etched back and the stress relaxation film 3 is formed on the side walls of the gate electrode 13 and the offset insulating film 14.
2 may be formed. After that, the steps after the formation of the silicon nitride film (15) may be performed in the same manner as described in the first embodiment. In this case, the stress of the side wall (16) formed of the silicon nitride film is relaxed.

【0024】または、上記工程において酸化シリコン膜
のエッチバックを行わずに、窒化シリコン膜(15)を
形成する以降の工程を行ってもよい。この場合には、図
2の(3)に示すように、コンタクトホール20を開口
するエッチングの時に、コンタクトホール20の底部の
酸化シリコン膜32(2点鎖線で示す部分)を除去する
必要がある。この場合には、サイドウォール16の応力
が緩和される。それとともに、窒化シリコン膜がシリコ
ン基板11に接触していないので、トランジスタ特性の
向上が図れる。
Alternatively, the steps subsequent to the formation of the silicon nitride film (15) may be performed without etching back the silicon oxide film in the above step. In this case, as shown in (3) of FIG. 2, it is necessary to remove the silicon oxide film 32 (the portion indicated by the chain double-dashed line) at the bottom of the contact hole 20 during the etching for opening the contact hole 20. . In this case, the stress of the sidewall 16 is relaxed. At the same time, since the silicon nitride film is not in contact with the silicon substrate 11, the transistor characteristics can be improved.

【0025】次に第2実施例を図3の形成工程図によっ
て説明する。図では、一例として、LDD(Lightly Do
ped Drain )構造のMOS(金属−酸化膜−半導体)型
トランジスタのゲート電極間にコンタクトホールを形成
する場合を示す。
Next, a second embodiment will be described with reference to the process chart of FIG. In the figure, as an example, LDD (Lightly Do
A case where a contact hole is formed between the gate electrodes of a MOS (metal-oxide film-semiconductor) type transistor having a ped drain structure is shown.

【0026】図3の(1)に示すように、第1工程で、
シリコン基板11にゲート絶縁膜12、ゲート電極形成
膜41を通常の製造方法によって形成する。その後LP
CVD法によって、上記ゲート電極形成膜41上に、後
に形成される層間絶縁膜のエッチングに対してエッチン
グ耐性を有しかつ絶縁性を有する保護膜となる窒化シリ
コン膜42を成膜する。上記成膜条件は、第1実施例で
成膜した窒化シリコン膜(15)と同様である。
As shown in FIG. 3A, in the first step,
The gate insulating film 12 and the gate electrode forming film 41 are formed on the silicon substrate 11 by an ordinary manufacturing method. Then LP
A CVD method is used to form a silicon nitride film 42 on the gate electrode forming film 41, which serves as a protective film having etching resistance and insulating properties against etching of an interlayer insulating film formed later. The film forming conditions are the same as those of the silicon nitride film (15) formed in the first embodiment.

【0027】リソグラフィー技術(例えば、レジスト塗
布、露光、現像、ベーキング等)によって、エッチング
マスク43を形成する。続いて上記エッチングマスク4
3を用いて上記窒化シリコン膜42をエッチングする。
上記窒化シリコン膜42のエッチング条件としては、例
えば、枚葉式マグネトロン反応性イオンエッチング装置
を用い、エッチングガスに、流量が8sccmのオクタ
フルオロシクロブタン(C4 8 )と流量が4sccm
の酸素(O2 )と流量が100sccmのアルゴン(A
r)とを用いる。またエッチング雰囲気の圧力を2.7
Pa、RFパワーを800W、エッチング装置のサセプ
タ温度を−30℃に設定する。
The etching mask 43 is formed by a lithographic technique (for example, resist coating, exposure, development, baking, etc.). Then, the etching mask 4
3 is used to etch the silicon nitride film 42.
As the etching conditions for the silicon nitride film 42, for example, a single-wafer type magnetron reactive ion etching apparatus is used, the etching gas is octafluorocyclobutane (C 4 F 8 ) with a flow rate of 8 sccm, and the flow rate is 4 sccm.
Oxygen (O 2 ) and argon (A 2 ) with a flow rate of 100 sccm
r) and are used. Also, the pressure of the etching atmosphere is 2.7.
Pa, RF power is set to 800 W, and the susceptor temperature of the etching apparatus is set to -30 ° C.

【0028】次いでゲート電極形成膜41のエッチング
を行う。このゲート電極形成膜41は、例えばタングス
テンシリサイド(WSix )膜と多結晶シリコン膜とか
らなるポリサイドになっている。そのエッチング条件と
しては、例えば、電子サイクロトロン共鳴〔ECR(El
ectron Cycrotron Resonance)〕エッチング装置を用
い、エッチングガスに、流量が60sccmのトリクロ
ロトリフルオロエタン(C2 Cl3 3 )と流量が10
sccmの六フッ化イオウ(SF6 )とを用いる。また
エッチング雰囲気の圧力を1.3Pa、マイクロ波電力
を850W、RFパワーを150Wに設定する。
Next, the gate electrode forming film 41 is etched. The gate electrode forming film 41 is polycide composed of, for example, a tungsten silicide (WSix) film and a polycrystalline silicon film. The etching conditions are, for example, electron cyclotron resonance [ECR (El
ectron Cycrotron Resonance)] using an etching apparatus, the etching gas is trichlorotrifluoroethane (C 2 Cl 3 F 3 ) with a flow rate of 60 sccm, and the flow rate is 10
Sccm sulfur hexafluoride (SF 6 ) is used. The pressure of the etching atmosphere is set to 1.3 Pa, the microwave power is set to 850 W, and the RF power is set to 150 W.

【0029】その後、アッシングおよび硫酸過水を用い
た洗浄処理によって、エッチングマスク43を除去す
る。その結果、図3の(2)に示すように、シリコン基
板11上にゲート絶縁膜12を介してゲート電極形成膜
(41)でゲート電極13を形成し窒化シリコン膜(4
2)でオフセット絶縁膜14が形成される。
After that, the etching mask 43 is removed by ashing and a cleaning process using sulfuric acid / hydrogen peroxide mixture. As a result, as shown in (2) of FIG. 3, the gate electrode 13 is formed on the silicon substrate 11 with the gate electrode forming film (41) via the gate insulating film 12, and the silicon nitride film (4) is formed.
The offset insulating film 14 is formed in 2).

【0030】次いで第2工程を行う。この工程では、L
PCVD法によって、ゲート電極13側の全面を覆う状
態に、後に形成される層間絶縁膜のエッチングに対して
エッチング耐性を有しかつ絶縁性を有する窒化シリコン
膜15を成膜する。上記成膜条件は、第1実施例で説明
したのと同様である。
Next, the second step is performed. In this process, L
By the PCVD method, a silicon nitride film 15 having etching resistance and insulating properties against etching of an interlayer insulating film to be formed later is formed in a state of covering the entire surface on the gate electrode 13 side. The film forming conditions are the same as those described in the first embodiment.

【0031】次いで図3の(3)に示すように、上記窒
化シリコン膜(15)をエッチバックして、ゲート電極
13とオフセット絶縁膜14との各側壁にサイドウォー
ル16を形成する。この時のドライエッチング条件は、
第1実施例で説明したのと同様である。
Next, as shown in FIG. 3C, the silicon nitride film (15) is etched back to form sidewalls 16 on the side walls of the gate electrode 13 and the offset insulating film 14. The dry etching conditions at this time are
This is similar to that described in the first embodiment.

【0032】次いで図3の(4)に示すように、第3工
程を行う。この工程では、CVD法によって、上記オフ
セット絶縁膜14側の全面に層間絶縁膜18となる酸化
シリコン膜を形成する。このときのCVD条件は、第1
実施例で説明したのと同様である。
Next, as shown in FIG. 3D, the third step is performed. In this step, a silicon oxide film to be the interlayer insulating film 18 is formed on the entire surface on the side of the offset insulating film 14 by the CVD method. The CVD conditions at this time are as follows:
This is similar to that described in the embodiment.

【0033】次に第4工程を行う。この工程では、リソ
グラフィー技術(例えば、レジスト塗布、露光、現像、
ベーキング等)によって、コンタクトホール開口用のエ
ッチングマスクとなるレジストパターン19を上記層間
絶縁膜18上に形成する。続いて上記レジストパターン
19をエッチングマスクにして上記層間絶縁膜18をエ
ッチングし、コンタクトホール20を形成する。このと
きのエッチングでは、窒化シリコン膜からなるオフセッ
ト絶縁膜14およびサイドウォール16に対してエッチ
ング選択比が高い条件を用いる。上記エッチング条件
は、第1実施例で説明したのと同様である。
Next, the fourth step is performed. In this process, lithography technology (for example, resist coating, exposure, development,
A resist pattern 19 serving as an etching mask for opening a contact hole is formed on the interlayer insulating film 18 by baking or the like). Then, using the resist pattern 19 as an etching mask, the interlayer insulating film 18 is etched to form a contact hole 20. In the etching at this time, a condition that the etching selection ratio is high with respect to the offset insulating film 14 made of the silicon nitride film and the sidewall 16 is used. The etching conditions are the same as those described in the first embodiment.

【0034】図示はしないが、その後アッシングおよび
硫酸過水を用いた洗浄処理によって、上記レジストパタ
ーン19を除去する。
Although not shown, the resist pattern 19 is then removed by ashing and a cleaning process using sulfuric acid / hydrogen peroxide mixture.

【0035】上記第2実施例では、層間絶縁膜18のエ
ッチングに対してエッチング耐性を有しかつ絶縁性を有
するオフセット絶縁膜14とサイドウォール16とを形
成することから、コンタクトホール20を形成するエッ
チングでは、オフセット絶縁膜14およびサイドウォー
ル16はほとんどエッチングされない。また従来のよう
な絶縁性保護膜を用いていないので、ゲート電極13の
間隔が狭くなっても、ゲート電極13間がその絶縁性保
護膜によって埋め込まれることがなくなる。
In the second embodiment, the contact hole 20 is formed because the offset insulating film 14 and the side wall 16 which have etching resistance and insulating properties against the etching of the interlayer insulating film 18 are formed. By the etching, the offset insulating film 14 and the sidewalls 16 are hardly etched. Further, since the conventional insulating protective film is not used, even if the distance between the gate electrodes 13 is narrowed, the space between the gate electrodes 13 is not filled with the insulating protective film.

【0036】次に上記第1実施例において、ゲート電極
の一部分またはその周囲に窒化シリコン膜からの応力を
緩和する応力緩和膜を形成する方法を図4によって説明
する。
Next, a method of forming a stress relaxation film for relaxing the stress from the silicon nitride film in a part of or around the gate electrode in the first embodiment will be described with reference to FIG.

【0037】図4の(1)に示すように、上記第2実施
例の第1工程で、ゲート電極形成膜41を形成した後で
窒化シリコン膜(42)を成膜する前に、CVD法によ
って、酸化シリコン膜51を形成する。
As shown in FIG. 4A, in the first step of the second embodiment, the CVD method is performed after the gate electrode forming film 41 is formed and before the silicon nitride film (42) is formed. Thus, the silicon oxide film 51 is formed.

【0038】その後のエッチングで、図4の(2)に示
すように、ゲート電極13とオフセット絶縁膜14との
間に酸化シリコン膜(51)を残すことで応力緩和膜5
2を形成する。
In the subsequent etching, as shown in FIG. 4B, the stress relaxation film 5 is formed by leaving the silicon oxide film (51) between the gate electrode 13 and the offset insulating film 14.
Form 2

【0039】また、図4の(3)に示すように、オフセ
ット絶縁膜14を形成した後で窒化シリコン膜(15)
を形成する前に、例えば、CVD法によって、上記ゲー
ト電極13,応力緩和膜52およびオフセット絶縁膜1
4を覆う状態に酸化シリコン膜53を形成する。その
後、図2の(4)に示すように、その酸化シリコン膜
(53)をエッチバックしてゲート電極13の側壁に応
力緩和膜54を形成すればよい。このようにすれば、上
記応力緩和膜52と応力緩和膜54とのよってゲート電
極13が覆われる。その後は、上記第1実施例で説明し
たのと同様に、窒化シリコン膜(15)を形成する以降
の工程を行えばよい。
Further, as shown in (3) of FIG. 4, after forming the offset insulating film 14, the silicon nitride film (15) is formed.
Before forming the film, the gate electrode 13, the stress relaxation film 52 and the offset insulating film 1 are formed by, for example, a CVD method.
A silicon oxide film 53 is formed so as to cover the film 4. Thereafter, as shown in FIG. 2D, the silicon oxide film (53) may be etched back to form the stress relaxation film 54 on the side wall of the gate electrode 13. By doing so, the gate electrode 13 is covered by the stress relaxation film 52 and the stress relaxation film 54. After that, the steps after the formation of the silicon nitride film (15) may be performed in the same manner as described in the first embodiment.

【0040】[0040]

【発明の効果】以上、説明したように本発明によれば、
絶縁膜のエッチングに対してエッチング耐性を有する材
料を用いてサイドウォールと絶縁性保護膜とを形成して
いるので、コンタクトホール形成時にサイドウォールお
よびオフセット絶縁膜がほとんどエッチングされること
がない。また、絶縁膜のエッチングに対してエッチング
耐性を有しかつ絶縁性を有するオフセット絶縁膜とサイ
ドウォールとを形成する方法では、コンタクトホール形
成時にオフセット絶縁膜およびサイドウォールはほとん
どエッチングされることがない。よって、コンタクトホ
ール内に形成される配線と導電パターンとの短絡を防ぐ
ことができるとともにこの配線と導電パターンとの間の
耐圧を確保することができる。
As described above, according to the present invention,
Since the sidewall and the insulating protective film are formed using a material having etching resistance against etching of the insulating film, the sidewall and the offset insulating film are hardly etched when the contact hole is formed. In addition, in the method of forming the offset insulating film and the sidewall having etching resistance and insulating properties against the etching of the insulating film, the offset insulating film and the sidewall are hardly etched when the contact hole is formed. . Therefore, it is possible to prevent a short circuit between the wiring formed in the contact hole and the conductive pattern, and to secure the breakdown voltage between the wiring and the conductive pattern.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1実施例の形成工程図である。FIG. 1 is a process drawing of a first embodiment of the present invention.

【図2】応力緩和膜の形成方法の説明図である。FIG. 2 is an explanatory diagram of a method for forming a stress relaxation film.

【図3】本発明の第2実施例の形成工程図である。FIG. 3 is a process drawing of a second embodiment of the present invention.

【図4】応力緩和膜の形成方法の説明図である。FIG. 4 is an explanatory diagram of a method for forming a stress relaxation film.

【図5】従来技術の説明図である。FIG. 5 is an explanatory diagram of a conventional technique.

【図6】課題の説明図である。FIG. 6 is an explanatory diagram of a problem.

【図7】課題の説明図である。FIG. 7 is an explanatory diagram of a problem.

【符号の説明】[Explanation of symbols]

11 シリコン基板 13 ゲート電極 14 オフセット絶縁膜 16 サイドウォール 17 絶縁性保護膜 18 層間絶縁膜 20 コンタクトホール 11 Silicon Substrate 13 Gate Electrode 14 Offset Insulating Film 16 Sidewall 17 Insulating Protective Film 18 Interlayer Insulating Film 20 Contact Hole

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 基板上に形成されたもので上部にオフセ
ット絶縁膜を設けたた複数の導電パターンを覆う状態に
絶縁膜を形成した後、該導電パターン間の絶縁膜にコン
タクトホールを形成するコンタクトホールの形成方法で
あって、 前記基板上に前記導電パターンを形成した後、前記絶縁
膜のエッチングに対してエッチング耐性を有しかつ絶縁
性を有するサイドウォールを該導電パターンの側壁に形
成する第1工程と、 前記導電パターンと前記サイドウォールとを覆う状態に
前記絶縁膜のエッチングに対してエッチング耐性を有す
る絶縁性保護膜を形成する第2工程と、 前記絶縁性保護膜上に絶縁膜を成膜する第3工程と、 前記導電パターン間の前記絶縁膜にコンタクトホールを
形成した後、該コンタクトホールの底部の前記絶縁性保
護膜を除去する第4工程とを備えたことを特徴とするコ
ンタクトホールの形成方法。
1. A contact hole is formed in an insulating film between the conductive patterns after forming the insulating film on the substrate so as to cover a plurality of conductive patterns having an offset insulating film formed thereon. A method of forming a contact hole, wherein after forming the conductive pattern on the substrate, a sidewall having etching resistance and insulating property against etching of the insulating film is formed on a sidewall of the conductive pattern. A first step; a second step of forming an insulating protective film having etching resistance against etching of the insulating film in a state of covering the conductive pattern and the sidewall; and an insulating film on the insulating protective film. And forming a contact hole in the insulating film between the conductive patterns, and then forming the insulating protective film on the bottom of the contact hole. The fourth step and the method for forming a contact hole, comprising the removal.
【請求項2】 請求項1記載のコンタクトホールの形成
方法において、 前記第1工程で、前記導電パターンを形成した後で前記
サイドウォールを形成する前に、少なくとも該導電パタ
ーンの側壁に応力緩和膜を形成することを特徴とするコ
ンタクトホールの形成方法。
2. The method for forming a contact hole according to claim 1, wherein in the first step, after forming the conductive pattern and before forming the sidewall, a stress relaxation film is formed on at least a sidewall of the conductive pattern. Forming a contact hole.
【請求項3】 請求項2記載のコンタクトホールの形成
方法において、 前記応力緩和膜は、前記導電パターンを覆う状態に形成
することを特徴とするコンタクトホールの形成方法。
3. The method of forming a contact hole according to claim 2, wherein the stress relaxation film is formed in a state of covering the conductive pattern.
【請求項4】 基板上に形成された複数の導電パターン
を覆う状態に形成された絶縁膜に、該導電パターン間を
通して該基板にコンタクトホールを形成するコンタクト
ホールの形成方法であって、 前記絶縁膜のエッチングに対してエッチング耐性を有し
かつ絶縁性を有するオフセット絶縁膜を上面に設けた状
態で前記各導電パターンを形成する第1工程と、 前記各導電パターンおよび各オフセット絶縁膜の各側壁
に前記絶縁膜のエッチングに対してエッチング耐性を有
しかつ絶縁性を有するサイドウォールを形成する第2工
程と、 前記オフセット絶縁膜およびサイドウォールを覆う状態
に前記絶縁膜を成膜する第3工程と、 前記導電パターン間の前記絶縁膜にコンタクトホールを
形成する第4工程とを備えたことを特徴とするコンタク
トホールの形成方法。
4. A method of forming a contact hole, wherein a contact hole is formed in an insulating film formed in a state of covering a plurality of conductive patterns formed on a substrate, the contact hole being formed between the conductive patterns. A first step of forming each of the conductive patterns in a state in which an offset insulating film having etching resistance against the film etching and having an insulating property is provided on the upper surface; and each sidewall of each of the conductive patterns and each of the offset insulating films A second step of forming a sidewall having etching resistance and insulation against the etching of the insulating film, and a third step of forming the insulating film so as to cover the offset insulating film and the sidewall And a fourth step of forming a contact hole in the insulating film between the conductive patterns. The method of forming the Le.
【請求項5】 請求項4記載のコンタクトホールの形成
方法において、 前記第1工程で、前記導電パターンと前記オフセット絶
縁膜との間に応力緩和膜を形成することを特徴とするコ
ンタクトホールの形成方法。
5. The method of forming a contact hole according to claim 4, wherein in the first step, a stress relaxation film is formed between the conductive pattern and the offset insulating film. Method.
【請求項6】 請求項5記載のコンタクトホールの形成
方法において、 前記第1工程で、少なくとも前記導電パターンの側壁に
応力緩和膜を形成することを特徴とするコンタクトホー
ルの形成方法。
6. The method of forming a contact hole according to claim 5, wherein in the first step, a stress relaxation film is formed on at least a sidewall of the conductive pattern.
JP11947395A 1995-05-18 1995-05-18 Formation of contact hole Pending JPH08316313A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11947395A JPH08316313A (en) 1995-05-18 1995-05-18 Formation of contact hole

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11947395A JPH08316313A (en) 1995-05-18 1995-05-18 Formation of contact hole

Publications (1)

Publication Number Publication Date
JPH08316313A true JPH08316313A (en) 1996-11-29

Family

ID=14762187

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11947395A Pending JPH08316313A (en) 1995-05-18 1995-05-18 Formation of contact hole

Country Status (1)

Country Link
JP (1) JPH08316313A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187529A (en) * 1997-06-30 1999-03-30 St Microelectron Inc Integrated circuit contact
US6235620B1 (en) 1998-08-31 2001-05-22 Hitachi, Ltd. Process for manufacturing semiconductor integrated circuit device
KR20030056928A (en) * 2001-12-28 2003-07-04 주식회사 하이닉스반도체 Metal line of semiconductor device and method for forming the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187529A (en) * 1997-06-30 1999-03-30 St Microelectron Inc Integrated circuit contact
US6235620B1 (en) 1998-08-31 2001-05-22 Hitachi, Ltd. Process for manufacturing semiconductor integrated circuit device
KR20030056928A (en) * 2001-12-28 2003-07-04 주식회사 하이닉스반도체 Metal line of semiconductor device and method for forming the same

Similar Documents

Publication Publication Date Title
US5578524A (en) Fabrication process of a semiconductor device with a wiring structure
US6849539B2 (en) Semiconductor device and method of fabricating the same
JPH04239723A (en) Manufacture of semiconductor device
US5948701A (en) Self-aligned contact (SAC) etching using polymer-building chemistry
US6884736B2 (en) Method of forming contact plug on silicide structure
US6383918B1 (en) Method for reducing semiconductor contact resistance
US6716766B2 (en) Process variation resistant self aligned contact etch
JP2004096117A (en) Projecting spacers for self-aligning contact
US6468904B1 (en) RPO process for selective CoSix formation
US20080213990A1 (en) Method for forming gate electrode in semiconductor device
JP3241020B2 (en) Method for manufacturing semiconductor device
US6847085B2 (en) High aspect ratio contact surfaces having reduced contaminants
JPH08316313A (en) Formation of contact hole
US20040171261A1 (en) Method of etching a silicon nitride film and method of manufacturing a semiconductor device using the same
JP2000353688A (en) Production of semiconductor device
KR20070008969A (en) Method of manufacturing a flash memory device
JP3416320B2 (en) Method for manufacturing semiconductor device
US20010034136A1 (en) Method for improving contact resistance of silicide layer in a semiconductor device
KR100680944B1 (en) Method of manufacturing semicondutor device
JP2000049340A (en) Semiconductor device and fabrication thereof
KR20060071941A (en) Method of forming gate of semiconductor device
KR100430688B1 (en) Method of forming contact hole of semiconductor device
JPH10294290A (en) Manufacture of semiconductor device
JP2725695B2 (en) Method for manufacturing semiconductor device
KR100431818B1 (en) Forming method for self aligned contact of semiconductor device