JPH08213366A - Pattern forming method, pattern forming equipment, manufacture of semiconductor integrated circuit device, and semiconductor manufacturing equipment - Google Patents

Pattern forming method, pattern forming equipment, manufacture of semiconductor integrated circuit device, and semiconductor manufacturing equipment

Info

Publication number
JPH08213366A
JPH08213366A JP7019436A JP1943695A JPH08213366A JP H08213366 A JPH08213366 A JP H08213366A JP 7019436 A JP7019436 A JP 7019436A JP 1943695 A JP1943695 A JP 1943695A JP H08213366 A JPH08213366 A JP H08213366A
Authority
JP
Japan
Prior art keywords
film
etching
processed
substrate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7019436A
Other languages
Japanese (ja)
Inventor
Kazusato Hara
和里 原
Zenzo Torii
善三 鳥居
Michio Nishimura
美智夫 西村
Takeshi Matsui
剛 松井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Texas Instruments Japan Ltd
Original Assignee
Hitachi Ltd
Texas Instruments Japan Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Texas Instruments Japan Ltd filed Critical Hitachi Ltd
Priority to JP7019436A priority Critical patent/JPH08213366A/en
Priority to KR1019960002759A priority patent/KR960032088A/en
Publication of JPH08213366A publication Critical patent/JPH08213366A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: To improve elimination capability of a side film which is formed on the side wall of a pattern when it is formed by dry etching. CONSTITUTION: A photoresist pattern 24b formed on a laminated conductor film 23 is used as an etching mask, and a semiconductor substrate 10 is subjected to dry etching. After the laminated conductor film 23 exposed from the photoresist pattern 24b is eliminated by etching. In the state that a high frequency bias voltage is applied to the semiconductor substrate 10, plasma dry etching wherein O2 /SF6 or O2 /CHF3 or O2 /CF based gas is used as etching gas is performed, thereby eliminating a side film.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、パターン形成方法およ
びパターン形成装置、ならびに半導体集積回路装置の製
造方法および半導体製造装置技術に関し、特に、電極配
線のパターン形成方法に適用して有効な技術に関するも
のである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a pattern forming method and a pattern forming apparatus, a semiconductor integrated circuit device manufacturing method and a semiconductor manufacturing apparatus technique, and more particularly to a technique effective when applied to an electrode wiring pattern forming method. It is a thing.

【0002】[0002]

【従来の技術】従来の電極配線形成工程は、例えば次の
ようにしていた。まず、電極配線形成用の導体膜上にフ
ォトレジストパターンを形成した後、そのフォトレジス
トパターンをエッチングマスクとしてドライエッチング
法等によってフォトレジストパターンから露出する導体
膜部分をエッチング除去することにより、電極配線パタ
ーンを形成する。
2. Description of the Related Art A conventional electrode wiring forming process is, for example, as follows. First, after forming a photoresist pattern on a conductor film for forming an electrode wiring, the conductor film portion exposed from the photoresist pattern is removed by etching by a dry etching method or the like using the photoresist pattern as an etching mask. Form a pattern.

【0003】続いて、不要となったフォトレジストパタ
ーンを、例えば酸素(O2 )、O2/CF4 またはO2
/CHF3 等をアッシングガスとしたアッシング処理に
よって除去する。この際、半導体基板にはバイアス電圧
を印加していない。
Subsequently, the photoresist pattern which has become unnecessary is formed, for example, with oxygen (O 2 ), O 2 / CF 4 or O 2.
/ CHF 3 or the like is removed by an ashing process using an ashing gas. At this time, no bias voltage is applied to the semiconductor substrate.

【0004】その後、電極配線パターニング処理中に電
極配線パターンの側壁に形成された側壁保護膜をウエッ
トエッチング処理によって除去する。
After that, the side wall protective film formed on the side wall of the electrode wiring pattern during the electrode wiring patterning processing is removed by wet etching.

【0005】なお、このような側壁保護膜の除去処理に
ついては、例えば株式会社プレスジャーナル、平成6年
9月20日発行、「月刊 セミコンダクタワールド(Se
miconductor World)10月号」P80〜P87に記載が
ある。
Regarding the removal processing of such a side wall protective film, for example, “Journal of Semiconductor World (Se
miconductor World) October issue "P80-P87.

【0006】[0006]

【発明が解決しようとする課題】ところで、近年、電極
配線の形成技術においては、パターンの微細化および高
アスペクト比化に対応すべく、異方性パターンの加工を
実現するために処理温度の低温化が必要となってきた。
By the way, in recent years, in the technique of forming electrode wirings, in order to realize the processing of anisotropic patterns in order to cope with the miniaturization of patterns and the high aspect ratio, the processing temperature is low. Has become necessary.

【0007】しかし、処理温度の低温化に伴い、側壁保
護膜が強固となり、従来のウエットエッチング処理のみ
では側壁保護膜を充分除去することができなくなりつつ
あることを本発明者は見い出した。
However, the present inventor has found that the sidewall protective film becomes stronger as the processing temperature is lowered, and the sidewall protective film cannot be sufficiently removed only by the conventional wet etching treatment.

【0008】また、フォトレジストパターンを除去する
ためのアッシング処理は、側壁保護膜の硬化を促進させ
る働きがあった。例えばO2 /CH3 OHプラズマ処理
においては、オーバアッシング時間の延長や処理温度の
上昇によってウエットエッチング処理後の側壁保護膜の
除去性が低下することが確認されている。
Further, the ashing process for removing the photoresist pattern has a function of accelerating the curing of the side wall protective film. For example, in the O 2 / CH 3 OH plasma treatment, it has been confirmed that the removability of the side wall protective film after the wet etching treatment is lowered due to the extension of the overashing time and the rise of the treatment temperature.

【0009】このような側壁保護膜には、その成分とし
てアルミニウム(Al)や銅(Cu)等のような導電性
の成分も含まれるため、側壁保護膜が隣接電極配線間上
に残留することで短絡不良を招いたり、接続孔内におい
ては配線接続不良を招いたりする問題が生じる。
Since such a side wall protective film also contains a conductive component such as aluminum (Al) or copper (Cu) as its component, the side wall protective film must remain between adjacent electrode wirings. Therefore, there arises a problem that a short circuit failure is caused or a wiring connection failure is caused in the connection hole.

【0010】したがって、このような不良を発生を防止
すべく、ウエットエッチング処理時間が長くなり、半導
体集積回路装置製造のスループットが低下する問題が生
じている。
Therefore, in order to prevent the occurrence of such a defect, the wet etching process time becomes long, and there is a problem that the throughput of the semiconductor integrated circuit device manufacturing is lowered.

【0011】また、本発明者の検討によれば、その側壁
保護膜を除去するために、塩素(Cl2 )系のガスを用
いたプラズマドライエッチング処理を施した場合、導体
膜の腐食が問題となることが判明した。
Further, according to the study by the present inventor, when the plasma dry etching treatment using a chlorine (Cl 2 ) gas is performed to remove the side wall protective film, corrosion of the conductor film is a problem. It turned out to be

【0012】本発明の目的は、側壁保護膜の除去性を向
上させることのできる技術を提供することにある。
An object of the present invention is to provide a technique capable of improving the removability of the side wall protective film.

【0013】また、本発明の目的は、パターン形成のス
ループットを向上させることのできる技術を提供するこ
とにある。
Another object of the present invention is to provide a technique capable of improving the throughput of pattern formation.

【0014】さらに、本発明の目的は、導体膜の防食性
を向上させることのできる技術を提供することにある。
A further object of the present invention is to provide a technique capable of improving the corrosion resistance of the conductor film.

【0015】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

【0016】[0016]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
以下のとおりである。
Of the inventions disclosed in the present application, a representative one will be briefly described below.
It is as follows.

【0017】すなわち、本発明のパターン形成方法は、
被処理基板上に形成された被加工膜を加工することによ
り、前記被処理基板上に所定のパターンを形成する際
に、以下の工程を有するものである。
That is, the pattern forming method of the present invention is
When the predetermined film is formed on the substrate to be processed by processing the film to be processed formed on the substrate to be processed, the following steps are included.

【0018】(a)前記被加工膜上に所定形状のレジス
トパターンを形成した後、前記レジストパターンをエッ
チングマスクとして前記被処理基板に対しドライエッチ
ング処理を施すことにより、前記レジストパターンに被
覆されエッチング除去されずに残されている被加工膜の
側面に保護膜を形成した状態で前記レジストパターンか
ら露出する被加工膜部分をエッチング除去する工程。
(A) After forming a resist pattern having a predetermined shape on the film to be processed, the substrate to be processed is dry-etched by using the resist pattern as an etching mask to cover and etch the resist pattern. A step of etching away a portion of the film to be processed exposed from the resist pattern with a protective film formed on the side surface of the film to be processed which is not removed.

【0019】(b)前記レジストパターンを除去する工
程。
(B) A step of removing the resist pattern.

【0020】(c)前記被処理基板に高周波基板バイア
ス電圧を印加した状態でエッチングガスとしてO2 /S
6 、O2 /CHF3 またはO2 /CF系のガスを用い
たプラズマドライエッチング処理を施す工程。
(C) O 2 / S as an etching gas with a high frequency substrate bias voltage applied to the substrate to be processed.
A step of performing a plasma dry etching process using a gas of F 6 , O 2 / CHF 3 or O 2 / CF system.

【0021】(d)前記被処理基板に対してウエットエ
ッチング処理を施す工程。
(D) A step of performing a wet etching process on the substrate to be processed.

【0022】また、本発明のパターン形成装置は、被処
理基板上に形成された被加工膜を加工することにより、
前記被処理基板上に所定のパターンを形成するためのパ
ターン形成装置であって、以下の構成部を有するもので
ある。
Further, the pattern forming apparatus of the present invention processes the film to be processed formed on the substrate to be processed,
A pattern forming apparatus for forming a predetermined pattern on the substrate to be processed, which has the following components.

【0023】(a)前記被加工膜上に形成されたレジス
トパターンをエッチングマスクとして、前記被処理基板
に対してドライエッチング処理を施すことにより、前記
レジストパターンから露出する前記被加工膜部分をエッ
チング除去し、前記所定のパターン形成するためのメイ
ンエッチング処理部。
(A) A dry etching process is performed on the substrate to be processed by using the resist pattern formed on the film to be processed as an etching mask to etch the film portion exposed from the resist pattern. A main etching processing part for removing and forming the predetermined pattern.

【0024】(b)前記被処理基板に高周波基板バイア
ス電圧を印加した状態でエッチングガスとしてO2 /S
6 、O2 /CHF3 またはO2 /CF系のガスを用い
たプラズマドライエッチング処理を施すための第1サブ
エッチング処理部。
(B) O 2 / S as an etching gas under the condition that a high frequency substrate bias voltage is applied to the substrate to be processed.
A first sub-etching treatment section for performing plasma dry etching treatment using F 6 , O 2 / CHF 3 or O 2 / CF gas.

【0025】また、本発明のパターン形成装置は、被処
理基板上に形成された被加工膜を加工することにより、
前記被処理基板上に所定のパターンを形成するためのパ
ターン形成装置であって、以下の構成部を有するもので
ある。
Further, the pattern forming apparatus of the present invention processes the film to be processed formed on the substrate to be processed,
A pattern forming apparatus for forming a predetermined pattern on the substrate to be processed, which has the following components.

【0026】(a)前記被加工膜上に形成されたレジス
トパターンをエッチングマスクとして、前記被処理基板
に対してドライエッチング処理を施すことにより、前記
レジストパターンから露出する前記被加工膜部分をエッ
チング除去し、前記所定のパターンを形成するためのメ
インエッチング処理部。
(A) Using the resist pattern formed on the film to be processed as an etching mask, dry etching is performed on the substrate to be processed to etch the film to be processed exposed from the resist pattern. A main etching processing part for removing and forming the predetermined pattern.

【0027】(b)前記レジストパターンをアッシング
除去するためのアッシング処理機能と、前記被処理基板
に高周波基板バイアス電圧を印加した状態でエッチング
ガスとしてO2 /SF6 、O2 /CHF3 またはO2
CF系のガスを用いたプラズマドライエッチング処理を
施すための第1サブエッチング処理機能とを備えた処理
部。
(B) An ashing treatment function for removing the resist pattern by ashing, and O 2 / SF 6 , O 2 / CHF 3 or O as an etching gas in a state where a high frequency substrate bias voltage is applied to the substrate to be processed. 2 /
A processing unit having a first sub-etching processing function for performing plasma dry etching processing using a CF-based gas.

【0028】また、本発明のパターン形成装置は、前記
被処理基板を各処理部に搬送するための搬送機能と、前
記被処理基板を搬送する搬送室内を真空状態に維持する
ための真空維持機能とを備えた搬送部を設けたものであ
る。
Further, the pattern forming apparatus of the present invention has a carrying function for carrying the substrate to be processed to each processing section, and a vacuum maintaining function for maintaining a vacuum state in a carrying chamber for carrying the substrate to be processed. And a carrying section provided with.

【0029】また、本発明のパターン形成装置は、前記
高周波基板バイアス電圧を100V〜300V印加可能
な構造とし、前記第1サブエッチング処理時における被
処理基板の温度を0℃〜80℃まで設定可能な構造と
し、前記第1サブエッチング処理時におけるエッチング
ガス中のSF6 、CHF3 またはCF系のガスの流量比
を1〜25%に設定可能な構造としたものである。
Further, the pattern forming apparatus of the present invention has a structure capable of applying the high frequency substrate bias voltage of 100 V to 300 V, and the temperature of the substrate to be processed during the first sub-etching process can be set to 0 ° C. to 80 ° C. In this structure, the flow rate ratio of SF 6 , CHF 3 or CF gas in the etching gas during the first sub-etching process can be set to 1 to 25%.

【0030】また、本発明の半導体集積回路装置の製造
方法は、半導体基板上に形成された被加工膜を加工する
ことにより、前記半導体基板上に所定のパターンを形成
する際に、以下の工程を有するものである。
In the method for manufacturing a semiconductor integrated circuit device of the present invention, the following steps are performed when a predetermined pattern is formed on the semiconductor substrate by processing the film to be processed formed on the semiconductor substrate. Is to have.

【0031】(a)前記被加工膜上に所定形状のレジス
トパターンを形成した後、前記レジストパターンをエッ
チングマスクとして前記半導体基板に対しドライエッチ
ング処理を施すことにより、前記レジストパターンに被
覆されエッチング除去されずに残される被加工膜の側面
に保護膜を形成した状態で前記レジストパターンから露
出する被加工膜部分をエッチング除去する工程。
(A) After forming a resist pattern having a predetermined shape on the film to be processed, the semiconductor substrate is dry-etched using the resist pattern as an etching mask to cover the resist pattern and remove it by etching. A step of etching and removing the processed film portion exposed from the resist pattern in a state where a protective film is formed on the side surface of the processed film that is left unattended.

【0032】(b)前記レジストパターンを除去する工
程。
(B) A step of removing the resist pattern.

【0033】(c)前記半導体基板に高周波基板バイア
ス電圧を印加した状態でエッチングガスとしてO2 /S
6 、O2 /CHF3 またはO2 /CF系のガスを用い
たプラズマドライエッチング処理を施す工程。
(C) O 2 / S as an etching gas under the condition that a high frequency substrate bias voltage is applied to the semiconductor substrate.
A step of performing a plasma dry etching process using a gas of F 6 , O 2 / CHF 3 or O 2 / CF system.

【0034】(d)前記半導体基板に対してウエットエ
ッチング処理を施す工程。
(D) A step of subjecting the semiconductor substrate to a wet etching process.

【0035】また、本発明の半導体集積回路装置の製造
方法は、前記被加工膜が導体膜であり、前記所定のパタ
ーンが電極配線パターンであるものである。
In the method for manufacturing a semiconductor integrated circuit device according to the present invention, the film to be processed is a conductor film and the predetermined pattern is an electrode wiring pattern.

【0036】また、本発明の半導体集積回路装置の製造
方法は、前記被加工膜が絶縁膜であり、前記所定のパタ
ーンが接続孔パターンであるものである。
Further, in the method for manufacturing a semiconductor integrated circuit device of the present invention, the film to be processed is an insulating film and the predetermined pattern is a connection hole pattern.

【0037】また、本発明の半導体製造装置は、半導体
基板上に形成された被加工膜を加工することにより、前
記半導体基板上に所定のパターンを形成するための半導
体製造装置であって、以下の構成部を有するものであ
る。
Further, the semiconductor manufacturing apparatus of the present invention is a semiconductor manufacturing apparatus for forming a predetermined pattern on a semiconductor substrate by processing a film to be processed formed on the semiconductor substrate. It has a constituent part.

【0038】(a)前記被加工膜上に形成されたレジス
トパターンをエッチングマスクとして、前記半導体基板
に対してドライエッチング処理を施すことにより、前記
レジストパターンから露出する前記被加工膜部分をエッ
チング除去し、前記所定のパターン形成するためのメイ
ンエッチング処理部。
(A) By using the resist pattern formed on the film to be processed as an etching mask, a dry etching process is performed on the semiconductor substrate to etch away the film to be processed exposed from the resist pattern. Then, a main etching processing part for forming the predetermined pattern.

【0039】(b)前記半導体基板に高周波基板バイア
ス電圧を印加した状態でエッチングガスとしてO2 /S
6 、O2 /CHF3 またはO2 /CF系のガスを用い
たプラズマドライエッチング処理を施すための第1サブ
エッチング処理部。
(B) O 2 / S as an etching gas under the condition that a high frequency substrate bias voltage is applied to the semiconductor substrate.
A first sub-etching treatment section for performing plasma dry etching treatment using F 6 , O 2 / CHF 3 or O 2 / CF gas.

【0040】また、本発明の半導体製造装置は、半導体
基板上に形成された被加工膜を加工することにより、前
記半導体基板上に所定のパターンを形成するための半導
体製造装置であって、以下の構成部を有するものであ
る。
The semiconductor manufacturing apparatus of the present invention is a semiconductor manufacturing apparatus for forming a predetermined pattern on a semiconductor substrate by processing a film to be processed formed on the semiconductor substrate. It has a constituent part.

【0041】(a)前記被加工膜上に形成されたレジス
トパターンをエッチングマスクとして、前記半導体基板
に対してドライエッチング処理を施すことにより、前記
レジストパターンから露出する前記被加工膜部分をエッ
チング除去し、前記所定のパターンを形成するためのメ
インエッチング処理部。
(A) A dry etching process is performed on the semiconductor substrate using the resist pattern formed on the processed film as an etching mask to etch away the processed film portion exposed from the resist pattern. Then, a main etching processing part for forming the predetermined pattern.

【0042】(b)前記レジストパターンをアッシング
除去するためのアッシング処理機能と、前記半導体基板
に高周波基板バイアス電圧を印加した状態でエッチング
ガスとしてO2 /SF6 、O2 /CHF3 またはO2
CF系のガスを用いたプラズマドライエッチング処理を
施すための第1サブエッチング処理機能とを備えた処理
部。
(B) An ashing function for ashing and removing the resist pattern, and O 2 / SF 6 , O 2 / CHF 3 or O 2 as an etching gas in a state where a high frequency substrate bias voltage is applied to the semiconductor substrate. /
A processing unit having a first sub-etching processing function for performing plasma dry etching processing using a CF-based gas.

【0043】また、本発明の半導体製造装置は、前記半
導体基板を各処理部に搬送するための搬送機能と、前記
半導体基板を搬送する搬送室内を真空状態に維持するた
めの真空維持機能を備えた搬送部を設けたものである。
Further, the semiconductor manufacturing apparatus of the present invention has a transfer function for transferring the semiconductor substrate to each processing section, and a vacuum maintaining function for maintaining a vacuum state in the transfer chamber for transferring the semiconductor substrate. A transport section is provided.

【0044】また、本発明の半導体製造装置は、前記高
周波基板バイアス電圧を100V〜300V印加可能な
構造とし、前記第1サブエッチング処理時における半導
体基板の温度を0℃〜80℃まで設定可能な構造とし、
前記第1サブエッチング処理時におけるエッチングガス
中のSF6 、CHF3 またはCF系のガスの流量比を1
〜25%に設定可能な構造としたものである。
Further, the semiconductor manufacturing apparatus of the present invention has a structure capable of applying the high frequency substrate bias voltage of 100 V to 300 V, and the temperature of the semiconductor substrate during the first sub-etching process can be set to 0 ° C. to 80 ° C. Structure and
The flow rate ratio of SF 6 , CHF 3 or CF based gas in the etching gas during the first sub-etching process is set to 1
It has a structure that can be set to -25%.

【0045】[0045]

【作用】上記した本発明によれば、被処理基板に高周波
基板バイアス電圧を印加した状態でエッチングガスとし
てO2 /SF6 、O2 /CHF3 またはO2 /CF系の
ガスを用いたプラズマドライエッチング処理を行うこと
により、メインエッチング処理時に被加工膜の側面に形
成される保護膜を化学的な作用と物理的な作用との双方
の作用によって除去することができるので、その保護膜
の除去性を向上させることが可能となる。
According to the present invention described above, plasma using an O 2 / SF 6 , O 2 / CHF 3 or O 2 / CF type gas as an etching gas in a state where a high frequency substrate bias voltage is applied to a substrate to be processed. By performing the dry etching process, the protective film formed on the side surface of the film to be processed during the main etching process can be removed by both the chemical action and the physical action. It becomes possible to improve the removability.

【0046】したがって、本発明を半導体集積回路装置
の製造方法に用いることにより、残留した保護膜が隣接
配線間をショートさせたり、接続孔内に残留した保護膜
が接続孔内において配線接続不良を生じさせたりするの
を低減することができるので、半導体集積回路装置の歩
留りおよび信頼性を向上させることが可能となる。
Therefore, by using the present invention in the method for manufacturing a semiconductor integrated circuit device, the residual protective film causes a short circuit between adjacent wirings, and the protective film remaining in the connection hole causes wiring connection failure in the connection hole. Since it is possible to reduce the occurrence of such a phenomenon, it is possible to improve the yield and reliability of the semiconductor integrated circuit device.

【0047】また、そのようなプラズマドライエッチン
グ処理を施すことにより、その保護膜を除去するために
行っていたウエットエッチング処理時間を大幅に短縮す
ることが可能となる。したがって、本発明を半導体集積
回路装置の製造方法に用いることにより、半導体集積回
路装置製造のスループットを向上させることが可能とな
る。
Further, by performing such a plasma dry etching treatment, it is possible to greatly reduce the wet etching treatment time which has been performed for removing the protective film. Therefore, by using the present invention in a method for manufacturing a semiconductor integrated circuit device, it is possible to improve the throughput of manufacturing a semiconductor integrated circuit device.

【0048】また、そのプラズマドライエッチング処理
に際してエッチングガスとしてO2を有するガスを用い
ることにより、そのプラズマドライエッチング処理中に
導体膜の表面を酸化し不動態化することができるので、
導体膜のエッチング処理後に、そのようなプラズマドラ
イエッチング処理を施すことにより、導体膜パターンの
防食性を向上させることが可能となる。
By using a gas containing O 2 as an etching gas in the plasma dry etching process, the surface of the conductor film can be oxidized and passivated during the plasma dry etching process.
By performing such plasma dry etching treatment after the conductor film etching treatment, it becomes possible to improve the corrosion resistance of the conductor film pattern.

【0049】また、そのプラズマドライエッチング処理
に際してエッチングガスとしてSF6 等のようなフッ素
を有するガスを用いることにより、そのプラズマドライ
エッチング処理中に導体膜の表面の塩素をフッ素と置換
することができるので、導体膜のパターニング後に、そ
のようなプラズマドライエッチング処理を施すことによ
り、導体膜パターンの防食性を向上させることが可能と
なる。
By using a gas containing fluorine such as SF 6 as an etching gas in the plasma dry etching treatment, chlorine on the surface of the conductor film can be replaced with fluorine during the plasma dry etching treatment. Therefore, by performing such plasma dry etching treatment after patterning the conductor film, it becomes possible to improve the corrosion resistance of the conductor film pattern.

【0050】したがって、このようなパターン形成方法
を半導体集積回路装置の電極配線パターンの形成方法に
用いることにより、電極配線パターンの防食性を向上さ
せることができ、電極配線の信頼性を向上させることが
可能となる。
Therefore, by using such a pattern forming method in the method of forming the electrode wiring pattern of the semiconductor integrated circuit device, the corrosion resistance of the electrode wiring pattern can be improved and the reliability of the electrode wiring can be improved. Is possible.

【0051】このため、半導体集積回路装置の歩留りお
よび信頼性を向上させることが可能となる。また、ウエ
ットエッチング処理までの放置制限時間を延長すること
ができるので、半導体製品の製造ラインにおける作業者
の作業性を向上させることが可能となる。
Therefore, the yield and reliability of the semiconductor integrated circuit device can be improved. Further, since the time limit for leaving until the wet etching process can be extended, it is possible to improve the workability of the worker in the semiconductor product manufacturing line.

【0052】また、本発明によれば、レジストパターン
をアッシング除去するためのアッシング処理機能と、半
導体基板に高周波基板バイアス電圧を印加した状態でエ
ッチングガスとしてO2 /SF6 、O2 /CHF3 また
はO2 /CF系のガスを用いたプラズマドライエッチン
グ処理を施すための第1サブエッチング処理機能とを備
えた処理部を設けたことにより、アッシング処理と第1
サブエッチング処理とを同一処理部内でほぼ同時に行う
ことができるので、処理工程を削減でき、全体的な処理
時間を短縮することが可能となる。したがって、本発明
を半導体集積回路装置の製造方法に用いることにより、
半導体集積回路装置製造のスループットをさらに向上さ
せることが可能となる。
Further, according to the present invention, an ashing treatment function for ashing and removing the resist pattern, and O 2 / SF 6 , O 2 / CHF 3 as etching gas under the condition that a high frequency substrate bias voltage is applied to the semiconductor substrate are used. Alternatively, the ashing process and the first ashing process can be performed by providing a processing unit having a first sub-etching process function for performing a plasma dry etching process using an O 2 / CF-based gas.
Since the sub-etching process can be performed almost at the same time in the same processing unit, the number of processing steps can be reduced and the overall processing time can be shortened. Therefore, by using the present invention in a method for manufacturing a semiconductor integrated circuit device,
It is possible to further improve the throughput of manufacturing a semiconductor integrated circuit device.

【0053】また、本発明によれば、被処理基板を各処
理部に搬送するための搬送機能と、被処理基板を搬送す
る搬送室内を真空状態に維持するための真空維持機能を
備えた搬送部を設けたことにより、各処理部間における
搬送部を真空下とすることができるので、導体膜パター
ン等の防食性をさらに向上させることが可能となる。
Further, according to the present invention, the transfer having the transfer function for transferring the substrate to be processed to each processing section and the vacuum maintaining function for maintaining the vacuum state in the transfer chamber for transferring the substrate to be processed. By providing the parts, the transfer part between the processing parts can be evacuated, so that it is possible to further improve the corrosion resistance of the conductor film pattern and the like.

【0054】このため、このようなパターン形成方法を
半導体集積回路装置の電極配線パターンの形成方法に用
いることにより、電極配線パターンの防食性をさらに向
上させることができ、電極配線の信頼性を向上させるこ
とができるので、半導体集積回路装置の歩留りおよび信
頼性を向上させることが可能となる。また、ウエットエ
ッチング処理までの放置制限時間を延長することができ
るので、製造ラインにおける作業者の作業性を向上させ
ることが可能となる。
Therefore, by using such a pattern forming method in the method of forming the electrode wiring pattern of the semiconductor integrated circuit device, the corrosion resistance of the electrode wiring pattern can be further improved and the reliability of the electrode wiring can be improved. Therefore, the yield and reliability of the semiconductor integrated circuit device can be improved. Further, since the time limit for leaving until the wet etching process can be extended, it becomes possible to improve the workability of the worker in the manufacturing line.

【0055】また、本発明によれば、第1サブエッチン
グ処理に際して高周波基板バイアス電圧を100V以上
とすることにより、保護膜に対するイオンアタック量を
充分得ることができるので、保護膜の除去性を向上させ
ることが可能となる。また、その際に高周波基板バイア
ス電圧を300V以下とすることにより、下地絶縁膜等
の削れ過ぎを防止することが可能となる。
Further, according to the present invention, by setting the high frequency substrate bias voltage to 100 V or more in the first sub-etching process, a sufficient ion attack amount with respect to the protective film can be obtained, so that the removability of the protective film is improved. It becomes possible. Further, at that time, by setting the high frequency substrate bias voltage to 300 V or less, it becomes possible to prevent the base insulating film and the like from being excessively shaved.

【0056】また、第1サブエッチング処理時における
基板温度を0℃〜80℃とすることにより、下地絶縁膜
の削れ過ぎを防止できるとともに、導体膜の剥離や導体
膜中の原子の偏析を防止することが可能となる。
By setting the substrate temperature at the time of the first sub-etching process to 0 ° C. to 80 ° C., it is possible to prevent the base insulating film from being excessively shaved, and to prevent peeling of the conductor film and segregation of atoms in the conductor film. It becomes possible to do.

【0057】さらに、第1サブエッチング処理時におけ
るエッチングガス中のSF6 、CHF3 またはCF系の
ガスの流量比を1%以上とすることにより、保護膜の除
去性を向上させることが可能となる。また、エッチング
ガス中のSF6 、CHF3 またはCF系のガスの流量比
を25%以下にすることにより、導体膜の削れ過ぎやア
ンダカット等を防止することが可能となる。
Further, by making the flow rate ratio of SF 6 , CHF 3 or CF type gas in the etching gas during the first sub-etching treatment to be 1% or more, the removability of the protective film can be improved. Become. Further, by setting the flow rate ratio of SF 6 , CHF 3 or CF type gas in the etching gas to 25% or less, it becomes possible to prevent the conductor film from being over-cut or undercut.

【0058】[0058]

【実施例】以下、本発明の実施例を図面に基づいて詳細
に説明する。
Embodiments of the present invention will now be described in detail with reference to the drawings.

【0059】(実施例1)図1は本発明の一実施例であ
る半導体製造装置の説明図、図2は図1の半導体製造装
置におけるサブエッチング処理部の説明図、図3は図1
の半導体製造装置におけるサブエッチング処理部の変形
例の説明図、図4は本発明の一実施例である半導体製造
装置の説明図、図5は本発明の一実施例である半導体集
積回路装置の製造工程を示すフロー図、図6〜図11、
図13〜図22および図31,図32は図5の製造工程
中における半導体集積回路装置の要部断面図、図12は
種々の条件における保護膜の除去効果を示すグラフ図、
図23〜図25はサイドフィルムを除去するためにCl
2 系のガスを用いたプラズマ・ドライエッチング処理を
施した場合における半導体ウエハ周辺の配線パターンの
SEM写真、図26〜図28は本発明の保護膜除去処理
を施した場合における半導体ウエハ周辺の配線パターン
のSEM写真、図29は本発明の保護膜除去処理を行わ
ない場合の配線部分におけるSEM写真、図30は本発
明の保護膜除去処理を行った場合の配線部分におけるS
EM写真である。
(Embodiment 1) FIG. 1 is an explanatory view of a semiconductor manufacturing apparatus which is an embodiment of the present invention, FIG. 2 is an explanatory view of a sub-etching processing section in the semiconductor manufacturing apparatus of FIG. 1, and FIG.
Of a modified example of the sub-etching processing unit in the semiconductor manufacturing apparatus of FIG. 4, FIG. 4 is an explanatory view of the semiconductor manufacturing apparatus which is an embodiment of the present invention, and FIG. 5 is a semiconductor integrated circuit device which is an embodiment of the present invention Flow charts showing the manufacturing process, FIGS. 6 to 11,
13 to 22 and FIGS. 31 and 32 are cross-sectional views of the main part of the semiconductor integrated circuit device during the manufacturing process of FIG. 5, FIG. 12 is a graph showing the protective film removal effect under various conditions,
23 to 25 show Cl for removing the side film.
SEM photographs of the wiring pattern around the semiconductor wafer when the plasma dry etching treatment using the 2 system gas is performed, and FIGS. 26 to 28 are wiring around the semiconductor wafer when the protective film removing treatment of the present invention is performed. 29 is a SEM photograph of the wiring portion when the protective film removing treatment of the present invention is not performed, and FIG. 30 is an SEM photograph of the wiring portion when the protective film removing treatment of the present invention is performed.
It is an EM photograph.

【0060】まず、本実施例1の半導体製造装置を図1
〜図4によって説明する。
First, the semiconductor manufacturing apparatus of the first embodiment is shown in FIG.
~ It demonstrates by FIG.

【0061】図1に示す本実施例の半導体製造装置1A
は、例えば半導体ウエハ上の絶縁膜に接続孔を形成する
ためのクラスタツールであり、その中央搬送部2aの外
周には、ロードロック部3a、アンロード部4a、メイ
ンエッチング処理部5a、メインアッシング処理部6
a、サブエッチング処理部7a,8a、サブアッシング
処理部9aが設置されている。
A semiconductor manufacturing apparatus 1A of this embodiment shown in FIG.
Is a cluster tool for forming a connection hole in an insulating film on a semiconductor wafer, for example, and a load lock portion 3a, an unloading portion 4a, a main etching processing portion 5a, and a main ashing are provided on the outer periphery of the central transfer portion 2a. Processing unit 6
a, sub-etching processing units 7a and 8a, and sub-ashing processing unit 9a are installed.

【0062】中央搬送部2aは、半導体ウエハ(図1に
は図示せず)を半導体製造装置1Aの各部に搬送するた
めの機構部であり、搬送アーム等のような半導体ウエハ
搬送機構(図示せず)を備えているとともに、搬送室内
を真空状態に維持するための真空維持機能を備えてい
る。
The central transfer section 2a is a mechanism section for transferring a semiconductor wafer (not shown in FIG. 1) to each section of the semiconductor manufacturing apparatus 1A, and a semiconductor wafer transfer mechanism (not shown) such as a transfer arm. And a vacuum maintaining function for maintaining a vacuum state in the transfer chamber.

【0063】ロードロック部3aは、半導体ウエハを半
導体製造装置1A内に搬入するための機構部である。ア
ンロード部4aは、接続孔の形成処理が終了した半導体
ウエハを半導体製造装置1Aの外部に搬出するための機
構部である。
The load lock section 3a is a mechanism section for loading a semiconductor wafer into the semiconductor manufacturing apparatus 1A. The unloading section 4a is a mechanism section for carrying out the semiconductor wafer, for which the connection hole forming process has been completed, to the outside of the semiconductor manufacturing apparatus 1A.

【0064】メインエッチング処理部5aは、半導体ウ
エハ上に堆積された絶縁膜の所定一部分をエッチング除
去することにより、絶縁膜の所定位置に接続孔を形成す
るためのエッチング処理部であり、例えば平行平板形の
電極構造を有するプラズマ・ドライエッチング装置が用
いられている。反応ガスとしては、例えば四フッ化炭素
(CF4 )ガス、トリフルオロメタン(CHF3 )ガス
またはアルゴン(Ar)ガスを使用することが可能とな
っている。
The main etching processing section 5a is an etching processing section for forming a connection hole at a predetermined position of the insulating film by etching away a predetermined portion of the insulating film deposited on the semiconductor wafer, and for example, a parallel etching processing section. A plasma dry etching apparatus having a flat electrode structure is used. As the reaction gas, for example, carbon tetrafluoride (CF 4 ) gas, trifluoromethane (CHF 3 ) gas or argon (Ar) gas can be used.

【0065】メインアッシング処理部6aは、不要とな
ったフォトレジスト膜を除去するための処理部であり、
本実施例1においては、例えばダウンフロー形のマイク
ロ波プラズマ・アッシング装置が使用されている。アッ
シングガスとしては、例えば酸素(O2 )+水(H
2 O)またはO2 に水酸基(OH)を添加したガスを使
用することが可能となっている。
The main ashing processing section 6a is a processing section for removing an unnecessary photoresist film,
In the first embodiment, for example, a downflow type microwave plasma ashing device is used. As the ashing gas, for example, oxygen (O 2 ) + water (H
It is possible to use a gas obtained by adding a hydroxyl group (OH) to 2 O) or O 2 .

【0066】サブエッチング処理部(第1サブエッチン
グ処理部)7aは、上記した接続孔を形成するためのド
ライエッチング処理時に接続孔の内壁面に被着したサイ
ドフィルム(保護膜)を除去するための処理部であり、
本実施例1においては、例えばECR(Electron Cycro
toron Resonance )プラズマ・ドライエッチング装置ま
たは平行平板形の電極構造を有するプラズマ・ドライエ
ッチング装置が用いられている。このサブエッチング処
理部7aの詳細については後述する。
The sub-etching portion (first sub-etching portion) 7a is for removing the side film (protective film) adhered to the inner wall surface of the connection hole during the dry etching treatment for forming the above-mentioned connection hole. Is the processing part of
In the first embodiment, for example, ECR (Electron Cycro
toron Resonance) A plasma dry etching apparatus or a plasma dry etching apparatus having a parallel plate type electrode structure is used. Details of the sub-etching processing section 7a will be described later.

【0067】サブエッチング処理部(第2サブエッチン
グ処理部)8aは、上記した接続孔を形成するためのド
ライエッチング処理時に接続孔の内壁面に被着したサイ
ドフィルムを除去するために設置された処理部であり、
例えばウエットエッチング装置が用いられている。な
お、このサブエッチング処理部8aには、ウエットエッ
チング処理後の半導体ウエハを乾燥させるための乾燥機
構も備えられている。
The sub-etching portion (second sub-etching portion) 8a was installed to remove the side film deposited on the inner wall surface of the connection hole during the dry etching treatment for forming the above-mentioned connection hole. Is a processing unit,
For example, a wet etching device is used. The sub-etching section 8a is also provided with a drying mechanism for drying the semiconductor wafer after the wet etching processing.

【0068】サブアッシング処理部9aは、フォトレジ
スト膜の残り等を除去するための処理部であり、本実施
例1においては、チャージアップ等に起因する汚染やダ
メージを防止するために、例えば紫外線光とオゾン(O
3 )ガスとを用いてフォトレジスト膜を酸化分解除去す
る、いわゆる光アッシング装置が使用されている。
The sub-ashing processing section 9a is a processing section for removing the remaining portion of the photoresist film and the like. In the first embodiment, for example, in order to prevent contamination and damage due to charge-up, ultraviolet rays are used. Light and ozone (O
3 ) A so-called optical ashing device is used, which uses a gas to oxidatively decompose and remove the photoresist film.

【0069】次に、上記したサブエッチング処理部7a
の例として、例えばECRプラズマ・ドライエッチング
装置を用いた場合と、平行平板形の電極構造を有するプ
ラズマ・ドライエッチング装置を用いた場合とをそれぞ
れ図2および図3によって説明する。
Next, the above-mentioned sub-etching processing portion 7a
As an example of the above, a case of using an ECR plasma dry etching apparatus and a case of using a plasma dry etching apparatus having a parallel plate type electrode structure will be described with reference to FIGS. 2 and 3, respectively.

【0070】まず、ECRプラズマ・ドライエッチング
装置について説明する。図2に示すように、サブエッチ
ング処理部7aを構成するマグネトロン発振機7a1
は、例えば2.45GHzのマイクロ(以下、μとする)
波を発生するためのμ波発生源である。
First, the ECR plasma dry etching apparatus will be described. As shown in FIG. 2, the magnetron oscillator 7a1 which constitutes the sub-etching processing section 7a.
Is, for example, a 2.45 GHz micro (hereinafter referred to as μ)
It is a μ-wave source for generating waves.

【0071】このマグネトロン発振機7a1 から発生し
たμ波は、アイソレータ(図示せず)、パワーモニタ
(図示せず)およびベント形導波管7a2 等を介してプ
ラズマ・エッチング処理部(以下、単に処理室という)
7a3 に供給される構造になっている。
The μ-wave generated from the magnetron oscillator 7a1 is passed through an isolator (not shown), a power monitor (not shown), a vent-type waveguide 7a2, etc. to a plasma etching processing section (hereinafter simply referred to as processing). A room)
The structure is such that it is supplied to 7a3.

【0072】処理室7a3 は放電管7a4 によって形成
されている。この放電管7a4 は、μ波を通すために、
例えば石英やアルミナ等のような誘電体によって構成さ
れている。処理室7a3 の下部には、下部電極7a5 が
設置されている。下部電極7a5 上には、上記した半導
体ウエハWが電気的に絶縁された状態で載置されてい
る。
The processing chamber 7a3 is formed by a discharge tube 7a4. This discharge tube 7a4 is for passing μ waves,
For example, it is made of a dielectric material such as quartz or alumina. A lower electrode 7a5 is installed below the processing chamber 7a3. The above semiconductor wafer W is mounted on the lower electrode 7a5 in an electrically insulated state.

【0073】下部電極7a5 は、整合器(図示せず)を
介して高周波電源7a6 と電気的に接続されている。こ
れにより、このサブエッチング処理部7aにおいては、
エッチング処理に際して、下部電極7a5 に基板バイア
ス電圧を印加することが可能となっている。
The lower electrode 7a5 is electrically connected to the high frequency power supply 7a6 via a matching unit (not shown). As a result, in the sub-etching processing section 7a,
It is possible to apply a substrate bias voltage to the lower electrode 7a5 during the etching process.

【0074】本実施例1においては、その基板バイアス
周波数を、例えば13.56MHz、2MHzまたは80
0KHzに設定することができる。また、その基板バイ
アス電圧を、例えば100V〜300V程度に設定する
ことが可能となっている。
In the first embodiment, the substrate bias frequency is set to, for example, 13.56 MHz, 2 MHz or 80.
It can be set to 0 KHz. Further, the substrate bias voltage can be set to, for example, about 100V to 300V.

【0075】また、温調液供給管7a7 は、半導体ウエ
ハWの温度を設定するための温度調整液を下部電極7a
5 側に供給するための供給管である。本実施例1におい
ては、エッチング処理中における半導体ウエハWの温度
を、例えば0〜80℃に設定することが可能となってい
る。
Further, the temperature adjusting liquid supply pipe 7a7 supplies the temperature adjusting liquid for setting the temperature of the semiconductor wafer W to the lower electrode 7a.
This is a supply pipe for supplying to the 5 side. In the first embodiment, the temperature of the semiconductor wafer W during the etching process can be set to 0 to 80 ° C., for example.

【0076】エッチング処理に寄与する反応ガスは、ガ
ス供給管(図示せず)を通じて処理室7a3 内に供給さ
れるようになっている。反応ガスとしては、例えばO2
/六フッ化硫黄(SF6 )、O2 /CHF3 またはO2
/フッ化炭素(CF)系のガスを使用することが可能と
なっている。そして、SF6 、CHF3 またはCF系の
ガスの流量比を1〜25%まで制御することが可能とな
っている。なお、エッチング処理によって生じた反応生
成ガスやエッチング処理に寄与しなかった反応ガス等
は、排気口7a8 を通じて排気されるようになってい
る。
The reaction gas that contributes to the etching process is supplied into the processing chamber 7a3 through a gas supply pipe (not shown). As the reaction gas, for example, O 2
/ Sulfur hexafluoride (SF 6 ), O 2 / CHF 3 or O 2
It is possible to use a fluorocarbon (CF) -based gas. Then, the flow rate ratio of SF 6 , CHF 3 or CF type gas can be controlled to 1 to 25%. The reaction product gas generated by the etching process and the reaction gas that does not contribute to the etching process are exhausted through the exhaust port 7a8.

【0077】また、放電管7a4 の外周には、それを取
り囲むように、例えば二段に重ねられた電磁石7a9 ,
7a9 が設置されている。この電磁石7a9 ,7a9
は、エッチング処理に際して処理室7a3 内に入射され
た上記μ波の電界に対して直交する磁場を形成すること
によりECR現象を発生させるための手段である。これ
により、処理室7a3 内の電子密度分布およびプラズマ
密度分布を制御することが可能となっている。
Further, on the outer circumference of the discharge tube 7a4, for example, electromagnets 7a9, which are stacked in two stages so as to surround it,
7a9 is installed. This electromagnet 7a9, 7a9
Is a means for generating an ECR phenomenon by forming a magnetic field orthogonal to the electric field of the .mu.-wave entered into the processing chamber 7a3 during the etching process. This makes it possible to control the electron density distribution and plasma density distribution in the processing chamber 7a3.

【0078】次に、平行平板形の電極構造を有するプラ
ズマ・ドライエッチング装置について説明する。図3に
示すように、サブエッチング処理部7aを構成する陰極
7a10上には、半導体ウエハWが載置されている。陰極
7a10は整合器7a11を介して高周波電源7a12と電気
的に接続されている。これにより、このサブエッチング
処理部7aにおいては、エッチング処理に際して、陰極
7a10に基板バイアス電圧を印加することが可能となっ
ている。
Next, a plasma dry etching apparatus having a parallel plate type electrode structure will be described. As shown in FIG. 3, the semiconductor wafer W is placed on the cathode 7a10 that constitutes the sub-etching processing section 7a. The cathode 7a10 is electrically connected to the high frequency power supply 7a12 via the matching unit 7a11. As a result, in the sub-etching processing section 7a, it is possible to apply a substrate bias voltage to the cathode 7a10 during the etching processing.

【0079】本実施例1においては、基板バイアス周波
数を、例えば13.56MHz、2MHzまたは800K
Hzに設定することができる。また、基板バイアス電圧
を、例えば100V〜300V程度に設定することが可
能となっている。また、本実施例1においては、エッチ
ング処理中における半導体ウエハWの温度を、例えば0
℃〜80℃程度に設定することが可能となっている。
In the first embodiment, the substrate bias frequency is set to, for example, 13.56 MHz, 2 MHz or 800 K.
It can be set to Hz. Further, the substrate bias voltage can be set to, for example, about 100V to 300V. In the first embodiment, the temperature of the semiconductor wafer W during the etching process is set to 0, for example.
It is possible to set the temperature in the range of ℃ to 80 ℃.

【0080】エッチング処理に寄与する反応ガスは、ガ
ス供給管(図示せず)を通じて処理室7a13内に供給さ
れるようになっている。反応ガスとしては、例えばO2
/SF6 、O2 /CHF3 またはO2 /CF系のガスを
使用することが可能となっている。そして、SF6 、C
HF3 またはCFガスの流量比を1〜25%まで制御す
ることが可能となっている。処理室7a13内のガスは、
排気口7a14を通じて排気されるようになっている。
The reaction gas that contributes to the etching process is supplied into the processing chamber 7a13 through a gas supply pipe (not shown). As the reaction gas, for example, O 2
It is possible to use / SF 6 , O 2 / CHF 3 or O 2 / CF based gas. And SF 6 , C
It is possible to control the flow rate ratio of HF 3 or CF gas to 1 to 25%. The gas in the processing chamber 7a13 is
The air is exhausted through the exhaust port 7a14.

【0081】陰極7a10の上方には、陽極7a15が陰極
7a10に平行に設置されている。陽極7a15は、接地部
7a16と電気的に接続されている。陽極7a15と陰極7
a10とは、陽極7a15の下部内周と陰極7a10の下部外
周との間に設けられた絶縁体7a17によって絶縁されて
いる。
An anode 7a15 is installed above the cathode 7a10 in parallel with the cathode 7a10. The anode 7a15 is electrically connected to the ground portion 7a16. Anode 7a15 and cathode 7
The a10 is insulated by an insulator 7a17 provided between the inner circumference of the lower part of the anode 7a15 and the outer circumference of the lower part of the cathode 7a10.

【0082】また、図4に示す本実施例1の半導体製造
装置1Bは、例えば半導体ウエハ上に配線パターンを形
成するためのクラスタツールであり、その中央搬送部2
aの外周には、ロードロック部3a、アンロード部4
a、メインエッチング処理部5b、メインアッシング処
理部6a、サブエッチング処理部7a、サブエッチング
処理部8a、サブアッシング処理部9aが設置されてい
る。
The semiconductor manufacturing apparatus 1B of the first embodiment shown in FIG. 4 is a cluster tool for forming a wiring pattern on a semiconductor wafer, for example.
A load lock part 3a and an unload part 4 are provided on the outer periphery of a.
a, a main etching processing unit 5b, a main ashing processing unit 6a, a sub etching processing unit 7a, a sub etching processing unit 8a, and a sub ashing processing unit 9a are installed.

【0083】メインエッチング処理部5b以外は、上記
した半導体製造装置1Aと同じである。メインエッチン
グ処理部5bは、半導体ウエハ上に堆積された導体膜の
所定部分をエッチング除去することにより配線パターン
を形成するためのエッチング処理部であり、例えばマイ
クロ波プラズマ・ドライエッチング装置が用いられてい
る。
The semiconductor manufacturing apparatus 1A is the same as the above-described semiconductor manufacturing apparatus 1A except for the main etching processing section 5b. The main etching processing section 5b is an etching processing section for forming a wiring pattern by etching away a predetermined portion of the conductor film deposited on the semiconductor wafer, and, for example, a microwave plasma dry etching apparatus is used. There is.

【0084】反応ガスとしては、エッチングされる導体
膜の材料によって種々異なるので一概には言えないが、
例えば次のような反応ガスを使用することが可能となっ
ている。
The reaction gas varies depending on the material of the conductor film to be etched and cannot be generally stated.
For example, the following reaction gas can be used.

【0085】すなわち、アルミニウム(Al)系の導体
膜をエッチングする場合には、例えば三塩化ホウ素(B
Cl3 )+塩素(Cl2 )ガスを用いることが可能とな
っている。
That is, when etching the aluminum (Al) -based conductor film, for example, boron trichloride (B
It is possible to use Cl 3 ) + chlorine (Cl 2 ) gas.

【0086】タングステンやチタンタングステン(Ti
W)等からなる導体膜をエッチングする場合には、例え
ばSF6 +BCl3 ガスまたはこれに窒素(N2 )ガス
を添加したガスを用いることが可能となっている。
Tungsten and titanium Tungsten (Ti
When the conductor film made of W) or the like is etched, it is possible to use, for example, SF 6 + BCl 3 gas or a gas obtained by adding nitrogen (N 2 ) gas thereto.

【0087】窒化チタン(TiN)等からなる導体膜を
エッチングする場合には、例えばSF6 +BCl3
ス、SF6 +BCl3 +Cl2 ガスまたはBCl3 +C
2 ガスを用いることが可能となっている。
When etching a conductor film made of titanium nitride (TiN) or the like, for example, SF 6 + BCl 3 gas, SF 6 + BCl 3 + Cl 2 gas or BCl 3 + C is used.
It is possible to use l 2 gas.

【0088】次に、本実施例1の半導体集積回路装置の
製造方法を図5のフロー図に沿って、図1〜図4および
図6〜図32によって説明する。本実施例1において
は、本発明を、例えば64MビットのDRAM(Dynami
c Random Access Memory)の製造方法に適用した場合に
ついて説明する。
Next, a method of manufacturing the semiconductor integrated circuit device according to the first embodiment will be described with reference to the flow chart of FIG. 5 with reference to FIGS. 1 to 4 and 6 to 32. In the first embodiment, the present invention is applied to, for example, a 64-Mbit DRAM (Dynami
c Random Access Memory) will be described.

【0089】図6および図7にそれぞれDRAMの製造
工程中におけるメモリセル領域Mおよび周辺回路領域A
の要部断面図を示す。
FIG. 6 and FIG. 7 respectively show a memory cell region M and a peripheral circuit region A during the DRAM manufacturing process.
FIG.

【0090】半導体ウエハを構成する半導体基板10
は、例えばp形のシリコン(Si)単結晶からなる。メ
モリセル領域Mにおける半導体基板10には、pウエル
11pが形成されている。また、周辺回路領域Aには、
pウエル11pおよびnウエル11nが互いに隣接する
ように形成されている。
Semiconductor substrate 10 constituting a semiconductor wafer
Is made of, for example, p-type silicon (Si) single crystal. A p well 11p is formed in the semiconductor substrate 10 in the memory cell region M. In the peripheral circuit area A,
The p well 11p and the n well 11n are formed so as to be adjacent to each other.

【0091】pウエル11pは、例えばp形不純物のホ
ウ素等が導入されてなり、pウエル11p内には、例え
ばp形のチャネルストッパ層12pが形成されている。
このチャネルストッパ層12pには、例えばp形不純物
のホウ素等が導入されている。
For example, a p-type impurity such as boron is introduced into the p-well 11p, and a p-type channel stopper layer 12p is formed in the p-well 11p.
For example, p-type impurity such as boron is introduced into the channel stopper layer 12p.

【0092】一方、nウエル11nは、例えばn形不純
物のリン等が導入されてなり、nウエル11nには、例
えばn形のチャネルストッパ層12nが形成されてい
る。このチャネルストッパ層12nには、例えばn形不
純物のリン等が導入されている。
On the other hand, for example, an n-type impurity such as phosphorus is introduced into the n-well 11n, and an n-type channel stopper layer 12n is formed in the n-well 11n. The channel stopper layer 12n is doped with, for example, n-type impurity such as phosphorus.

【0093】pウエル11p内のチャネルストッパ層1
2p上において、フィールド絶縁膜13に囲まれた素子
形成領域には、p形の半導体領域14pが形成されてい
る。この半導体領域14pには、例えばp形不純物のホ
ウ素等が導入されている。
Channel stopper layer 1 in p well 11p
A p-type semiconductor region 14p is formed in the element forming region surrounded by the field insulating film 13 on 2p. For example, p-type impurity such as boron is introduced into the semiconductor region 14p.

【0094】また、nウエル11n内のチャネルストッ
パ層12n上において、フィールド絶縁膜13に囲まれ
た素子形成領域には、n形の半導体領域14nが形成さ
れている。この半導体領域14nには、例えばn形不純
物のリン等が導入されている。なお、フィールド絶縁膜
13は、例えば二酸化シリコン(SiO2 )からなる。
On the channel stopper layer 12n in the n well 11n, an n-type semiconductor region 14n is formed in the element forming region surrounded by the field insulating film 13. For example, phosphorus, which is an n-type impurity, is introduced into the semiconductor region 14n. The field insulating film 13 is made of, for example, silicon dioxide (SiO 2 ).

【0095】メモリセル領域Mにおける半導体領域14
p上には、メモリセルMCを構成するnチャネル形のM
OS・FET(以下、単にnMOSという)15および
キャパシタ16が形成されている。
Semiconductor region 14 in memory cell region M
On p, an n-channel type M constituting the memory cell MC is formed.
An OS • FET (hereinafter simply referred to as nMOS) 15 and a capacitor 16 are formed.

【0096】nMOS15は、LDD (Lightly Doped
Drain)構造を有しており、半導体領域14p内に形成さ
れた一対の半導体領域15a,15aと、半導体基板1
0の上面に形成されたゲート絶縁膜15bと、ゲート絶
縁膜15b上に形成されたゲート電極15gとを有して
いる。
The nMOS 15 is an LDD (Lightly Doped).
Drain) structure, and a pair of semiconductor regions 15a, 15a formed in the semiconductor region 14p and the semiconductor substrate 1
It has a gate insulating film 15b formed on the upper surface of 0 and a gate electrode 15g formed on the gate insulating film 15b.

【0097】一対の半導体領域15a,15aは、nM
OS15のソース・ドレインを構成するための領域であ
る。個々の半導体領域15aは、ゲート電極15gの近
傍側に配置されたn- 形半導体領域15a1 と、その外
側に配置されたn+ 形半導体領域15a2 とからなり、
共に、例えばn形不純物のリン等が導入されて形成され
ている。
The pair of semiconductor regions 15a and 15a are made of nM
This is a region for forming the source / drain of the OS 15. Each of the semiconductor regions 15a is composed of an n -type semiconductor region 15a1 arranged near the gate electrode 15g and an n + -type semiconductor region 15a2 arranged outside thereof.
Both are formed, for example, by introducing n-type impurities such as phosphorus.

【0098】このnMOS15の一方の半導体領域15
a(図6の中央の半導体領域15a)は、その隣の他の
メモリセルMCのnMOS15の一方の半導体領域15
aでもある。すなわち、図6の中央の半導体領域15a
は、2つのメモリセルMCの共通の領域となっている。
One semiconductor region 15 of the nMOS 15
a (semiconductor region 15a in the center of FIG. 6) is one semiconductor region 15 of the nMOS 15 of the other memory cell MC adjacent to it.
It is also a. That is, the semiconductor region 15a at the center of FIG.
Is a common area of the two memory cells MC.

【0099】ゲート絶縁膜15bは、例えばSiO2
らなる。ゲート電極15gは、ワード線の一部であり、
例えばn形の低抵抗ポリシリコンからなる。なお、ゲー
ト電極15g上に形成された絶縁膜17およびゲート電
極15gの側面に形成された絶縁膜18は、例えばSi
2 からなる。この絶縁膜18は、LDD構造を形成す
るための絶縁膜である。
The gate insulating film 15b is made of SiO 2 , for example. The gate electrode 15g is a part of the word line,
For example, it is made of n-type low resistance polysilicon. The insulating film 17 formed on the gate electrode 15g and the insulating film 18 formed on the side surface of the gate electrode 15g are made of, for example, Si.
It consists of O 2 . The insulating film 18 is an insulating film for forming an LDD structure.

【0100】キャパシタ16は、例えばフィン形状のキ
ャパシタが採用されており、下部電極16aと、その周
囲の上部電極16bと、それらの間に形成されたキャパ
シタ用絶縁膜16cとから構成されている。
The capacitor 16 is, for example, a fin-shaped capacitor, and is composed of a lower electrode 16a, an upper electrode 16b around the lower electrode 16a, and a capacitor insulating film 16c formed therebetween.

【0101】下部電極16aは、例えばn形の低抵抗ポ
リシリコンからなり、例えば3枚のフィン部16a1 〜
16a3 を有している。この下部電極16aは、半導体
基板10上の絶縁膜19aに穿孔された接続孔20aを
通じてnMOS15の半導体領域15aと電気的に接続
されている。
The lower electrode 16a is made of, for example, n-type low resistance polysilicon and has, for example, three fin portions 16a1 to 16a1.
16a3. The lower electrode 16a is electrically connected to the semiconductor region 15a of the nMOS 15 through a connection hole 20a formed in the insulating film 19a on the semiconductor substrate 10.

【0102】上部電極16bは、例えばn形の低抵抗ポ
リシリコンからなり、給電用配線(図示せず)と電気的
に接続され所定の電位に設定されている。また、キャパ
シタ用絶縁膜16cは、例えば窒化シリコン(Si3
4 )あるいは、Si3 4 とSiO2 との積層膜からな
る。なお、絶縁膜19aは、例えばSiO2 からなる。
The upper electrode 16b is made of, for example, n-type low resistance polysilicon, is electrically connected to a power supply wiring (not shown), and is set to a predetermined potential. In addition, the capacitor insulating film 16c is formed of, for example, silicon nitride (Si 3 N
4 ) Alternatively, it is composed of a laminated film of Si 3 N 4 and SiO 2 . The insulating film 19a is made of SiO 2 , for example.

【0103】また、周辺回路領域Aにおける半導体基板
10には、例えばLDD構造を有するnMOS21およ
びpチャネル形のMOS・FET(以下、単にpMOS
という)22が形成されている。
The semiconductor substrate 10 in the peripheral circuit region A has, for example, an nMOS 21 having an LDD structure and a p-channel type MOS.FET (hereinafter simply referred to as pMOS).
22) is formed.

【0104】nMOS21は、半導体領域14p内に形
成された一対の半導体領域21a,21aと、半導体基
板10上に形成されたゲート絶縁膜21bと、ゲート絶
縁膜21b上に形成されたゲート電極21gとを有して
いる。
The nMOS 21 includes a pair of semiconductor regions 21a, 21a formed in the semiconductor region 14p, a gate insulating film 21b formed on the semiconductor substrate 10, and a gate electrode 21g formed on the gate insulating film 21b. have.

【0105】一対の半導体領域21aは、nMOS21
のソース・ドレイン領域を構成するための領域であり、
個々の半導体領域21aは、ゲート電極21gの近傍側
に配置されたn- 形半導体領域21a1 と、その外側に
配置されたn+ 形半導体領域21a2 とからなる。ただ
し、n- 形半導体領域21a1 には、例えばn形不純物
のリン等が導入され、n+ 形半導体領域21a2 には、
例えばn形不純物のヒ素(As)等が導入されている。
The pair of semiconductor regions 21a is composed of the nMOS 21
Region for configuring the source / drain region of
Each semiconductor region 21a is composed of an n − type semiconductor region 21a1 arranged near the gate electrode 21g and an n + type semiconductor region 21a2 arranged outside thereof. However, n - the type semiconductor region 21a1, for example, phosphorus is introduced into such an n-type impurity, the n + type semiconductor region 21a2,
For example, an n-type impurity such as arsenic (As) is introduced.

【0106】また、pMOS22は、半導体領域14n
内に形成された一対の半導体領域22a,22aと、半
導体基板10上に形成されたゲート絶縁膜22bと、ゲ
ート絶縁膜22b上に形成されたゲート電極22gとを
有している。
Further, the pMOS 22 has a semiconductor region 14n.
It has a pair of semiconductor regions 22a and 22a formed therein, a gate insulating film 22b formed on the semiconductor substrate 10, and a gate electrode 22g formed on the gate insulating film 22b.

【0107】一対の半導体領域22aは、pMOS14
のソース・ドレイン領域を構成するための領域であり、
個々の半導体領域22aは、ゲート電極22gの近傍側
に配置されたp- 形半導体領域22a1 と、その外側に
配置されたp+ 形半導体領域22a2 とからなり、共
に、例えばp形不純物のホウ素が導入されて形成されて
いる。
The pair of semiconductor regions 22a has a pMOS 14
Region for configuring the source / drain region of
Each of the semiconductor regions 22a is composed of a p -type semiconductor region 22a1 arranged near the gate electrode 22g and a p + -type semiconductor region 22a2 arranged outside the p -type semiconductor region 22a1. It is introduced and formed.

【0108】上記したnMOS21およびpMOS22
のゲート絶縁膜21b,22bは、例えばSiO2 から
なり、ゲート電極21g,22gは、例えばn形の低抵
抗ポリシリコンからなる。
The nMOS 21 and pMOS 22 described above
The gate insulating films 21b and 22b are made of, for example, SiO 2 , and the gate electrodes 21g and 22g are made of, for example, n-type low resistance polysilicon.

【0109】絶縁膜19a上には、例えばSiO2 から
なる絶縁膜19bが、キャパシタ16の上部電極16
b、nMOS21およびpMOS22を被覆するように
堆積されている。絶縁膜19b上には、例えばSiO2
からなる絶縁膜19cが堆積されている。さらに、絶縁
膜19c上には、例えばSiO2 からなる絶縁膜19d
が堆積されている。
An insulating film 19b made of, for example, SiO 2 is formed on the insulating film 19a, and the upper electrode 16 of the capacitor 16 is formed.
b, nMOS 21 and pMOS 22 are deposited to cover them. On the insulating film 19b, for example, SiO 2
An insulating film 19c made of is deposited. Further, on the insulating film 19c, an insulating film 19d made of, for example, SiO 2 is formed.
Have been deposited.

【0110】メモリセル領域Mにおける絶縁膜19d上
には、ビット線23BLが形成されている。ビット線2
3BLは、絶縁膜19a〜19dに穿孔された接続孔2
0b内のビット線接続部23BL1 を通じてnMOS1
5の半導体領域15aと電気的に接続されている。
The bit line 23BL is formed on the insulating film 19d in the memory cell region M. Bit line 2
3BL is a connection hole 2 formed in the insulating films 19a to 19d.
NMOS1 through the bit line connecting portion 23BL1 in 0b
5 is electrically connected to the semiconductor region 15a.

【0111】ビット線23BLは、例えばタングステン
からなる導体層とAl−Si−Cu合金からなる導体層
とタングステンからなる導体層とが下層から順に堆積さ
れて構成されている。また、ビット線接続部23BL1
は、例えばn形の低抵抗ポリシリコンによって構成され
ている。
The bit line 23BL is formed, for example, by depositing a conductor layer made of tungsten, a conductor layer made of an Al--Si--Cu alloy, and a conductor layer made of tungsten in this order from the lower layer. Also, the bit line connecting portion 23BL1
Is made of, for example, n-type low resistance polysilicon.

【0112】また、周辺回路領域Aにおける絶縁膜19
d上には、周辺回路を構成する第1層配線23L1 が形
成されている。
The insulating film 19 in the peripheral circuit region A is also used.
A first layer wiring 23L1 forming a peripheral circuit is formed on d.

【0113】第1層配線23L1 は、後述するように、
例えばタングステンからなる導体層とAl−Si−Cu
合金からなる導体層とタングステンからなる導体層とが
下層から順に堆積されてなり、絶縁膜19b〜19dに
穿孔された接続孔20cを通じてnMOS21の半導体
領域21aおよびpMOS22の半導体領域22aと電
気的に接続されている。
The first layer wiring 23L1 is, as described later,
For example, a conductor layer made of tungsten and Al-Si-Cu
A conductor layer made of an alloy and a conductor layer made of tungsten are sequentially deposited from the lower layer, and electrically connected to the semiconductor region 21a of the nMOS 21 and the semiconductor region 22a of the pMOS 22 through the connection hole 20c formed in the insulating films 19b to 19d. Has been done.

【0114】この第1層配線23L1 の配線幅は、例え
ば0.3μm〜0.5μmの範囲であり、例えば0.35μm
程度である。配線間隔は、例えば0.3μm〜0.5μmの
範囲であり、例えば0.35μm程度である。
The wiring width of the first layer wiring 23L1 is in the range of 0.3 μm to 0.5 μm, for example, 0.35 μm.
It is a degree. The wiring interval is, for example, in the range of 0.3 μm to 0.5 μm, and is, for example, about 0.35 μm.

【0115】また、絶縁膜19d上には、例えばSiO
2 からなる絶縁膜19eが形成されており、これによっ
てビット線23BLおよび第1層配線23L1 が被覆さ
れている。絶縁膜19e上には、接続孔形成用のフォト
レジストパターン24aがフォトリソグラフィ技術によ
って形成されている。
On the insulating film 19d, for example, SiO
An insulating film 19e made of 2 is formed, which covers the bit line 23BL and the first layer wiring 23L1. A photoresist pattern 24a for forming a connection hole is formed on the insulating film 19e by a photolithography technique.

【0116】このような半導体基板10を図1の半導体
製造装置1Aのロードロック部3aに収容した後、以下
のようなエッチング処理を半導体基板10に施すことに
より、絶縁膜19eに第1層配線23L1 の一部が露出
するような接続孔を形成する。
After the semiconductor substrate 10 as described above is housed in the load lock portion 3a of the semiconductor manufacturing apparatus 1A of FIG. 1, the semiconductor substrate 10 is subjected to the following etching treatment, so that the insulating film 19e has the first-layer wiring. A connection hole is formed so that a part of 23L1 is exposed.

【0117】この接続孔の形成工程を図5のフロー図に
沿って図8〜図11によって説明する。図8は図7の周
辺回路領域Aの要部拡大図である。第1層配線23L1
は、上記したように、例えば3つの導体膜23L1a〜2
3L1cが下層から順に積層されてなる。最下層および最
上層の導体膜23L1a,23L1cは、例えばタングステ
ンからなり、中間の導体膜23L1bは、例えばAl−S
i−Cu合金からなる。フォトレジストパターン24a
からは、接続孔形成領域のみが露出するようになってい
る。
A process of forming this connection hole will be described with reference to FIGS. 8 to 11 along the flow chart of FIG. FIG. 8 is an enlarged view of a main part of the peripheral circuit area A in FIG. First layer wiring 23L1
As described above, for example, the three conductor films 23L1a-2
3L1c is laminated in order from the lower layer. The lowermost and uppermost conductive films 23L1a and 23L1c are made of, for example, tungsten, and the intermediate conductive film 23L1b is made of, for example, Al—S.
It consists of an i-Cu alloy. Photoresist pattern 24a
From, only the connection hole forming region is exposed.

【0118】まず、ロードロック部3a内に収容された
半導体基板10をメインエッチング処理部5aに送り、
半導体基板10に対してエッチング処理を施す(図5の
工程100)。
First, the semiconductor substrate 10 housed in the load lock section 3a is sent to the main etching processing section 5a,
The semiconductor substrate 10 is etched (step 100 in FIG. 5).

【0119】このメインエッチング処理部5aにおいて
は、フォトレジストパターン24aをエッチングマスク
として、例えばプラズマ・ドライエッチング処理を半導
体基板10に施すことにより、フォトレジストパターン
24aから露出する絶縁膜19e部分をエッチング除去
する。この際の反応ガスとしては、例えばCF4 ガス、
CHF3 ガスまたはArガスが使用されている。
In the main etching processing portion 5a, the insulating film 19e portion exposed from the photoresist pattern 24a is removed by etching, for example, by performing plasma dry etching processing on the semiconductor substrate 10 using the photoresist pattern 24a as an etching mask. To do. As the reaction gas at this time, for example, CF 4 gas,
CHF 3 gas or Ar gas is used.

【0120】これにより、図9に示すように、絶縁膜1
9eに第1層配線23L1 の一部が露出するような接続
孔20dを穿孔する。接続孔20dの大きさは、例えば
0.4μm程度であり、その接続孔20dの内側面にはサ
イドフィルム25aが形成されている。サイドフィルム
25aには、炭素、Si、AlおよびCu等のような成
分が含まれている。
As a result, as shown in FIG.
A connection hole 20d is formed in 9e so that a part of the first layer wiring 23L1 is exposed. The size of the connection hole 20d is, for example,
The thickness is about 0.4 μm, and the side film 25a is formed on the inner surface of the connection hole 20d. The side film 25a contains components such as carbon, Si, Al and Cu.

【0121】続いて、このようなエッチング処理が終了
した半導体基板10を、メインエッチング処理部5aか
ら取り出し、メインアッシング処理部6aに送り、この
半導体基板10に対してアッシング処理を施す(工程1
01)。
Then, the semiconductor substrate 10 on which such etching processing has been completed is taken out from the main etching processing section 5a and sent to the main ashing processing section 6a, where it is subjected to ashing processing (step 1).
01).

【0122】このメインアッシング処理部6aにおいて
は、半導体基板10に対して、例えばプラズマ・アッシ
ング処理を施すことにより、不要となったフォトレジス
トパターン24aを除去する。この際のアッシングガス
としては、例えばO2 単ガス、O2 +H2 OまたはO2
にOH基を添加したガスが使用されている。このアッシ
ング処理後の半導体基板10の要部断面図を図10に示
す。この段階においては接続孔20d内のサイドフィル
ム25aが除去されずに残されている。
In the main ashing processing section 6a, the unnecessary photoresist pattern 24a is removed by subjecting the semiconductor substrate 10 to plasma ashing processing, for example. As the ashing gas at this time, for example, O 2 single gas, O 2 + H 2 O, or O 2
A gas to which an OH group is added is used. FIG. 10 shows a cross-sectional view of the main part of the semiconductor substrate 10 after this ashing process. At this stage, the side film 25a in the connection hole 20d is left without being removed.

【0123】その後、このようなアッシング処理の終了
した半導体基板10を、メインアッシング処理部6aか
ら取り出し、サブエッチング処理部7aに送り、この半
導体基板10に対してエッチング処理を施す(工程10
2)。
After that, the semiconductor substrate 10 that has undergone such ashing treatment is taken out from the main ashing treatment portion 6a and sent to the sub-etching treatment portion 7a, where it is subjected to etching treatment (step 10).
2).

【0124】このサブエッチング処理部7aにおいて
は、半導体基板10に対して、例えばプラズマ・ドライ
エッチング処理を施すことにより、接続孔20d内に残
されたサイドフィルム25aをエッチング除去する。こ
の処理後の半導体基板10の要部断面図を図11に示
す。
In the sub-etching portion 7a, the side film 25a left in the connection hole 20d is removed by etching, for example, by performing plasma dry etching treatment on the semiconductor substrate 10. FIG. 11 shows a cross-sectional view of the main part of the semiconductor substrate 10 after this treatment.

【0125】本実施例1においては、このエッチング処
理に際して、次の2つの処理条件を設定する。
In the first embodiment, the following two processing conditions are set for this etching process.

【0126】第1に、反応ガスは、例えばO2 /SF6
ガス、O2 /CHF3 ガスまたはO2 /CF系(例えば
CF4 )ガスを用いる。すなわち、本実施例1において
は、サイドフィルム25aを構成する成分にとってエッ
チレートの高いエッチングガスを用いることにより、サ
イドフィルム25aを化学的な作用によって良好に除去
することが可能となっている。
First, the reaction gas is, for example, O 2 / SF 6
Gas, O 2 / CHF 3 gas, or O 2 / CF-based (for example, CF 4 ) gas is used. That is, in Example 1, the side film 25a can be satisfactorily removed by a chemical action by using an etching gas having a high etching rate for the components forming the side film 25a.

【0127】第2に、この処理に際して半導体基板10
を載置する下部電極7a5 (図2参照)または陰極7a
10(図3参照)に高周波電圧を印加し、半導体基板10
にバイアス電圧を印加する。これにより、サイドフィル
ム25aをスパッタリング現象等のような物理的な作用
によって除去することが可能となっている。
Secondly, in this processing, the semiconductor substrate 10
Lower electrode 7a5 (see FIG. 2) or cathode 7a for mounting
A high frequency voltage is applied to the semiconductor substrate 10 (see FIG. 3).
A bias voltage is applied to. This allows the side film 25a to be removed by a physical action such as a sputtering phenomenon.

【0128】このように本実施例1においては、サイド
フィルム25aを化学的な作用と物理的な作用との双方
の作用によって除去することができるので、サイドフィ
ルム25aの除去性を向上させることが可能となってい
る。このため、接続孔20d内における配線接続の信頼
性を向上させることができるので、半導体集積回路装置
の歩留りおよび信頼性を向上させることが可能となって
いる。
As described above, in the first embodiment, since the side film 25a can be removed by both the chemical action and the physical action, the removability of the side film 25a can be improved. It is possible. Therefore, the reliability of the wiring connection in the connection hole 20d can be improved, and the yield and reliability of the semiconductor integrated circuit device can be improved.

【0129】ここで、本発明者が、例えばW/Al/W
積層配線加工時に検討した種々の条件におけるサイドフ
ィルム除去性の結果を図12に示す。
Here, the inventor of the present invention, for example, W / Al / W
FIG. 12 shows the results of side film removability under various conditions examined during the processing of laminated wiring.

【0130】条件1は、工程102のエッチング処理を
行わない場合である。この場合、サイドフィルム25a
が残る。
Condition 1 is a case where the etching process in step 102 is not performed. In this case, the side film 25a
Remains.

【0131】条件2は、反応ガスとして、例えばBCl
3 /Cl2 ガスを用いる場合である。この場合、サイド
フィルム25aが残る上、腐食数が大幅に増加してしま
う。この腐食数の増大は、配線側壁に残されたClに起
因する。
The condition 2 is that the reaction gas is, for example, BCl.
This is the case where 3 / Cl 2 gas is used. In this case, the side film 25a remains and the number of corrosions increases significantly. This increase in the number of corrosions is due to Cl left on the wiring sidewalls.

【0132】条件3は、反応ガスとして、例えばO2
スのみを用いた場合である。この場合もサイドフィルム
25aが残る。すなわち、O2 ガスのみのエッチング処
理では、サイドフィルム25aを除去できないことが判
る。
Condition 3 is a case where, for example, only O 2 gas is used as the reaction gas. Also in this case, the side film 25a remains. That is, it is understood that the side film 25a cannot be removed by the etching process using only O 2 gas.

【0133】条件4は、反応ガスとして、例えばO2
CHF3 ガスを用いた場合である。この場合、サイドフ
ィルム25aを除去することが可能である。ただし、こ
の場合は、炭素成分に起因するデポ物が生成される場合
が生じる。
Condition 4 is that the reaction gas is, for example, O 2 /
This is the case when CHF 3 gas is used. In this case, the side film 25a can be removed. However, in this case, a deposit may be generated due to the carbon component.

【0134】条件5は、反応ガスとして、例えばO2
SF6 ガスを用いた場合である。この場合、サイドフィ
ルム25aを除去できる上、デポ物の堆積もなかった。
The condition 5 is that the reaction gas is, for example, O 2 /
This is the case when SF 6 gas is used. In this case, the side film 25a could be removed, and the deposits were not deposited.

【0135】さらに、条件5の場合は、腐食数も大幅に
低減することができた。これは、次の2つの理由からと
考えられる。第1に、プラズマ中のフッ素成分が腐食を
誘発する配線側壁のCl成分と置換するからである。第
2に、酸素成分が配線表面を酸化し不動態化するからで
ある。
Furthermore, in the case of condition 5, the number of corrosions could be greatly reduced. This is considered to be due to the following two reasons. First, the fluorine component in the plasma replaces the Cl component on the side wall of the wiring that induces corrosion. Secondly, the oxygen component oxidizes the passivation surface to passivate it.

【0136】このようなエッチング処理について、本発
明者の検討結果によれば、次のような条件を設定するこ
とが望ましいことが判明した。
According to the results of the study conducted by the present inventor, it was found that it is desirable to set the following conditions for such etching treatment.

【0137】第1に、SF6 ガス、CHF3 ガスまたは
CF系ガス等の流量比は、例えば1〜25%程度とする
ことが望ましい。
First, it is desirable that the flow rate ratio of SF 6 gas, CHF 3 gas, CF-based gas or the like be, for example, about 1 to 25%.

【0138】これは、そのガス流量比が1%より小さい
と、O2 ガスのみのエッチング処理となり、図12に示
したように、サイドフィルムを除去できないし、そのガ
ス流量比が25%以上だと、第1層配線23L1 の導体
膜23L1cの削れ量が増大し、アンダカット等も生じて
しまうからである。
When the gas flow rate ratio is less than 1%, the etching process is performed only with O 2 gas, and as shown in FIG. 12, the side film cannot be removed, and the gas flow rate ratio is 25% or more. Then, the amount of abrasion of the conductor film 23L1c of the first-layer wiring 23L1 increases, and undercutting or the like also occurs.

【0139】第2に、半導体基板10に印加する基板バ
イアス電圧は、例えば100V〜300V程度が望まし
い。これは、基板バイアス電圧が100Vより低いとサ
イドフィルム25aに対するイオンアタックが不足しサ
イドフィルム25aを良好に除去することができないか
らであり、それが300Vより高いと絶縁膜19eや導
体膜23L1cをも除去してしまうからである。
Secondly, the substrate bias voltage applied to the semiconductor substrate 10 is preferably about 100V to 300V, for example. This is because if the substrate bias voltage is lower than 100V, the ion attack on the side film 25a is insufficient and the side film 25a cannot be removed satisfactorily. If it is higher than 300V, the insulating film 19e and the conductor film 23L1c are also removed. Because it will be removed.

【0140】第3に処理時の半導体基板10の温度を、
例えば0℃〜80℃程度の低温に設定することが望まし
い。これは、この温度はあまり高くすると、絶縁膜19
eの除去が進行したり、第1層配線23L1 の剥離や配
線中のCuやSi等の偏析が生じたりするので、それら
を防止するためである。
Thirdly, the temperature of the semiconductor substrate 10 during processing is
For example, it is desirable to set the temperature as low as 0 ° C to 80 ° C. This is because if the temperature is too high, the insulating film 19
This is because the removal of e may proceed, the first layer wiring 23L1 may be peeled off, and Cu or Si in the wiring may be segregated.

【0141】次いで、以上のようなサイドフィルムエッ
チング処理が終了した半導体基板10を、サブエッチン
グ処理部7aから取り出し、サブエッチング処理部8a
に送り、半導体基板10に対してウエットエッチング処
理を施す(工程103)。
Next, the semiconductor substrate 10 on which the above side film etching processing has been completed is taken out from the sub-etching processing section 7a, and then the sub-etching processing section 8a.
Then, the semiconductor substrate 10 is subjected to wet etching treatment (step 103).

【0142】このサブエッチング処理部8aにおいて
は、半導体基板10に対してウエットエッチング処理を
施すことにより、配線側壁内等に残されているサイドフ
ィルム25aをエッチング除去する。
In the sub-etching portion 8a, the side film 25a left in the wiring side wall and the like is removed by performing a wet etching treatment on the semiconductor substrate 10.

【0143】この際、本実施例1においては、上記した
サブエッチング処理部7aにおいてサイドフィルム25
aの除去処理を既に行っているので、サイドフィルム2
5aをウエットエッチング処理のみで除去する場合に比
べて、サイドフィルム25aを簡単に短時間で除去する
ことができる。
At this time, in the first embodiment, the side film 25 is formed in the sub-etching processing portion 7a.
Since the removal processing of a has already been performed, the side film 2
The side film 25a can be removed easily and in a short time as compared with the case where 5a is removed only by wet etching.

【0144】続いて、ウエットエッチング処理の終了し
た半導体基板10を、サブエッチング処理部8aから取
り出し、サブアッシング処理部9aに送り、半導体基板
10に対してアッシング処理を施す(工程104)。
Then, the semiconductor substrate 10 after the wet etching process is taken out from the sub-etching process section 8a and sent to the sub-ashing process section 9a, and the semiconductor substrate 10 is subjected to the ashing process (step 104).

【0145】このサブアッシング処理部9aにおいて
は、チャージアップ等に起因する汚染やダメージを防止
するために、例えば紫外線光とオゾン(O3 )ガスとを
用いてフォトレジストを酸化分解除去する光アッシング
処理を施す。
In the sub-ashing processing section 9a, in order to prevent contamination and damage due to charge-up and the like, optical ashing for oxidizing and removing the photoresist by using, for example, ultraviolet light and ozone (O 3 ) gas is carried out. Apply processing.

【0146】このような工程100〜工程104を経た
後、半導体基板10をサブアッシング処理部9aから取
り出してアンロード部4aに送り、接続孔20dの形成
処理を終了する。
After passing through the steps 100 to 104, the semiconductor substrate 10 is taken out from the sub-ashing processing section 9a and sent to the unloading section 4a, and the formation processing of the connection hole 20d is completed.

【0147】次いで、接続孔20dの形成された半導体
基板10上に、例えばタングステンからなる導体層、A
l−Si−Cu合金からなる導体層およびタングステン
からなる導体層を下層から順にスパッタリング法等によ
って堆積することにより積層導体膜を形成する。
Then, on the semiconductor substrate 10 in which the connection hole 20d is formed, a conductor layer made of, for example, tungsten, A
A laminated conductor film is formed by sequentially depositing a conductor layer made of an 1-Si-Cu alloy and a conductor layer made of tungsten by sputtering or the like from the lower layer.

【0148】続いて、その積層導体膜をフォトリソグラ
フィ技術によってパターニングすることにより、図13
および図14に示すように、絶縁膜19e上に第2層配
線23L2 を形成する。周辺回路領域Aにおける第2層
配線23L2 は、絶縁膜19eに穿孔された接続孔20
dを通じて第1層配線23L1 と電気的に接続されてい
る。
Subsequently, the laminated conductor film is patterned by a photolithography technique to form the structure shown in FIG.
And as shown in FIG. 14, the second layer wiring 23L2 is formed on the insulating film 19e. The second layer wiring 23L2 in the peripheral circuit region A has a connection hole 20 formed in the insulating film 19e.
It is electrically connected to the first layer wiring 23L1 through d.

【0149】この第2層配線23L2 の配線幅は、例え
ば0.3μm〜0.5μmの範囲であり、例えば0.35μm
程度である。配線間隔は、例えば0.3μm〜0.5μmの
範囲であり、例えば0.35μm程度である。
The wiring width of the second layer wiring 23L2 is, for example, in the range of 0.3 μm to 0.5 μm, for example, 0.35 μm.
It is a degree. The wiring interval is, for example, in the range of 0.3 μm to 0.5 μm, and is, for example, about 0.35 μm.

【0150】その後、絶縁膜19e上に、例えばSiO
2 からなる絶縁膜19fをCVD法等によって堆積する
ことにより第2層配線23L2 を被覆した後、絶縁膜1
9fに第2層配線23L2 の一部が露出するような接続
孔を上述と同様に穿孔する。
Then, for example, SiO 2 is formed on the insulating film 19e.
After covering the second layer wiring 23L2 by depositing an insulating film 19f made of 2 by the CVD method or the like, the insulating film 1
A connection hole is formed in 9f so that a part of the second layer wiring 23L2 is exposed in the same manner as described above.

【0151】次いで、図15および図16に示すよう
に、絶縁膜19f上に、例えばタングステンからなる導
体膜23L3a、Al−Si−Cu合金からなる導体膜2
3L3bおよびタングステンからなる導体膜23L3cを下
層から順にスパッタリング法等によって堆積することに
より積層導体膜23を形成する。なお、図15に示すよ
うに、絶縁膜19fには第2層配線23L2 の一部が露
出するような接続孔20eが形成されている。
Next, as shown in FIGS. 15 and 16, a conductor film 23L3a made of, for example, tungsten and a conductor film 2 made of an Al--Si--Cu alloy are formed on the insulating film 19f.
The laminated conductor film 23 is formed by depositing the conductor film 23L3c made of 3L3b and tungsten sequentially from the lower layer by a sputtering method or the like. As shown in FIG. 15, a connection hole 20e is formed in the insulating film 19f so that a part of the second layer wiring 23L2 is exposed.

【0152】続いて、積層導体膜23上に、配線形成用
のフォトレジストパターン24bをフォトリソグラフィ
技術によって形成した後、このフォトレジストパターン
24bをエッチングマスクとして、フォトレジストパタ
ーン24bから露出する積層導体膜23をエッチング除
去することにより、図17および図18に示すように、
第3層配線23L3 を形成する。
Subsequently, a photoresist pattern 24b for wiring formation is formed on the laminated conductor film 23 by a photolithography technique, and then the laminated conductor film exposed from the photoresist pattern 24b is used as an etching mask. By removing 23 by etching, as shown in FIG. 17 and FIG.
The third layer wiring 23L3 is formed.

【0153】ここで、この第3層配線23L3 の形成工
程を図5のフロー図に沿って図19から図22によって
説明する。
The process of forming the third layer wiring 23L3 will be described below with reference to FIGS. 19 to 22 along the flow chart of FIG.

【0154】図19は図15の要部拡大図である。図1
9に示すように、積層導体膜23は、上記したように、
例えば3つの導体膜23L3a〜23L3cによって構成さ
れている。積層導体膜23の上面には、配線形成用のフ
ォトレジストパターン24bが形成されている。
FIG. 19 is an enlarged view of a main part of FIG. FIG.
As shown in FIG. 9, the laminated conductor film 23, as described above,
For example, it is composed of three conductor films 23L3a to 23L3c. A photoresist pattern 24b for wiring formation is formed on the upper surface of the laminated conductor film 23.

【0155】まず、このようなフォトレジストパターン
24bが形成された半導体基板10を図4に示した半導
体製造装置1Bのロードロック部3a内に収容した後、
メインエッチング処理部5bに送り、半導体基板10に
対してエッチング処理を施す(図5の工程100)。
First, after the semiconductor substrate 10 having such a photoresist pattern 24b formed thereon is housed in the load lock portion 3a of the semiconductor manufacturing apparatus 1B shown in FIG.
The semiconductor substrate 10 is sent to the main etching processing section 5b and is subjected to etching processing (step 100 in FIG. 5).

【0156】このメインエッチング処理部5bにおいて
は、フォトレジストパターン24bをエッチングマスク
として、半導体基板10に対してプラズマ・ドライエッ
チング処理を施すことにより、フォトレジストパターン
24bから露出する積層導体膜23部分をエッチング除
去する。この際の反応ガスとしては、例えば次の通りで
ある。
In the main etching processing section 5b, the portion of the laminated conductor film 23 exposed from the photoresist pattern 24b is exposed by performing the plasma dry etching treatment on the semiconductor substrate 10 using the photoresist pattern 24b as an etching mask. Remove by etching. Examples of the reaction gas at this time are as follows.

【0157】すなわち、第3層目の導体膜23L3cをエ
ッチングする際に、導体膜23L3cがタングステンまた
はTiWの場合は、例えばSF6 +BCl3 ガスを用い
る。また、導体膜23L3cがTiNの場合は、例えばS
6 +BCl3 ガスまたはSF6 +BCl3 +Cl2
スを用いる。
That is, when the conductor film 23L3c of the third layer is etched and the conductor film 23L3c is tungsten or TiW, for example, SF 6 + BCl 3 gas is used. When the conductor film 23L3c is TiN, for example, S
F 6 + BCl 3 gas or SF 6 + BCl 3 + Cl 2 gas is used.

【0158】第2層目の導体膜23L3bをエッチングす
る際に、導体膜23L3bがAlまたはAl−Si−Cu
合金からなる場合は、例えばBCl3 +Cl2 ガスを用
いる。
When the second-layer conductor film 23L3b is etched, the conductor film 23L3b is Al or Al--Si--Cu.
When it is made of an alloy, for example, BCl 3 + Cl 2 gas is used.

【0159】さらに、第1層目の導体膜23L3aをエッ
チングする際に、導体膜23L3aがタングステンまたは
TiWの場合には、例えばSF6 +BCl3 ガスにN2
を添加したガスを用いる。また、導体膜23L3aがTi
Nの場合には、例えばBCl3 +Cl2 ガスを用いる。
Further, when the conductor film 23L3a of the first layer is tungsten or TiW when the conductor film 23L3a of the first layer is etched, for example, SF 6 + BCl 3 gas is added to N 2 gas.
Is used. In addition, the conductor film 23L3a is made of Ti.
In the case of N, for example, BCl 3 + Cl 2 gas is used.

【0160】このようなエッチング処理により、図20
に示すように、絶縁膜19f上に第3層配線23L3 を
形成する。この際、第3層配線23L3 の側面にはサイ
ドフィルム25bが形成されている。サイドフィルム2
5bには、炭素、Si、AlおよびCu等のような成分
が含まれている。
By such etching treatment, as shown in FIG.
As shown in, the third layer wiring 23L3 is formed on the insulating film 19f. At this time, a side film 25b is formed on the side surface of the third layer wiring 23L3. Side film 2
5b contains components such as carbon, Si, Al and Cu.

【0161】この第3層配線23L3 の配線幅は、例え
ば1.0μm〜0.25μmの範囲であり、例えば0.35μ
m程度である。配線間隔は、例えば1.0μm〜0.25μ
mの範囲であり、例えば0.35μm程度である。
The wiring width of the third layer wiring 23L3 is, for example, in the range of 1.0 μm to 0.25 μm, and is 0.35 μm, for example.
m. The wiring interval is, for example, 1.0 μm to 0.25 μm.
The range is m, for example, about 0.35 μm.

【0162】続いて、メインエッチング処理の終了した
半導体基板10をメインエッチング処理部5bから取り
出し、メインアッシング処理部6aに送り、半導体基板
10に対してアッシング処理を施す(工程101)。
Then, the semiconductor substrate 10 after the main etching treatment is taken out from the main etching treatment portion 5b and sent to the main ashing treatment portion 6a, and the semiconductor substrate 10 is subjected to the ashing treatment (step 101).

【0163】このメインアッシング処理部6aにおいて
は、半導体基板10に対して、プラズマ・アッシング処
理を施すことにより、不要となったフォトレジストパタ
ーン24bを除去する。この際のアッシングガスとして
は、例えばO2 +H2 OまたはO2 にOH基を含むガス
を添加したガスあるいはO2 +CF系ガスが使用されて
いる。このアッシング処理後の半導体基板10の要部断
面図を図21に示す。この段階においては、第3層配線
23L3 の側面にサイドフィルム25bが除去されずに
残されている。
In the main ashing processing portion 6a, the photoresist pattern 24b which has become unnecessary is removed by performing plasma ashing processing on the semiconductor substrate 10. The ashing gas at this time, for example, O 2 + H 2 O or O 2 in the gas or O 2 + CF-based gas with the addition of gas containing OH groups are used. FIG. 21 shows a cross-sectional view of the main part of the semiconductor substrate 10 after this ashing process. At this stage, the side film 25b is not removed but left on the side surface of the third layer wiring 23L 3 .

【0164】その後、メインアッシング処理の終了した
半導体基板10をメインアッシング処理部6aから取り
出した後、サブエッチング処理部7aに送り、半導体基
板10に対してエッチング処理を施す(工程102)。
After that, the semiconductor substrate 10 for which the main ashing process has been completed is taken out from the main ashing process section 6a, and then sent to the sub-etching process section 7a, where the semiconductor substrate 10 is subjected to etching processing (step 102).

【0165】このサブエッチング処理部7aにおいて
は、半導体基板10に対してプラズマ・ドライエッチン
グ処理を施すことにより、第3層配線23L3 の側面に
残されたサイドフィルム25bをエッチング除去する。
この処理後の半導体基板10の要部断面図を図22に示
す。
In the sub-etching portion 7a, the side film 25b left on the side surface of the third layer wiring 23L3 is removed by etching the semiconductor substrate 10 by plasma dry etching.
FIG. 22 shows a cross-sectional view of the main parts of the semiconductor substrate 10 after this treatment.

【0166】本実施例1においては、このエッチング処
理に際して、次の2つの処理条件を設定する。
In the first embodiment, the following two processing conditions are set for this etching process.

【0167】第1に、反応ガスは、例えばO2 /SF6
ガス、O2 /CHF3 ガスまたはO2 /CF(例えばC
4 )系ガスを用いる。すなわち、本実施例1において
は、サイドフィルム25bを構成する成分にとってエッ
チレートの高いエッチングガスを用いることにより、サ
イドフィルム25bを化学的な作用によって良好に除去
することが可能となっている。
First, the reaction gas is, for example, O 2 / SF 6
Gas, O 2 / CHF 3 gas or O 2 / CF (eg C
F 4 ) gas is used. That is, in Example 1, the side film 25b can be satisfactorily removed by a chemical action by using an etching gas having a high etching rate for the component forming the side film 25b.

【0168】第2に、この処理に際して半導体基板10
を載置する下部電極7a5 (図2参照)または陰極7a
10(図3参照)に高周波電圧を印加し、半導体基板10
にバイアス電圧を印加する。これにより、サイドフィル
ム25bをスパッタリング現象等のような物理的な作用
によって除去することが可能となっている。
Secondly, in this process, the semiconductor substrate 10
Lower electrode 7a5 (see FIG. 2) or cathode 7a for mounting
A high frequency voltage is applied to the semiconductor substrate 10 (see FIG. 3).
A bias voltage is applied to. This allows the side film 25b to be removed by a physical action such as a sputtering phenomenon.

【0169】このように本実施例1においては、サイド
フィルム25bを化学的な作用と物理的な作用との双方
の作用によって除去することができるので、サイドフィ
ルム25bの除去性を向上させることが可能となってい
る。このため、第3層配線23L3 の配線の信頼性を向
上させることができるので、半導体集積回路装置の歩留
りおよび信頼性を向上させることが可能となっている。
As described above, in the first embodiment, since the side film 25b can be removed by both the chemical action and the physical action, the removability of the side film 25b can be improved. It is possible. Therefore, the reliability of the third layer wiring 23L3 can be improved, and the yield and reliability of the semiconductor integrated circuit device can be improved.

【0170】この場合のサイドフィルム除去性の結果も
上述の図12と同じである。すなわち、工程102のエ
ッチング処理を行わない場合は、サイドフィルム25b
が残る。
The result of the side film removability in this case is the same as that in FIG. That is, when the etching process of step 102 is not performed, the side film 25b
Remains.

【0171】また、反応ガスとして、例えばBCl3
Cl2 ガスを用いる場合は、サイドフィルム25bが残
る上、腐食数が大幅に増加してしまう。ここで、サイド
フィルム25bを除去するためにCl2 系のガスを用い
たプラズマ・ドライエッチング処理を施した場合におけ
る半導体ウエハ周辺の配線パターンのSEM(Scanning
Electron Microscope)写真を図23〜図25に示す。
この場合、上層のプラズマSiN/CVDタングステン
が削れ、さらに、Al配線の肩削れが生じていることが
観測された。
As the reaction gas, for example, BCl 3 /
When Cl 2 gas is used, the side film 25b remains and the number of corrosions increases significantly. Here, the SEM (Scanning) of the wiring pattern around the semiconductor wafer when plasma dry etching treatment using a Cl 2 -based gas is performed to remove the side film 25b
Electron Microscope) Photographs are shown in FIGS.
In this case, it was observed that the plasma SiN / CVD tungsten in the upper layer was scraped off, and the shoulder of the Al wiring was scraped off.

【0172】また、反応ガスとして、例えばO2 ガスの
みを用いた場合ではサイドフィルム25bを除去できな
い。
The side film 25b cannot be removed when O 2 gas alone is used as the reaction gas.

【0173】また、反応ガスとして、例えばO2 /CH
3 ガスを用いた場合は、サイドフィルム25bを除去
することが可能である。ただし、この場合は、炭素成分
に起因するデポ物が生成される場合が生じる。
As the reaction gas, for example, O 2 / CH
When F 3 gas is used, the side film 25b can be removed. However, in this case, a deposit may be generated due to the carbon component.

【0174】さらに、反応ガスとして、例えばO2 /S
6 ガスを用いた場合は、サイドフィルム25bを除去
できる上、デポ物の堆積もなかった。さらに、この場合
は、腐食数も大幅に低減することができた。これは、次
の2つの理由からと考えられる。第1に、プラズマ中の
フッ素成分が腐食を誘発する第3層配線23L3 の表面
のCl成分と置換するからである。第2に、酸素成分が
配線表面を酸化し不動態化するからである。図22の2
6はこの酸化膜を示している。
Further, as a reaction gas, for example, O 2 / S is used.
When F 6 gas was used, the side film 25b could be removed, and there was no deposition of deposits. Furthermore, in this case, the number of corrosions could be significantly reduced. This is considered to be due to the following two reasons. First, the fluorine component in the plasma replaces the Cl component on the surface of the third layer wiring 23L3 that induces corrosion. Secondly, the oxygen component oxidizes the passivation surface to passivate it. 22 of FIG.
Reference numeral 6 indicates this oxide film.

【0175】ここで、O2 /SF6 ガスを用いたプラズ
マ・ドライエッチング処理を施した場合における半導体
ウエハ周辺の配線パターンのSEM写真を図26〜図2
8に示す。この場合、上層のプラズマSiN/CVDタ
ングステン削れは多少生じたが、Al配線の削れは生じ
ていないことが観測された。
26 to 2 are SEM photographs of the wiring pattern around the semiconductor wafer when the plasma dry etching process using O 2 / SF 6 gas is performed.
8 shows. In this case, it was observed that the upper layer plasma SiN / CVD tungsten was slightly scraped, but the Al wiring was not scraped.

【0176】さらに、このようなエッチング処理を行わ
なかった場合のSEM写真を図29に示す。図29で
は、サイドフィルム除去のためのウェットエッチング処
理中等において剥離したサイドフィルム25bが配線上
に再付着していることが観測できた。サイドフィルム2
5b内には、Al等のような導電性の成分も含まれるの
で、配線ショート不良の危険性がある。
Further, FIG. 29 shows an SEM photograph when such etching treatment is not performed. In FIG. 29, it was possible to observe that the side film 25b peeled off during the wet etching treatment for removing the side film or the like was redeposited on the wiring. Side film 2
Since 5b also contains a conductive component such as Al, there is a risk of a short circuit in the wiring.

【0177】一方、このようなエッチング処理を行った
場合のSEM写真を図30に示す。図30には、サイド
フィルムが完全に除去されていることが観測できた。し
かも、この場合は、24時間大気中に放置していても腐
食の増加がないことが観測された。
On the other hand, FIG. 30 shows an SEM photograph when such an etching process is performed. In FIG. 30, it could be observed that the side film was completely removed. Moreover, in this case, it was observed that the corrosion did not increase even if it was left in the atmosphere for 24 hours.

【0178】このようなエッチング処理について、本発
明者の検討結果によれば、次のような条件を設定するこ
とが望ましいことが判明した。
With respect to such an etching process, according to the result of examination by the present inventor, it was found that it is desirable to set the following conditions.

【0179】第1に、SF6 ガス、CHF3 ガスまたは
CF系ガス等の流量比は、例えば1〜25%程度とする
ことが望ましい。これは、そのガス流量比が1%より小
さいと、O2 ガスのみのエッチング処理となり、サイド
フィルムを除去できないし、そのガス流量比が25%以
上だと、第3層配線23L3 の導体膜23L3cの削れ量
が増大し、アンダカット等が生じてしまうからである。
First, it is desirable that the flow rate ratio of SF 6 gas, CHF 3 gas, CF type gas or the like be, for example, about 1 to 25%. This is because if the gas flow rate ratio is less than 1%, only the O 2 gas is used for etching and the side film cannot be removed. If the gas flow rate ratio is 25% or more, the conductor film 23L3c of the third layer wiring 23L3 is formed. This is because the amount of shavings increases and undercuts and the like occur.

【0180】第2に、半導体基板10に印加する基板バ
イアス電圧は、例えば100V〜300V程度が望まし
い。これは、基板バイアス電圧が100Vより低いとサ
イドフィルム25bに対するイオンアタックが不足しサ
イドフィルム25bを良好に除去することができないか
らであり、それが300Vより高いと絶縁膜19fや導
体膜23L3cをも除去してしまうからである。
Secondly, the substrate bias voltage applied to the semiconductor substrate 10 is preferably about 100V to 300V, for example. This is because if the substrate bias voltage is lower than 100V, the ion attack on the side film 25b is insufficient and the side film 25b cannot be removed satisfactorily. If it is higher than 300V, the insulating film 19f and the conductor film 23L3c are also removed. Because it will be removed.

【0181】第3に処理時の半導体基板10の温度を、
例えば0℃〜80℃程度の低温に設定することが望まし
い。これは、この温度をあまり高くすると、絶縁膜19
fの除去が進行したり、第3層配線23L3 の剥離や配
線中のCuやSi等の偏析が生じたりするので、それら
を防止するためである。
Thirdly, the temperature of the semiconductor substrate 10 during processing is
For example, it is desirable to set the temperature as low as 0 ° C to 80 ° C. This is because if the temperature is too high, the insulating film 19
This is to prevent the removal of f, the peeling of the third layer wiring 23L3, and the segregation of Cu or Si in the wiring.

【0182】次いで、このようなエッチング処理の終了
した半導体基板10をサブエッチング処理部7aから取
り出し、サブエッチング処理部8aに送り、半導体基板
10に対してエッチング処理を施す(工程103)。
Next, the semiconductor substrate 10 after such etching treatment is taken out from the sub-etching treatment portion 7a and sent to the sub-etching treatment portion 8a, and the semiconductor substrate 10 is subjected to etching treatment (step 103).

【0183】このサブエッチング処理部8aにおいて
は、半導体基板10に対してウエットエッチング処理を
施すことにより、半導体基板10上に残されているサイ
ドフィルムをエッチング除去する。
In the sub-etching processing portion 8a, the side film remaining on the semiconductor substrate 10 is removed by etching by performing the wet etching processing on the semiconductor substrate 10.

【0184】この際、本実施例1においては、上記した
サブエッチング処理部7aにおいてサイドフィルム25
bの除去処理を既に行っているので、サイドフィルム2
5bをウエットエッチング処理のみで除去する場合に比
べて、サイドフィルム25aを簡単に短時間で除去する
ことができる。
At this time, in the first embodiment, the side film 25 is formed in the sub-etching processing portion 7a described above.
Since the removal process of b has already been performed, the side film 2
The side film 25a can be removed easily and in a short time, as compared with the case where 5b is removed only by wet etching.

【0185】続いて、このようなエッチング処理の終了
した半導体基板10をサブエッチング処理部8aから取
り出し、サブアッシング処理部9aに送り、半導体基板
10に対してアッシング処理を施す(工程104)。
Subsequently, the semiconductor substrate 10 after such etching processing is taken out from the sub-etching processing portion 8a and sent to the sub-ashing processing portion 9a, and the semiconductor substrate 10 is subjected to ashing processing (step 104).

【0186】このサブアッシング処理部9aにおいて
は、チャージアップ等に起因する汚染やダメージを防止
するために、例えば紫外線光とO3 ガスとを用いてフォ
トレジストを酸化分解除去する光アッシング処理を施
す。
In the sub ashing processing section 9a, in order to prevent contamination and damage due to charge-up, for example, an optical ashing processing is performed to oxidatively decompose and remove the photoresist by using, for example, ultraviolet light and O 3 gas. .

【0187】以上のような工程100〜工程104を経
た後、半導体基板10を、サブアッシング処理部9aか
ら取り出してアンロード部4aに送り、第3層配線23
L3の形成処理を終了する。
After passing through the above steps 100 to 104, the semiconductor substrate 10 is taken out from the sub ashing processing section 9a and sent to the unloading section 4a, and the third layer wiring 23
The L3 forming process is ended.

【0188】最後に、図31および図32に示すよう
に、絶縁膜19f上に、例えばSiO2 からなる表面保
護膜27をCVD法等によって堆積することにより、第
3層配線23L3 上を被覆する。
Finally, as shown in FIGS. 31 and 32, a surface protective film 27 made of, for example, SiO 2 is deposited on the insulating film 19f by the CVD method or the like to cover the third layer wiring 23L3. .

【0189】このように、本実施例1によれば、以下の
効果を得ることが可能となる。
As described above, according to the first embodiment, the following effects can be obtained.

【0190】(1).接続孔や配線をパターニングするため
のドライエッチング処理の後、例えばO2 /SF6
ス、O2 /CHF3 ガスまたはO2 /CF(例えばCF
4 )系ガスを用い、かつ、半導体基板10に基板バイア
ス電圧を印加した状態で半導体基板10に対してプラズ
マ・ドライエッチング処理を施すことにより、接続孔や
配線のパターニングのためのドライエッチング処理に際
して接続孔の内側面や配線の側面に形成されたサイドフ
ィルム25a,25bの除去性を大幅に向上させること
が可能となる。
(1). After the dry etching treatment for patterning the connection hole and the wiring, for example, O 2 / SF 6 gas, O 2 / CHF 3 gas or O 2 / CF (for example CF).
4 ) In dry etching treatment for patterning connection holes and wirings by performing plasma dry etching treatment on the semiconductor substrate 10 using a system gas and applying a substrate bias voltage to the semiconductor substrate 10. The removability of the side films 25a and 25b formed on the inner surface of the connection hole and the side surface of the wiring can be significantly improved.

【0191】(2).上記(1) により、接続孔内における配
線接続の信頼性を向上させることができるので、半導体
集積回路装置の歩留りおよび信頼性を向上させることが
可能となる。
(2) Since the above (1) can improve the reliability of the wiring connection in the connection hole, the yield and reliability of the semiconductor integrated circuit device can be improved.

【0192】(3).上記(1) により、剥離したサイドフィ
ルム25bに起因する配線ショート不良を低減すること
ができるので、配線の信頼性を向上させることができ、
半導体集積回路装置の歩留りおよび信頼性を向上させる
ことが可能となる。
(3). Due to the above (1), it is possible to reduce wiring short-circuit defects due to the peeled side film 25b, so that it is possible to improve the reliability of wiring.
It is possible to improve the yield and reliability of the semiconductor integrated circuit device.

【0193】(4).上記(1) により、接続孔や配線をパタ
ーニングするためのドライエッチング処理の後のウエッ
トエッチング処理時間を大幅に短縮することが可能とな
る。したがって、半導体集積回路装置のスループットを
向上させることが可能となる。
(4) Due to the above (1), the wet etching time after the dry etching processing for patterning the connection hole and the wiring can be significantly shortened. Therefore, the throughput of the semiconductor integrated circuit device can be improved.

【0194】(5).接続孔や配線をパターニングするため
のドライエッチング処理の後、例えばO2 /SF6 、O
2 /CHF3 ガスまたはO2 /CF(例えばCF4 )系
ガスを用い、かつ、半導体基板10に基板バイアス電圧
を印加した状態で半導体基板10に対してプラズマ・ド
ライエッチング処理を施すことにより、プラズマ中のフ
ッ素成分が腐食を誘発する配線の表面のCl成分と置換
するとともに、酸素成分が配線表面を酸化し不動態化す
るので、配線腐食を大幅に低減することが可能となる。
したがって、半導体集積回路装置の歩留りおよび信頼性
を向上させることが可能となる。
(5). After the dry etching treatment for patterning the connection hole and the wiring, for example, O 2 / SF 6 , O
By using 2 / CHF 3 gas or O 2 / CF (for example, CF 4 ) based gas, and subjecting the semiconductor substrate 10 to a plasma dry etching treatment with a substrate bias voltage applied, Since the fluorine component in the plasma replaces the Cl component on the surface of the wiring that causes corrosion and the oxygen component oxidizes the surface of the wiring to passivate it, it is possible to significantly reduce the wiring corrosion.
Therefore, the yield and reliability of the semiconductor integrated circuit device can be improved.

【0195】(6).上記(5) により、ウエットエッチング
処理までの半導体基板10の放置制限時間を延長するこ
とができる。さらに、メインエッチング処理、メインア
ッシング処理およびO2 /SF6 等を用いたサブエッチ
ング処理を連続真空下で行うことにより、半導体基板1
0の防食性をさらに向上させることができるので、その
後の半導体基板10の放置制限時間をさらに延長でき
る。このため、半導体基板10の管理を簡単にすること
ができる等、半導体製造ラインにおける作業者の作業性
を向上させることが可能となる。
(6). By the above (5), the time limit for leaving the semiconductor substrate 10 until the wet etching process can be extended. Further, by performing main etching treatment, main ashing treatment, and sub-etching treatment using O 2 / SF 6, etc. under continuous vacuum, the semiconductor substrate 1
Since the anticorrosion property of 0 can be further improved, the time limit for leaving the semiconductor substrate 10 thereafter can be further extended. For this reason, it is possible to improve the workability of the worker in the semiconductor manufacturing line, such as simplifying the management of the semiconductor substrate 10.

【0196】(7).メインエッチング処理、メインアッシ
ング処理、サブエッチング処理およびサブアッシング処
理をそれぞれ別々の処理部で行える構造としたことによ
り、エッチング処理時に生じる反応生成物等のような異
物の発生を低減でき、安定した処理を実現することが可
能となる。
(7) The main etching process, the main ashing process, the sub-etching process, and the sub-ashing process can be performed in different processing parts, respectively, so that the generation of foreign matters such as reaction products generated during the etching process. Can be reduced, and stable processing can be realized.

【0197】(実施例2)図33は本発明の他の実施例
である半導体集積回路装置の製造工程を示すフロー図、
図34は本実施例で用いる半導体製造装置の説明図、図
35〜図38は本実施例の半導体集積回路装置の製造工
程中における要部断面図である。
(Embodiment 2) FIG. 33 is a flow chart showing a manufacturing process of a semiconductor integrated circuit device which is another embodiment of the present invention.
FIG. 34 is an explanatory view of a semiconductor manufacturing apparatus used in this embodiment, and FIGS. 35 to 38 are cross-sectional views of essential parts in the manufacturing process of the semiconductor integrated circuit device of this embodiment.

【0198】本実施例2においては、前記実施例1の図
5のメインアッシング処理(工程101)とサブエッチ
ング処理(工程102)とが、図33に示すように逆に
なっている。
In the second embodiment, the main ashing process (step 101) and the sub-etching process (step 102) shown in FIG. 5 of the first embodiment are reversed as shown in FIG.

【0199】この場合も前記実施例1で用いた半導体製
造装置1Aおよび1B(図1および図4参照)を用いて
も良いが、本実施例2においては、例えば図34に示す
ような半導体製造装置1Cを用いる。
In this case as well, the semiconductor manufacturing apparatuses 1A and 1B used in the first embodiment (see FIGS. 1 and 4) may be used, but in the second embodiment, for example, the semiconductor manufacturing as shown in FIG. The device 1C is used.

【0200】すなわち、この半導体製造装置1Cにおい
ては、1つのサブエッチング処理部7bにおいて、サイ
ドフィルムを除去するためのサブエッチング処理と、フ
ォトレジストを除去するためのメインアッシング処理と
が行える構造になっている。
That is, in this semiconductor manufacturing apparatus 1C, one sub-etching processing section 7b has a structure capable of performing sub-etching processing for removing the side film and main ashing processing for removing the photoresist. ing.

【0201】これは、アッシング装置において、半導体
基板を載置する電極に基板バイアス電圧を印加すること
が可能な構造とするとともに、サイドフィルムエッチン
グ処理に際して用いる反応ガスを処理室内に供給可能な
構造とすることによって構成されている。
This has a structure in which a substrate bias voltage can be applied to an electrode on which a semiconductor substrate is mounted in an ashing apparatus, and a reaction gas used in side film etching processing can be supplied into the processing chamber. It is configured by

【0202】以下、本実施例2の半導体集積回路装置の
製造方法を、配線形成方法を例として、図33のフロー
図に沿って図34〜図38によって説明する。
The manufacturing method of the semiconductor integrated circuit device according to the second embodiment will be described below with reference to FIGS. 34 to 38 along the flow chart of FIG. 33 by taking the wiring forming method as an example.

【0203】図35は図15の要部拡大図である。図3
5に示すように、積層導体膜23は、上記したように、
例えば3つの導体膜23L3a〜23L3cによって構成さ
れている。積層導体膜23の上面には、配線形成用のフ
ォトレジストパターン24bが形成されている。
FIG. 35 is an enlarged view of a main part of FIG. FIG.
As shown in FIG. 5, the laminated conductor film 23, as described above,
For example, it is composed of three conductor films 23L3a to 23L3c. A photoresist pattern 24b for wiring formation is formed on the upper surface of the laminated conductor film 23.

【0204】まず、このようなフォトレジストパターン
24bが形成された半導体基板10を図34に示した半
導体製造装置1Cのロードロック部3a内に収容した
後、メインエッチング処理部5bに送り、半導体基板1
0に対してエッチング処理を施す(図の工程200)。
First, the semiconductor substrate 10 on which such a photoresist pattern 24b is formed is housed in the load lock portion 3a of the semiconductor manufacturing apparatus 1C shown in FIG. 34, and then sent to the main etching processing portion 5b to be transferred to the semiconductor substrate. 1
0 is subjected to an etching process (step 200 in the figure).

【0205】このメインエッチング処理部5bにおいて
は、フォトレジストパターン24bをエッチングマスク
として、半導体基板10に対してプラズマ・ドライエッ
チング処理を施すことにより、フォトレジストパターン
24bから露出する積層導体膜23部分をエッチング除
去する。この際の反応ガスは、例えば次の通りである。
In the main etching processing portion 5b, the semiconductor substrate 10 is subjected to plasma dry etching processing using the photoresist pattern 24b as an etching mask to remove the portion of the laminated conductor film 23 exposed from the photoresist pattern 24b. Remove by etching. The reaction gas at this time is, for example, as follows.

【0206】すなわち、第3層目の導体膜23L3cをエ
ッチングする際に、導体膜23L3cがタングステンまた
はTiWの場合は、例えばSF6 +BCl3 ガスを用い
る。また、導体膜23L3cがTiNの場合は、例えばS
6 +BCl3 ガスまたはSF6 +BCl3 +Cl2
スを用いる。
That is, when the third conductive film 23L3c is etched and the conductive film 23L3c is tungsten or TiW, for example, SF 6 + BCl 3 gas is used. When the conductor film 23L3c is TiN, for example, S
F 6 + BCl 3 gas or SF 6 + BCl 3 + Cl 2 gas is used.

【0207】第2層目の導体膜23L3bをエッチングす
る際に、導体膜23L3bがAlまたはAl−Si−Cu
合金からなる場合は、例えばBCl3 +Cl2 ガスを用
いる。
When the second-layer conductor film 23L3b is etched, the conductor film 23L3b is Al or Al-Si-Cu.
When it is made of an alloy, for example, BCl 3 + Cl 2 gas is used.

【0208】さらに、第1層目の導体膜23L3aをエッ
チングする際に、導体膜23L3aがタングステンまたは
TiWの場合には、例えばSF6 +BCl3 ガスにN2
を添加したガスを用いる。また、導体膜23L3aがTi
Nの場合には、例えばBCl3 +Cl2 ガスを用いる。
Further, when the conductor film 23L3a of the first layer is tungsten or TiW when the conductor film 23L3a of the first layer is etched, for example, SF 6 + BCl 3 gas is added with N 2 gas.
Is used. In addition, the conductor film 23L3a is made of Ti.
In the case of N, for example, BCl 3 + Cl 2 gas is used.

【0209】このようなエッチング処理により、図36
に示すように、絶縁膜19f上に第3層配線23L3 を
形成する。この際、第3層配線23L3 の側面にはサイ
ドフィルム25bが形成されている。サイドフィルム2
5bには、炭素、Si、AlおよびCu等のような成分
が含まれている。
As a result of such etching treatment, FIG.
As shown in, the third layer wiring 23L3 is formed on the insulating film 19f. At this time, a side film 25b is formed on the side surface of the third layer wiring 23L3. Side film 2
5b contains components such as carbon, Si, Al and Cu.

【0210】この第3層配線23L3 の配線幅は、例え
ば1.0μm〜0.25μmの範囲であり、例えば0.35μ
m程度である。配線間隔は、例えば1.0μm〜0.25μ
mの範囲であり、例えば0.35μm程度である。
The wiring width of the third layer wiring 23L3 is, for example, in the range of 1.0 μm to 0.25 μm, and is 0.35 μm, for example.
m. The wiring interval is, for example, 1.0 μm to 0.25 μm.
The range is m, for example, about 0.35 μm.

【0211】続いて、メインエッチング処理の終了した
半導体基板10をメインエッチング処理部5bから取り
出した後、サブエッチング処理部7bに送り、半導体基
板10に対してエッチング処理を施す(工程201)。
Subsequently, the semiconductor substrate 10 after the main etching treatment is taken out from the main etching treatment portion 5b and then sent to the sub-etching treatment portion 7b to perform the etching treatment on the semiconductor substrate 10 (step 201).

【0212】このサブエッチング処理部7bにおいて
は、半導体基板10に対してプラズマ・ドライエッチン
グ処理を施すことにより、第3層配線23L3 の側面に
残されたサイドフィルム25bをエッチング除去する。
この処理後の半導体基板10の要部断面図を図37に示
す。
In the sub-etching portion 7b, the side film 25b left on the side surface of the third-layer wiring 23L3 is removed by etching the semiconductor substrate 10 by plasma dry etching.
FIG. 37 shows a cross-sectional view of the main parts of the semiconductor substrate 10 after this treatment.

【0213】本実施例2においては、このエッチング処
理に際して、次の2つの処理条件を設定する。
In the second embodiment, the following two processing conditions are set for this etching process.

【0214】第1に、反応ガスは、例えばO2 /SF6
ガス、O2 /CHF3 ガスまたはO2 /CF(例えばC
4 )系ガスを用いる。すなわち、本実施例2において
は、サイドフィルム25bを構成する成分にとってエッ
チレートの高いエッチングガスを用いることにより、サ
イドフィルム25bを化学的な作用によって良好に除去
することが可能となっている。
First, the reaction gas is, for example, O 2 / SF 6
Gas, O 2 / CHF 3 gas or O 2 / CF (eg C
F 4 ) gas is used. That is, in the second embodiment, the side film 25b can be satisfactorily removed by the chemical action by using the etching gas having a high etching rate for the component forming the side film 25b.

【0215】第2に、この処理に際して半導体基板10
を載置する下部電極7a5 (図2参照)または陰極7a
10(図3参照)に高周波電圧を印加し、半導体基板10
にバイアス電圧を印加する。これにより、サイドフィル
ム25bをスパッタリング現象等のような物理的な作用
によって除去することが可能となっている。
Secondly, in this process, the semiconductor substrate 10
Lower electrode 7a5 (see FIG. 2) or cathode 7a for mounting
A high frequency voltage is applied to the semiconductor substrate 10 (see FIG. 3).
A bias voltage is applied to. This allows the side film 25b to be removed by a physical action such as a sputtering phenomenon.

【0216】このように本実施例2においても、サイド
フィルム25bを化学的な作用と物理的な作用との双方
の作用によって除去することができるので、サイドフィ
ルム25aの除去性を向上させることが可能となってい
る。このため、第3層配線23L3 の配線の信頼性を向
上させることができるので、半導体集積回路装置の歩留
りおよび信頼性を向上させることが可能となっている。
As described above, also in the second embodiment, since the side film 25b can be removed by both the chemical action and the physical action, the removability of the side film 25a can be improved. It is possible. Therefore, the reliability of the third layer wiring 23L3 can be improved, and the yield and reliability of the semiconductor integrated circuit device can be improved.

【0217】この場合のサイドフィルム除去性の結果も
上述の図12と同じである。すなわち、反応ガスとし
て、例えばO2 /CHF3 ガスを用いた場合は、サイド
フィルム25bを除去することが可能である。ただし、
この場合は、炭素成分に起因するデポ物が生成される場
合が生じる。
The result of the side film removability in this case is the same as that in FIG. That is, when O 2 / CHF 3 gas, for example, is used as the reaction gas, the side film 25b can be removed. However,
In this case, a deposit may be produced due to the carbon component.

【0218】また、反応ガスとして、例えばO2 /SF
6 ガスを用いた場合は、サイドフィルム25bを除去で
きる上、デポ物の堆積もなかった。また、SF6 の場合
は、炭素を含まないので、下地の絶縁膜19fの削れ量
も低減することができた。さらに、この場合は、腐食数
も大幅に低減することができた。これは、次の2つの理
由からと考えられる。第1に、プラズマ中のフッ素成分
が腐食を誘発する第3層配線23L3 の表面のCl成分
と置換するからである。第2に、酸素成分が配線表面を
酸化し不動態化するからである。図37の26はこの酸
化膜を示している。
Further, as the reaction gas, for example, O 2 / SF is used.
When 6 gas was used, the side film 25b could be removed, and no deposits were deposited. Further, in the case of SF 6 , since carbon is not contained, the amount of abrasion of the underlying insulating film 19f could be reduced. Furthermore, in this case, the number of corrosions could be significantly reduced. This is considered to be due to the following two reasons. First, the fluorine component in the plasma replaces the Cl component on the surface of the third layer wiring 23L3 that induces corrosion. Secondly, the oxygen component oxidizes the passivation surface to passivate it. Reference numeral 26 in FIG. 37 shows this oxide film.

【0219】このようなエッチング処理についての本発
明者の検討結果によれば、次のような条件を設定するこ
とが望ましいことが判明した。
According to the results of the study conducted by the present inventor on such an etching process, it was found that it is desirable to set the following conditions.

【0220】第1に、SF6 ガス、CHF3 ガスまたは
CF系ガス等の流量比は、例えば1〜25%程度とする
ことが望ましい。これは、そのガス流量比が1%より小
さいと、O2 ガスのみのエッチング処理となり、サイド
フィルムを除去できないし、そのガス流量比が25%以
上だと、第3層配線23L3 の導体膜23L3cの削れ量
が増大し、アンダカット等が生じてしまうからである。
First, it is desirable that the flow rate ratio of SF 6 gas, CHF 3 gas, CF gas or the like be, for example, about 1 to 25%. This is because if the gas flow rate ratio is less than 1%, only the O 2 gas is used for etching and the side film cannot be removed. If the gas flow rate ratio is 25% or more, the conductor film 23L3c of the third layer wiring 23L3 is formed. This is because the amount of shavings increases and undercuts and the like occur.

【0221】第2に、半導体基板10に印加する基板バ
イアス電圧は、例えば100V〜300V程度が望まし
い。これは、基板バイアス電圧が100Vより低いとサ
イドフィルム25bに対するイオンアタックが不足しサ
イドフィルム25bを良好に除去することができないか
らであり、それが300Vより高いと絶縁膜19fや導
体膜23L3cをも除去してしまうからである。
Secondly, the substrate bias voltage applied to the semiconductor substrate 10 is preferably about 100V to 300V, for example. This is because if the substrate bias voltage is lower than 100V, the ion attack on the side film 25b is insufficient and the side film 25b cannot be removed satisfactorily. If it is higher than 300V, the insulating film 19f and the conductor film 23L3c are also removed. Because it will be removed.

【0222】第3に処理時の半導体基板10の温度を、
例えば0℃〜80℃程度の低温に設定することが望まし
い。これは、この温度をあまり高くすると、絶縁膜19
fの除去が進行したり、第3層配線23L3 の剥離や配
線中のCuやSi等の偏析が生じたりするので、それら
を防止するためである。
Third, the temperature of the semiconductor substrate 10 during processing is
For example, it is desirable to set the temperature as low as 0 ° C to 80 ° C. This is because if the temperature is too high, the insulating film 19
This is to prevent the removal of f, the peeling of the third layer wiring 23L3, and the segregation of Cu or Si in the wiring.

【0223】その後、このようなエッチング処理の終了
した後、その処理室内において、半導体基板10に対し
てアッシング処理を施す(工程202)。
After the etching process is completed, ashing process is performed on the semiconductor substrate 10 in the processing chamber (step 202).

【0224】すなわち、この処理においては、半導体基
板10に対して、プラズマ・アッシング処理を施すこと
により、不要となったフォトレジストパターン24bを
除去する。この際のアッシングガスとしては、例えばO
2 /SF6 ガス、O2 /CHF3 ガスまたはO2 /CF
(例えばCF4 )系ガスをそのまま用いても良いし、そ
れらのガスを一旦排気して、例えばO2 +H2 Oまたは
2 にOH基を含むガスを添加したガスを用いても良
い。ただし、この際は、通常のフォトレジストアッシン
グを目的としているので、例えば高周波基板バイアス電
圧は印加しないようにしている。
That is, in this process, the semiconductor substrate 10 is subjected to the plasma ashing process to remove the unnecessary photoresist pattern 24b. As the ashing gas at this time, for example, O
2 / SF 6 gas, O 2 / CHF 3 gas or O 2 / CF
(For example, CF 4 ) -based gas may be used as it is, or those gases may be once exhausted and, for example, O 2 + H 2 O or a gas obtained by adding a gas containing an OH group to O 2 may be used. However, in this case, since the purpose is normal photoresist ashing, for example, the high frequency substrate bias voltage is not applied.

【0225】次いで、このようなエッチング処理の終了
した半導体基板10をサブエッチング処理部7bから取
り出し、サブエッチング処理部8aに送り、半導体基板
10に対してエッチング処理を施す(工程203)。
Next, the semiconductor substrate 10 after such etching treatment is taken out from the sub-etching treatment portion 7b and sent to the sub-etching treatment portion 8a, and the semiconductor substrate 10 is subjected to the etching treatment (step 203).

【0226】このサブエッチング処理部8aにおいて
は、半導体基板10に対してウエットエッチング処理を
施すことにより、半導体基板10上に残されているサイ
ドフィルムをエッチング除去する。
In the sub-etching processing portion 8a, the side film left on the semiconductor substrate 10 is removed by etching by performing the wet etching processing on the semiconductor substrate 10.

【0227】この際、本実施例2においては、上記した
サブエッチング処理部7bにおいてサイドフィルム25
bの除去処理を既に行っているので、サイドフィルム2
5bをウエットエッチング処理のみで除去する場合に比
べて、サイドフィルム25bを簡単に短時間で除去する
ことができる。この処理後の半導体基板10の要部断面
図を図38に示す。
At this time, in the second embodiment, the side film 25 is formed in the sub-etching portion 7b described above.
Since the removal process of b has already been performed, the side film 2
The side film 25b can be removed easily and in a short time as compared with the case where 5b is removed only by wet etching. FIG. 38 shows a cross-sectional view of the main part of the semiconductor substrate 10 after this treatment.

【0228】続いて、このようなエッチング処理の終了
した半導体基板10をサブエッチング処理部8aから取
り出し、サブアッシング処理部9aに送り、半導体基板
10に対してアッシング処理を施す(工程204)。
Subsequently, the semiconductor substrate 10 after such etching treatment is taken out from the sub-etching treatment portion 8a and sent to the sub-ashing treatment portion 9a, and the semiconductor substrate 10 is subjected to ashing treatment (step 204).

【0229】このサブアッシング処理部9aにおいて
は、チャージアップ等に起因する汚染やダメージを防止
するために、例えば紫外線光とO3 ガスとを用いてフォ
トレジストを酸化分解除去する光アッシング処理を施
す。
In the sub ashing processing section 9a, in order to prevent contamination and damage due to charge-up, for example, an optical ashing processing is performed to oxidatively decompose and remove the photoresist by using, for example, ultraviolet light and O 3 gas. .

【0230】以上のような工程200〜工程204を経
た後、半導体基板10を、サブアッシング処理部9aか
ら取り出してアンロード部4aに送り、第3層配線23
L3の形成処理を終了する。なお、以降の処理は、前記
実施例1と同じである。
After passing through the above steps 200 to 204, the semiconductor substrate 10 is taken out from the sub ashing processing section 9a and sent to the unloading section 4a, and the third layer wiring 23
The L3 forming process is ended. The subsequent processing is the same as that in the first embodiment.

【0231】このように、本実施例2によれば、前記実
施例1の(1) 〜(6) で得られた効果以下に、以下の効果
を得ることが可能となる。
As described above, according to the second embodiment, the following effects can be obtained in addition to the effects obtained in (1) to (6) of the first embodiment.

【0232】すなわち、サイドフィルム25bを除去す
るためのプラズマドライエッチング処理とフォトレジス
トを除去するためのアッシング処理とを同一処理部で行
うことにより、半導体集積回路装置の製造工程を削減で
き、全体的な半導体製造時間を短縮することができるの
で、半導体集積回路装置製造のスループットをさらに向
上させることが可能となる。
That is, by performing the plasma dry etching process for removing the side film 25b and the ashing process for removing the photoresist in the same processing section, it is possible to reduce the number of manufacturing steps of the semiconductor integrated circuit device, and to improve the overall process. Since the semiconductor manufacturing time can be shortened, the throughput of manufacturing the semiconductor integrated circuit device can be further improved.

【0233】(実施例3)図39は本発明の他の実施例
である半導体集積回路装置の製造工程を示すフロー図、
図40は本実施例で用いる半導体製造装置の説明図、図
41〜図44は本実施例の半導体集積回路装置の製造工
程中における要部断面図である。
(Embodiment 3) FIG. 39 is a flow chart showing a manufacturing process of a semiconductor integrated circuit device according to another embodiment of the present invention.
FIG. 40 is an explanatory diagram of a semiconductor manufacturing apparatus used in this embodiment, and FIGS. 41 to 44 are cross-sectional views of essential parts in the manufacturing process of the semiconductor integrated circuit device of this embodiment.

【0234】本実施例3においては、図39に示すよう
に、サイドフィルムを除去するためのドライエッチング
処理がパターン形成工程の最終工程となっている。
In the third embodiment, as shown in FIG. 39, the dry etching process for removing the side film is the final process of the pattern forming process.

【0235】この場合も前記実施例1で用いた半導体製
造装置1Aおよび1B(図1および図4参照)を用いて
も良いが、本実施例3においては、例えば図40に示す
ような半導体製造装置1Dを用いる。
In this case as well, the semiconductor manufacturing apparatuses 1A and 1B used in the first embodiment (see FIGS. 1 and 4) may be used, but in the third embodiment, for example, a semiconductor manufacturing apparatus as shown in FIG. The device 1D is used.

【0236】この半導体製造装置1Dにおいては、1つ
のメインエッチング処理部5cにおいて、接続孔や配線
のパターンを形成するためのメインエッチング処理と、
フォトレジストを除去するためのメインアッシング処理
とが行える構造になっている。
In this semiconductor manufacturing apparatus 1D, in one main etching processing section 5c, main etching processing for forming a pattern of connection holes and wiring,
The structure is such that a main ashing process for removing the photoresist can be performed.

【0237】以下、本実施例3の半導体集積回路装置の
製造方法を、配線形成方法を例として図39のフロー図
に沿って図40〜図44によって説明する。
The method for manufacturing the semiconductor integrated circuit device according to the third embodiment will be described below with reference to FIGS. 40 to 44 along the flow chart of FIG. 39 by taking the wiring forming method as an example.

【0238】図41は図15の要部拡大図である。図4
1に示すように、積層導体膜23は、上記したように、
例えば3つの導体膜23L3a〜23L3cによって構成さ
れている。積層導体膜23の上面には、配線形成用のフ
ォトレジストパターン24bが形成されている。
FIG. 41 is an enlarged view of a main part of FIG. FIG.
As shown in FIG. 1, the laminated conductor film 23, as described above,
For example, it is composed of three conductor films 23L3a to 23L3c. A photoresist pattern 24b for wiring formation is formed on the upper surface of the laminated conductor film 23.

【0239】まず、このようなフォトレジストパターン
24bが形成された半導体基板10を図40に示した半
導体製造装置1Dのロードロック部3a内に収容した
後、メインエッチング処理部5cに送り、半導体基板1
0に対してエッチング処理を施す(図の工程300)。
First, after the semiconductor substrate 10 having such a photoresist pattern 24b formed therein is housed in the load lock portion 3a of the semiconductor manufacturing apparatus 1D shown in FIG. 40, it is sent to the main etching processing portion 5c and the semiconductor substrate 1
An etching process is performed on 0 (step 300 in the figure).

【0240】このメインエッチング処理部5cにおいて
は、フォトレジストパターン24bをエッチングマスク
として、半導体基板10に対してプラズマ・ドライエッ
チング処理を施すことにより、フォトレジストパターン
24bから露出する積層導体膜23部分をエッチング除
去する。この際の反応ガスとしては、例えば次の通りで
ある。
In this main etching processing portion 5c, the semiconductor substrate 10 is subjected to plasma dry etching processing using the photoresist pattern 24b as an etching mask to remove the portion of the laminated conductor film 23 exposed from the photoresist pattern 24b. Remove by etching. Examples of the reaction gas at this time are as follows.

【0241】すなわち、第3層目の導体膜23L3cをエ
ッチングする際に、導体膜23L3cがタングステンまた
はTiWの場合は、例えばSF6 +BCl3 ガスを用い
る。また、導体膜23L3cがTiNの場合は、例えばS
6 +BCl3 ガスまたはSF6 +BCl3 +Cl2
スを用いる。
That is, when the third conductor film 23L3c is etched and the conductor film 23L3c is tungsten or TiW, for example, SF 6 + BCl 3 gas is used. When the conductor film 23L3c is TiN, for example, S
F 6 + BCl 3 gas or SF 6 + BCl 3 + Cl 2 gas is used.

【0242】第2層目の導体膜23L3bをエッチングす
る際に、導体膜23L3bがAlまたはAl−Si−Cu
合金からなる場合は、例えばBCl3 +Cl2 ガスを用
いる。
When the second-layer conductor film 23L3b is etched, the conductor film 23L3b may be Al or Al-Si-Cu.
When it is made of an alloy, for example, BCl 3 + Cl 2 gas is used.

【0243】さらに、第1層目の導体膜23L3aをエッ
チングする際に、導体膜23L3aがタングステンまたは
TiWの場合には、例えばSF6 +BCl3 ガスにN2
を添加したガスを用いる。また、導体膜23L3aがTi
Nの場合には、例えばBCl3 +Cl2 ガスを用いる。
Further, when the conductor film 23L3a of the first layer is made of tungsten or TiW when the conductor film 23L3a of the first layer is etched, for example, SF 6 + BCl 3 gas is added with N 2 gas.
Is used. In addition, the conductor film 23L3a is made of Ti.
In the case of N, for example, BCl 3 + Cl 2 gas is used.

【0244】このようなエッチング処理により、図42
に示すように、絶縁膜19f上に第3層配線23L3 を
形成する。この際、第3層配線23L3 の側面にはサイ
ドフィルム25bが形成されている。サイドフィルム2
5bには、炭素、Si、AlおよびCu等のような成分
が含まれている。
By the etching treatment as described above, FIG.
As shown in, the third layer wiring 23L3 is formed on the insulating film 19f. At this time, a side film 25b is formed on the side surface of the third layer wiring 23L3. Side film 2
5b contains components such as carbon, Si, Al and Cu.

【0245】この第3層配線23L3 の配線幅は、例え
ば1.0μm〜0.25μmの範囲であり、例えば0.35μ
m程度である。配線間隔は、例えば1.0μm〜0.25μ
mの範囲であり、例えば0.35μm程度である。
The wiring width of the third layer wiring 23L3 is, for example, in the range of 1.0 μm to 0.25 μm, and is 0.35 μm, for example.
m. The wiring interval is, for example, 1.0 μm to 0.25 μm.
The range is m, for example, about 0.35 μm.

【0246】続いて、このようなエッチング処理の終了
した後、メインエッチング処理部5cの処理室内のガス
を排気し、その処理室内において、半導体基板10に対
してアッシング処理を施す(工程301)。
Then, after such etching process is completed, the gas in the process chamber of the main etching process section 5c is exhausted, and the semiconductor substrate 10 is subjected to the ashing process in the process chamber (step 301).

【0247】すなわち、この際には、半導体基板10に
対して、プラズマ・アッシング処理を施すことにより、
不要となったフォトレジストパターン24bを除去す
る。この際のアッシングガスとしては、例えばO2 +H
2 OまたはO2 にOH基を含むガスを添加したガスが使
用されている。
That is, at this time, by performing the plasma ashing process on the semiconductor substrate 10,
The unnecessary photoresist pattern 24b is removed. As the ashing gas at this time, for example, O 2 + H
A gas obtained by adding a gas containing an OH group to 2 O or O 2 is used.

【0248】その後、アッシング処理の終了した半導体
基板10をメインエッチング処理部5cから取り出し、
サブエッチング処理部8aに送り、半導体基板10に対
してエッチング処理を施す(工程302)。
After that, the semiconductor substrate 10 after the ashing process is taken out from the main etching process part 5c,
The semiconductor substrate 10 is sent to the sub-etching section 8a and is subjected to etching processing (step 302).

【0249】このサブエッチング処理部8aにおいて
は、半導体基板10に対してウエットエッチング処理を
施すことにより、半導体基板10上のサイドフィルム2
5bをエッチング除去する。この処理後の半導体基板1
0の要部断面図を図43に示す。
In this sub-etching process portion 8a, the side film 2 on the semiconductor substrate 10 is processed by performing the wet etching process on the semiconductor substrate 10.
5b is removed by etching. Semiconductor substrate 1 after this treatment
43 is a sectional view showing the main part of No. 0.

【0250】この際、本実施例3においては、最後にサ
イドフィルム25bを除去するためのプラズマ・ドライ
エッチング処理を行うので、サイドフィルム25bをウ
エットエッチング処理のみで除去する場合に比べてウエ
ットエッチング処理時間を短縮することが可能となって
いる。
At this time, in the third embodiment, since the plasma dry etching process for removing the side film 25b is finally performed, the wet etching process is performed as compared with the case where the side film 25b is removed only by the wet etching process. It is possible to shorten the time.

【0251】次いで、このようなエッチング処理の終了
した半導体基板10をサブエッチング処理部8aから取
り出し、サブアッシング処理部9aに送り、半導体基板
10に対してアッシング処理を施す(工程303)。
Next, the semiconductor substrate 10 after such etching treatment is taken out from the sub-etching treatment portion 8a and sent to the sub-ashing treatment portion 9a, and the semiconductor substrate 10 is subjected to ashing treatment (step 303).

【0252】このサブアッシング処理部9aにおいて
は、チャージアップ等に起因する汚染やダメージを防止
するために、例えば紫外線光とO3 ガスとを用いてフォ
トレジストを酸化分解除去する光アッシング処理を施
す。
In the sub ashing processing section 9a, in order to prevent contamination and damage due to charge-up, for example, an optical ashing processing is performed to oxidatively decompose and remove the photoresist using, for example, ultraviolet light and O 3 gas. .

【0253】続いて、このようなサブアッシング処理の
終了した半導体基板10をサブエッチング処理部7aに
送り、半導体基板10に対してエッチング処理を施す
(工程304)。
Subsequently, the semiconductor substrate 10 on which such sub-ashing processing has been completed is sent to the sub-etching processing section 7a, and the semiconductor substrate 10 is subjected to etching processing (step 304).

【0254】このサブエッチング処理部7aにおいて
は、半導体基板10に対してプラズマ・ドライエッチン
グ処理を施すことにより、第3層配線23L3 の側面に
残されたサイドフィルム25bをエッチング除去する。
この処理後の半導体基板10の要部断面図を図44に示
す。
In the sub-etching portion 7a, the side film 25b left on the side surface of the third layer wiring 23L3 is removed by etching by performing plasma dry etching treatment on the semiconductor substrate 10.
FIG. 44 shows a cross-sectional view of the main parts of the semiconductor substrate 10 after this treatment.

【0255】本実施例3においては、このエッチング処
理に際して、次の2つの処理条件を設定する。
In this third embodiment, the following two processing conditions are set for this etching process.

【0256】第1に、反応ガスは、例えばO2 /SF6
ガス、O2 /CHF3 ガスまたはO2 /CF系ガスを用
いる。すなわち、本実施例2においては、サイドフィル
ム25bを構成する成分にとってエッチレートの高いエ
ッチングガスを用いることにより、サイドフィルム25
bを化学的な作用によって良好に除去することが可能と
なっている。
First, the reaction gas is, for example, O 2 / SF 6
Gas, O 2 / CHF 3 gas or O 2 / CF based gas is used. That is, in the second embodiment, the side film 25 is formed by using the etching gas having a high etching rate for the components forming the side film 25b.
It is possible to favorably remove b by a chemical action.

【0257】第2に、この処理に際して半導体基板10
を載置する下部電極7a5 (図2参照)または陰極7a
10(図3参照)に高周波電圧を印加し、半導体基板10
にバイアス電圧を印加する。これにより、サイドフィル
ム25bをスパッタリング現象等のような物理的な作用
によって除去することが可能となっている。
Secondly, in this processing, the semiconductor substrate 10
Lower electrode 7a5 (see FIG. 2) or cathode 7a for mounting
A high frequency voltage is applied to the semiconductor substrate 10 (see FIG. 3).
A bias voltage is applied to. This allows the side film 25b to be removed by a physical action such as a sputtering phenomenon.

【0258】このように本実施例3においては、サイド
フィルム25bを化学的な作用と物理的な作用との双方
の作用によって除去することができるので、サイドフィ
ルム25bの除去性を向上させることが可能となってい
る。このため、第3層配線23L3 の配線の信頼性を向
上させることができるので、半導体集積回路装置の歩留
りおよび信頼性を向上させることが可能となっている。
As described above, in the third embodiment, since the side film 25b can be removed by both the chemical action and the physical action, the removability of the side film 25b can be improved. It is possible. Therefore, the reliability of the third layer wiring 23L3 can be improved, and the yield and reliability of the semiconductor integrated circuit device can be improved.

【0259】この場合のサイドフィルム除去性の結果も
上述の図12と同じである。すなわち、反応ガスとし
て、例えばO2 /CHF3 ガスを用いた場合は、サイド
フィルム25bを除去することが可能である。ただし、
この場合は、炭素成分に起因するデポ物が生成される場
合が生じる。
The results of the side film removability in this case are the same as those in FIG. That is, when O 2 / CHF 3 gas, for example, is used as the reaction gas, the side film 25b can be removed. However,
In this case, a deposit may be produced due to the carbon component.

【0260】また、反応ガスとして、例えばO2 /SF
6 ガスを用いた場合は、サイドフィルム25bを除去で
きる上、デポ物の堆積もなかった。また、SF6 には炭
素が含まれないので、下地の絶縁膜19fの削れ量を低
減することができた。さらに、この場合は、腐食数も大
幅に低減することができた。これは、次の2つの理由か
らと考えられる。第1に、プラズマ中のフッ素成分が腐
食を誘発する第3層配線23L3 の表面のCl成分と置
換するからである。第2に、酸素成分が配線表面を酸化
し不動態化するからである。図44の26はこの酸化膜
を示している。
The reaction gas may be, for example, O 2 / SF.
When 6 gas was used, the side film 25b could be removed, and no deposits were deposited. Further, since SF 6 does not contain carbon, the amount of abrasion of the underlying insulating film 19f could be reduced. Furthermore, in this case, the number of corrosions could be significantly reduced. This is considered to be due to the following two reasons. First, the fluorine component in the plasma replaces the Cl component on the surface of the third layer wiring 23L3 that induces corrosion. Secondly, the oxygen component oxidizes the passivation surface to passivate it. Reference numeral 26 in FIG. 44 shows this oxide film.

【0261】このようなエッチング処理についての本発
明者の検討結果によれば、次のような条件を設定するこ
とが望ましいことが判明した。
According to the results of the study conducted by the present inventor on such an etching process, it was found that it is desirable to set the following conditions.

【0262】第1に、SF6 ガス、CHF3 ガスまたは
CF系ガス等の流量比は、例えば1〜25%程度とする
ことが望ましい。これは、そのガス流量比が1%より小
さいと、O2 ガスのみのエッチング処理となり、サイド
フィルムを除去できないし、そのガス流量比が25%以
上だと、第3層配線23L3 の導体膜23L3cの削れ量
が増大し、アンダカット等が生じてしまうからである。
First, it is desirable that the flow rate ratio of SF 6 gas, CHF 3 gas, CF type gas or the like be, for example, about 1 to 25%. This is because if the gas flow rate ratio is less than 1%, only the O 2 gas is used for etching and the side film cannot be removed. If the gas flow rate ratio is 25% or more, the conductor film 23L3c of the third layer wiring 23L3 is formed. This is because the amount of shavings increases and undercuts and the like occur.

【0263】第2に、半導体基板10に印加する基板バ
イアス電圧は、例えば100V〜300V程度が望まし
い。これは、基板バイアス電圧が100Vより低いとサ
イドフィルム25bに対するイオンアタックが不足しサ
イドフィルム25bを良好に除去することができないか
らであり、それが300Vより高いと絶縁膜19fや導
体膜23L3cをも除去してしまうからである。
Secondly, the substrate bias voltage applied to the semiconductor substrate 10 is desirably about 100V to 300V, for example. This is because if the substrate bias voltage is lower than 100V, the ion attack on the side film 25b is insufficient and the side film 25b cannot be removed satisfactorily. If it is higher than 300V, the insulating film 19f and the conductor film 23L3c are also removed. Because it will be removed.

【0264】第3に処理時の半導体基板10の温度を、
例えば0℃〜80℃程度の低温に設定することが望まし
い。これは、この温度をあまり高くすると、絶縁膜19
fの除去が進行したり、第3層配線23L3 の剥離や配
線中のCuやSi等の偏析が生じたりするので、それら
を防止するためである。
Thirdly, the temperature of the semiconductor substrate 10 during processing is
For example, it is desirable to set the temperature as low as 0 ° C to 80 ° C. This is because if the temperature is too high, the insulating film 19
This is to prevent the removal of f, the peeling of the third layer wiring 23L3, and the segregation of Cu or Si in the wiring.

【0265】以上のような工程300〜工程304を経
た後、半導体基板10を、サブアッシング処理部9aか
ら取り出してアンロード部4aに送り、第3層配線23
L3の形成処理を終了する。なお、以降の処理は、前記
実施例1と同じである。
After passing through the above steps 300 to 304, the semiconductor substrate 10 is taken out from the sub ashing processing section 9a and sent to the unloading section 4a, and the third layer wiring 23
The L3 forming process is ended. The subsequent processing is the same as that in the first embodiment.

【0266】このように、本実施例3によれば、前記実
施例1の(1) 〜(6) で得られた効果と同じ効果を得るこ
とが可能となる。
As described above, according to the third embodiment, it is possible to obtain the same effects as the effects obtained in (1) to (6) of the first embodiment.

【0267】以上、本発明者によってなされた発明を実
施例に基づき具体的に説明したが、本発明は前記実施例
1〜3に限定されるものではなく、その要旨を逸脱しな
い範囲で種々変更可能であることはいうまでもない。
Although the invention made by the present inventor has been specifically described based on the above embodiments, the present invention is not limited to the above first to third embodiments, and various modifications can be made without departing from the scope of the invention. It goes without saying that it is possible.

【0268】例えば前記実施例1においては、各処理部
を別々に設けた場合について説明したが、これに限定さ
れるものではなく、例えばメインエッチング処理とメイ
ンアッシング処理とを同一処理部で行える構造としても
良い。
For example, in the first embodiment, the case where each processing unit is provided separately has been described, but the present invention is not limited to this, and for example, a structure in which the main etching process and the main ashing process can be performed in the same processing unit. Also good.

【0269】また、メインアッシング処理とサイドフィ
ルム除去のためのドライエッチング処理とを同一処理部
で行える構造としても良い。この場合、アッシング処理
時もドライエッチング処理時も、処理ガスとして、例え
ばO2 /SF6 、O2 /CHFまたはO2 /CF系(例
えばCF4 )ガスを用い、アッシング処理に際しては高
周波基板バイアス電圧を印加せずに処理を行う。この場
合、アッシング処理とそのドライエッチング処理とを同
一処理室内で同時に行うことにより、半導体集積回路装
置の製造工程を削減でき、全体的な半導体集積回路装置
の製造時間を短縮できるので、半導体集積回路装置製造
のスループットを向上させることが可能となる。
The main ashing process and the dry etching process for removing the side film may be performed in the same processing section. In this case, for example, O 2 / SF 6 , O 2 / CHF, or O 2 / CF-based (for example, CF 4 ) gas is used as a processing gas in both the ashing process and the dry etching process, and the high frequency substrate bias is used in the ashing process. The process is performed without applying a voltage. In this case, by performing the ashing process and the dry etching process at the same time in the same processing chamber, the manufacturing process of the semiconductor integrated circuit device can be reduced and the overall manufacturing time of the semiconductor integrated circuit device can be shortened. It is possible to improve the throughput of device manufacturing.

【0270】また、前記実施例2においては、サイドフ
ィルムを除去するためのドライエッチング処理とメイン
アッシング処理とを同一処理部で行える構造とした場合
について説明したが、これに限定されるものではなく、
例えばメインエッチング処理とサイドフィルム除去のた
めのドライエッチング処理とを同一処理部で行える構造
としても良い。
In the second embodiment, the case where the dry etching process for removing the side film and the main ashing process are performed in the same processing part has been described, but the present invention is not limited to this. ,
For example, the main etching process and the dry etching process for removing the side film may be performed in the same processing unit.

【0271】また、図45の半導体製造装置1Eのよう
に、サイドフィルムを除去するためのプラズマ・ドライ
エッチング処理を行うサブエッチング処理部7aをクラ
スタツールとは別に用意しても良い。この場合、従来の
パターン形成用のクラスタツールをそのまま使用でき
る。
Further, as in the semiconductor manufacturing apparatus 1E of FIG. 45, the sub-etching processing section 7a for performing the plasma dry etching processing for removing the side film may be prepared separately from the cluster tool. In this case, the conventional pattern forming cluster tool can be used as it is.

【0272】また、前記実施例1〜3においては、中央
搬送部の周囲にプロセスチャンバを配置した構造の半導
体製造装置を用いた場合について説明したが、これに限
定されるものではなく、例えば図46に示すように、直
線上にメインエッチング処理部5a、メインアッシング
処理部6a、サブエッチング処理部7a,8a、サブア
ッシング処理部9aを配置した構造の半導体製造装置1
Fを用いても良い。各処理部は、搬送部2bを介して機
械的に接続されている。
Further, in the first to third embodiments, the case where the semiconductor manufacturing apparatus having the structure in which the process chamber is arranged around the central transfer section is used has been described, but the present invention is not limited to this. As shown by 46, the semiconductor manufacturing apparatus 1 having a structure in which the main etching processing section 5a, the main ashing processing section 6a, the sub etching processing sections 7a and 8a, and the sub ashing processing section 9a are arranged on a straight line.
You may use F. The processing units are mechanically connected to each other via the transport unit 2b.

【0273】また、前記実施例1〜3においては、本発
明を金属膜をパターニングする場合に適用した場合につ
いて説明したが、これに限定されるものではなく、例え
ばポリシリコン膜をパターニングする場合にも適用する
ことが可能である。
In the first to third embodiments, the case where the present invention is applied to the case of patterning a metal film has been described, but the present invention is not limited to this. For example, in the case of patterning a polysilicon film. Can also be applied.

【0274】また、前記実施例1〜3においては、本発
明をMOS・FETが形成された半導体集積回路装置の
製造方法に適用した場合について説明したが、これに限
定されるものではなく種々適用可能であり、例えばバイ
ポーラトランジスタが形成された半導体集積回路装置の
製造方法、MOS・FETとバイポーラトランジスタと
を組み合わせてなるBiCMOS(Bipolar Compliment
ary MOS )回路を有する半導体集積回路装置の製造方法
に適用することが可能である。
In the first to third embodiments, the case where the present invention is applied to the method for manufacturing the semiconductor integrated circuit device having the MOS • FET has been described. However, the present invention is not limited to this and various applications are possible. It is possible, for example, a method for manufacturing a semiconductor integrated circuit device having a bipolar transistor, a BiCMOS (Bipolar Compliment) which is a combination of a MOS • FET and a bipolar transistor.
The present invention can be applied to a method of manufacturing a semiconductor integrated circuit device having an (ary MOS) circuit.

【0275】以上の説明では主として本発明者によって
なされた発明をその背景となった利用分野であるDRA
Mを有する半導体集積回路装置の製造方法に適用した場
合について説明したが、これに限定されず種々適用可能
であり、例えばSRAM(Static RAM)を有する半導体
集積回路装置、論理回路を有する半導体集積回路装置の
製造方法、論理付きメモリ等のような論理回路とメモリ
回路とを有する半導体集積回路装置の製造方法等のよう
な他の半導体集積回路装置の製造方法に適用することも
可能である。
In the above description, the invention made by the present inventor is the field of application which is the background of the invention.
The case where the method is applied to the method of manufacturing a semiconductor integrated circuit device having M has been described, but the present invention is not limited to this and can be variously applied. For example, a semiconductor integrated circuit device having an SRAM (Static RAM), a semiconductor integrated circuit having a logic circuit. It can also be applied to a method of manufacturing a device, a method of manufacturing another semiconductor integrated circuit device such as a method of manufacturing a semiconductor integrated circuit device having a logic circuit such as a memory with logic, and a memory circuit.

【0276】[0276]

【発明の効果】本願において開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば、
以下のとおりである。
Advantageous effects obtained by typical ones of the inventions disclosed in the present application will be briefly described.
It is as follows.

【0277】(1).本発明によれば、被処理基板に高周波
基板バイアス電圧を印加した状態でエッチングガスとし
てO2 /SF6 、O2 /CHF3 またはO2 /CF系の
ガスを用いたプラズマ・ドライエッチング処理を行うこ
とにより、メインエッチング処理時に被加工膜の側面に
形成される保護膜を化学的な作用と物理的な作用との双
方の作用によって除去することができるので、その保護
膜の除去性を向上させることが可能となる。
(1) According to the present invention, an O 2 / SF 6 , O 2 / CHF 3 or O 2 / CF based gas is used as an etching gas in a state where a high frequency substrate bias voltage is applied to the substrate to be processed. By performing the plasma dry etching process, the protective film formed on the side surface of the film to be processed at the time of the main etching process can be removed by both the chemical action and the physical action. It becomes possible to improve the removability of the protective film.

【0278】(2).上記(1) により、本発明を半導体集積
回路装置の製造方法に用いることで、残留した保護膜が
隣接配線間をショートさせたり、接続孔内に残留した保
護膜が接続孔内において配線接続不良を生じさせたりす
るのを低減することができるので、半導体集積回路装置
の歩留りおよび信頼性を向上させることが可能となる。
(2) According to the above (1), by using the present invention in the method for manufacturing a semiconductor integrated circuit device, the residual protective film may short-circuit adjacent wirings, or the protective film remaining in the connection hole may be eliminated. Since it is possible to reduce the occurrence of wiring connection failure in the connection hole, it is possible to improve the yield and reliability of the semiconductor integrated circuit device.

【0279】(3).上記(1) のプラズマ・ドライエッチン
グ処理を施すことにより、その保護膜を除去するために
行っていたウエットエッチング処理時間を大幅に短縮す
ることが可能となる。
(3). By performing the plasma dry etching treatment of the above (1), it is possible to greatly reduce the wet etching treatment time which has been performed for removing the protective film.

【0280】(4).上記(3).により、本発明を半導体集積
回路装置の製造方法に用いることで、半導体集積回路装
置製造のスループットを向上させることが可能となる。
(4) According to the above (3), by using the present invention in the method for manufacturing a semiconductor integrated circuit device, the throughput of manufacturing the semiconductor integrated circuit device can be improved.

【0281】(5).上記(1) のプラズマドライエッチング
処理に際してエッチングガスとしてO2 を有するガスを
用いることにより、そのプラズマドライエッチング処理
中に導体膜の表面を酸化し不動態化することができるの
で、導体膜パターンの防食性を向上させることが可能と
なる。
(5). By using a gas containing O 2 as an etching gas in the plasma dry etching treatment of the above (1), the surface of the conductor film can be oxidized and passivated during the plasma dry etching treatment. Therefore, the corrosion resistance of the conductor film pattern can be improved.

【0282】(6).上記(1) のプラズマドライエッチング
処理に際してエッチングガスとしてSF6 等のようなフ
ッ素を有するガスを用いることにより、そのプラズマド
ライエッチング処理中に導体膜の表面の塩素をフッ素と
置換することができるので、導体膜パターンの防食性を
向上させることが可能となる。
(6). By using a gas having fluorine such as SF 6 as an etching gas in the plasma dry etching treatment of the above (1), chlorine on the surface of the conductor film is changed to fluorine during the plasma dry etching treatment. Since it can be replaced with, it is possible to improve the corrosion resistance of the conductor film pattern.

【0283】(7).上記(5),(6) により、本発明を半導体
集積回路装置の電極配線パターンの形成方法に用いるこ
とにより、電極配線パターンの防食性を向上させること
ができ、電極配線の信頼性を向上させることが可能とな
る。
(7) According to the above (5) and (6), by using the present invention for the method for forming the electrode wiring pattern of the semiconductor integrated circuit device, the corrosion resistance of the electrode wiring pattern can be improved and the electrode wiring pattern can be improved. It is possible to improve the reliability of the wiring.

【0284】(8).上記(7) により、半導体集積回路装置
の歩留りおよび信頼性を向上させることが可能となる。
(8). Due to the above (7), the yield and reliability of the semiconductor integrated circuit device can be improved.

【0285】(9).上記(7) により、ウエットエッチング
処理までの放置制限時間を延長することができるので、
半導体製品の製造ラインにおける作業者の作業性を向上
させることが可能となる。
(9). Because of the above (7), it is possible to extend the leaving time limit until the wet etching treatment.
It is possible to improve workability of a worker in a semiconductor product manufacturing line.

【0286】(10). 本発明によれば、レジストパターン
をアッシング除去するためのアッシング処理機能と、半
導体基板に高周波基板バイアス電圧を印加した状態でエ
ッチングガスとしてO2 /SF6 、O2 /CHF3 また
はO2 /CF系のガスを用いたプラズマドライエッチン
グ処理を施すための第1サブエッチング処理機能とを備
えた処理部を設けたことにより、アッシング処理と第1
サブエッチング処理とを同一処理部内で同時に行うこと
ができるので、処理工程を削減でき、全体的な処理時間
を短縮することが可能となる。
(10). According to the present invention, an ashing treatment function for ashing and removing the resist pattern, and O 2 / SF 6 and O 2 / O 2 / O 2 as etching gas in a state where a high frequency substrate bias voltage is applied to the semiconductor substrate are used. By providing a processing unit having a first sub-etching processing function for performing plasma dry etching processing using CHF 3 or O 2 / CF-based gas, the ashing processing and the first
Since the sub-etching process can be performed simultaneously in the same processing unit, the number of processing steps can be reduced and the overall processing time can be shortened.

【0287】(11). 上記(10)により、本発明を半導体集
積回路装置の製造方法に用いることで、半導体集積回路
装置製造のスループットをさらに向上させることが可能
となる。
(11) According to the above (10), by using the present invention in the method for manufacturing a semiconductor integrated circuit device, the throughput of manufacturing the semiconductor integrated circuit device can be further improved.

【0288】(12). 本発明によれば、被処理基板を各処
理部に搬送するための搬送機能と、被処理基板を搬送す
る搬送室内を真空状態に維持するための真空維持機能を
備えた搬送部を設けたことにより、各処理部間における
搬送部を真空下とすることができるので、導体膜パター
ン等の防食性をさらに向上させることが可能となる。
(12) According to the present invention, the transfer function for transferring the substrate to be processed to each processing section and the vacuum maintaining function for maintaining the vacuum state in the transfer chamber for transferring the substrate to be processed are provided. By providing the transporting part, the transporting part between the processing parts can be placed under vacuum, so that the anticorrosion property of the conductor film pattern or the like can be further improved.

【0289】(13). 上記(12)により、本発明を半導体集
積回路装置の電極配線パターンの形成方法に用いること
で、電極配線パターンの防食性をさらに向上させること
ができ、電極配線の信頼性を向上させることができるの
で、半導体集積回路装置の歩留りおよび信頼性を向上さ
せることが可能となる。
(13) From the above (12), by using the present invention for the method of forming an electrode wiring pattern of a semiconductor integrated circuit device, the corrosion resistance of the electrode wiring pattern can be further improved, and the reliability of the electrode wiring can be improved. Since the reliability can be improved, the yield and reliability of the semiconductor integrated circuit device can be improved.

【0290】(14). 上記(12)により、ウエットエッチン
グ処理までの放置制限時間を延長することができるの
で、製造ラインにおける作業者の作業性を向上させるこ
とが可能となる。
(14). Due to the above (12), it is possible to extend the leaving time limit until the wet etching process, so that it is possible to improve the workability of the worker in the manufacturing line.

【0291】(15). 本発明によれば、第1サブエッチン
グ処理に際して高周波基板バイアス電圧を100V以上
とすることにより、サイドフィルムに対するイオンアタ
ック量を充分得ることができるので、サイドフィルムの
除去性を向上させることが可能となる。また、その際に
高周波基板バイアス電圧を300V以下とすることによ
り、下地絶縁膜等の削れ過ぎを防止することが可能とな
る。
(15) According to the present invention, by setting the high frequency substrate bias voltage to 100 V or more during the first sub-etching treatment, a sufficient ion attack amount to the side film can be obtained. It becomes possible to improve. Further, at that time, by setting the high frequency substrate bias voltage to 300 V or less, it becomes possible to prevent the base insulating film and the like from being excessively shaved.

【0292】(16). 第1サブエッチング処理時における
基板温度を0℃〜80℃とすることにより、下地絶縁膜
の削れ過ぎを防止できるとともに、導体膜の剥離や導体
膜中の原子の偏析を防止することが可能となる。
(16). By setting the substrate temperature at the time of the first sub-etching process to 0 ° C. to 80 ° C., it is possible to prevent the base insulating film from being excessively shaved, and also to separate the conductive film and segregate atoms in the conductive film. Can be prevented.

【0293】(17). 第1サブエッチング処理時における
エッチングガス中のSF6 、CHF3またはCF系のガ
スの流量比を1%以上とすることにより、保護膜の除去
性を向上させることが可能となる。また、エッチングガ
ス中のSF6 、CHF3 またはCF系のガスの流量比を
25%以下にすることにより、導体膜の削れ過ぎやアン
ダカット等を防止することが可能となる。
(17). The removability of the protective film can be improved by setting the flow rate ratio of SF 6 , CHF 3 or CF based gas in the etching gas during the first sub-etching treatment to 1% or more. It will be possible. Further, by setting the flow rate ratio of SF 6 , CHF 3 or CF type gas in the etching gas to 25% or less, it becomes possible to prevent the conductor film from being over-cut or undercut.

【0294】(18). 上記(15)〜(17)により、本発明を半
導体集積回路装置の製造方法に用いることで、半導体集
積回路装置の歩留りおよび信頼性をさらに向上させるこ
とが可能となる。
(18). From the above (15) to (17), by using the present invention in the method for manufacturing a semiconductor integrated circuit device, it is possible to further improve the yield and reliability of the semiconductor integrated circuit device. .

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例である半導体製造装置の説明
図である。
FIG. 1 is an explanatory diagram of a semiconductor manufacturing apparatus that is an embodiment of the present invention.

【図2】図1の半導体製造装置の保護膜除去のためのサ
ブエッチング処理部の説明図である。
FIG. 2 is an explanatory diagram of a sub-etching processing unit for removing a protective film of the semiconductor manufacturing apparatus of FIG.

【図3】図1の半導体製造装置の保護膜除去のためのサ
ブエッチング処理部の変形例の説明図である。
FIG. 3 is an explanatory diagram of a modified example of a sub-etching processing unit for removing a protective film of the semiconductor manufacturing apparatus of FIG.

【図4】本発明の一実施例である半導体製造装置の説明
図である。
FIG. 4 is an explanatory diagram of a semiconductor manufacturing apparatus that is an embodiment of the present invention.

【図5】本発明の一実施例である半導体集積回路装置の
製造工程を示すフロー図である。
FIG. 5 is a flowchart showing a manufacturing process of a semiconductor integrated circuit device which is an embodiment of the present invention.

【図6】図5の半導体集積回路装置の製造工程中におけ
る半導体集積回路装置の要部断面図である。
6 is a cross-sectional view of essential parts of the semiconductor integrated circuit device in a manufacturing process of the semiconductor integrated circuit device of FIG.

【図7】図5の半導体集積回路装置の製造工程中におけ
る半導体集積回路装置の要部断面図である。
7 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing process of the semiconductor integrated circuit device of FIG.

【図8】図6および図7に続く図5の半導体集積回路装
置の製造工程中における半導体集積回路装置の要部断面
図である。
8 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIGS. 6 and 7;

【図9】図8に続く図5の半導体集積回路装置の製造工
程中における半導体集積回路装置の要部断面図である。
9 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 8;

【図10】図9に続く図5の半導体集積回路装置の製造
工程中における半導体集積回路装置の要部断面図であ
る。
10 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 9;

【図11】図10に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
11 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 10;

【図12】本発明の半導体集積回路装置の製造方法によ
る保護膜の除去性を示すグラフ図である。
FIG. 12 is a graph showing the removability of a protective film according to the method for manufacturing a semiconductor integrated circuit device of the present invention.

【図13】図11に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
13 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during the manufacturing process of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 11;

【図14】図11に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
14 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 11;

【図15】図13および図14に続く図5の半導体集積
回路装置の製造工程中における半導体集積回路装置の要
部断面図である。
15 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during the manufacturing process of the semiconductor integrated circuit device of FIG. 5 subsequent to FIGS. 13 and 14;

【図16】図13および図14に続く図5の半導体集積
回路装置の製造工程中における半導体集積回路装置の要
部断面図である。
16 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIGS. 13 and 14;

【図17】図15および図16に続く図5の半導体集積
回路装置の製造工程中における半導体集積回路装置の要
部断面図である。
17 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIGS. 15 and 16;

【図18】図15および図16に続く図5の半導体集積
回路装置の製造工程中における半導体集積回路装置の要
部断面図である。
18 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIGS. 15 and 16;

【図19】図17および図18に続く図5の半導体集積
回路装置の製造工程中における半導体集積回路装置の要
部断面図である。
19 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIGS. 17 and 18;

【図20】図19に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
20 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 19;

【図21】図19に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
21 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5, following FIG. 19;

【図22】図21に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
22 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 21;

【図23】導体膜の側壁の保護膜をCl2 系のガスを用
いたプラズマドライエッチング処理によって除去した場
合のSEM(Scanning Electron Microscope)写真であ
る。
FIG. 23 is an SEM (Scanning Electron Microscope) photograph when the protective film on the sidewall of the conductor film is removed by plasma dry etching using a Cl 2 -based gas.

【図24】導体膜の側壁の保護膜をCl2 系のガスを用
いたプラズマドライエッチング処理によって除去した場
合のSEM写真である。
FIG. 24 is an SEM photograph when the protective film on the sidewall of the conductor film is removed by plasma dry etching using a Cl 2 -based gas.

【図25】導体膜の側壁の保護膜をCl2 系のガスを用
いたプラズマドライエッチング処理によって除去した場
合のSEM写真である。
FIG. 25 is an SEM photograph when the protective film on the sidewall of the conductor film is removed by plasma dry etching using a Cl 2 -based gas.

【図26】導体膜の側壁の保護膜をO2 /SF6 系のガ
スを用いたプラズマドライエッチング処理によって除去
した場合のSEM写真である。
FIG. 26 is an SEM photograph when the protective film on the side wall of the conductor film is removed by plasma dry etching using O 2 / SF 6 system gas.

【図27】導体膜の側壁の保護膜をO2 /SF6 系のガ
スを用いたプラズマドライエッチング処理によって除去
した場合のSEM写真である。
FIG. 27 is an SEM photograph when the protective film on the side wall of the conductor film is removed by plasma dry etching using O 2 / SF 6 system gas.

【図28】導体膜の側壁の保護膜をO2 /SF6 系のガ
スを用いたプラズマドライエッチング処理によって除去
した場合のSEM写真である。
FIG. 28 is an SEM photograph when the protective film on the side wall of the conductor film is removed by plasma dry etching treatment using O 2 / SF 6 system gas.

【図29】本発明の保護膜除去処理を行う前の配線部分
を撮影したSEM写真である。
FIG. 29 is an SEM photograph of a wiring portion before the protective film removing process of the present invention is performed.

【図30】本発明の保護膜除去処理を行った後の配線部
分を撮影したSEM写真である。
FIG. 30 is an SEM photograph of a wiring portion after the protective film removing process of the present invention is performed.

【図31】図22に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
31 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during the manufacturing process of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 22;

【図32】図22に続く図5の半導体集積回路装置の製
造工程中における半導体集積回路装置の要部断面図であ
る。
32 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 5 subsequent to FIG. 22;

【図33】本発明の他の実施例である半導体集積回路装
置の製造工程を示すフロー図である。
FIG. 33 is a flowchart showing manufacturing steps of a semiconductor integrated circuit device which is another embodiment of the present invention.

【図34】本発明の他の実施例で用いる半導体製造装置
の説明図である。
FIG. 34 is an explanatory diagram of a semiconductor manufacturing apparatus used in another embodiment of the present invention.

【図35】本発明の他の実施例である半導体集積回路装
置の製造工程中における要部断面図である。
FIG. 35 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device which is another embodiment of the present invention.

【図36】図35に続く図33の半導体集積回路装置の
製造工程中における要部断面図である。
36 is a cross-sectional view of essential parts in the process of manufacturing the semiconductor integrated circuit device of FIG. 33 subsequent to FIG. 35.

【図37】図36に続く図33の半導体集積回路装置の
製造工程中における要部断面図である。
37 is a cross-sectional view of essential parts in the process of manufacturing the semiconductor integrated circuit device of FIG. 33, which is subsequent to FIG. 36.

【図38】図37に続く図33の半導体集積回路装置の
製造工程中における要部断面図である。
38 is a cross-sectional view of essential parts in the process of manufacturing the semiconductor integrated circuit device of FIG. 33 subsequent to FIG. 37.

【図39】本発明の他の実施例である半導体集積回路装
置の製造工程を示すフロー図である。
FIG. 39 is a flowchart showing manufacturing steps of a semiconductor integrated circuit device which is another embodiment of the present invention.

【図40】図39の製造工程で用いる半導体製造装置の
説明図である。
FIG. 40 is an explanatory diagram of a semiconductor manufacturing apparatus used in the manufacturing process of FIG. 39.

【図41】図39の半導体集積回路装置の製造工程中に
おける半導体集積回路装置の要部断面図である。
41 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step of the semiconductor integrated circuit device of FIG. 39. FIG.

【図42】図41に続く図39の半導体集積回路装置の
製造工程中における半導体集積回路装置の要部断面図で
ある。
42 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during the manufacturing process of the semiconductor integrated circuit device of FIG. 39, which is subsequent to FIG. 41;

【図43】図42に続く図39の半導体集積回路装置の
製造工程中における半導体集積回路装置の要部断面図で
ある。
43 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during the manufacturing process of the semiconductor integrated circuit device of FIG. 39, which is subsequent to FIG. 42;

【図44】図43に続く図39の半導体集積回路装置の
製造工程中における半導体集積回路装置の要部断面図で
ある。
44 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during the manufacturing process of the semiconductor integrated circuit device of FIG. 39, which is subsequent to FIG. 43;

【図45】本発明の他の実施例である半導体製造装置の
説明図である。
FIG. 45 is an explanatory diagram of a semiconductor manufacturing apparatus that is another embodiment of the present invention.

【図46】本発明の他の実施例である半導体製造装置の
説明図である。
FIG. 46 is an explanatory diagram of a semiconductor manufacturing apparatus according to another embodiment of the present invention.

【符号の説明】[Explanation of symbols]

1A〜1F 半導体製造装置 2a 中央搬送部 2b 搬送部 3a ロードロック部 4a アンロード部 5a,5b,5c メインエッチング処理部 6a メインアッシング処理部 7a,7b サブエッチング処理部(第1サブエッチン
グ処理部) 7a1 マグネトロン発振機 7a2 ベント形導波管 7a3 プラズマ・エッチング処理部 7a4 放電管 7a5 下部電極 7a6 高周波電源 7a7 温調液供給管 7a8 排気口 7a9 電磁石 7a10 陰極 7a11 整合器 7a12 高周波電源 7a13 プラズマ・エッチング処理部 7a14 排気口 7a15 陽極 7a16 接地部 7a17 絶縁体 8a サブエッチング処理部 9a サブアッシング処理部 10 半導体基板 11p pウエル 11n nウエル 12p,12n チャネルストッパ層 13 フィールド絶縁膜 14p,14n 半導体領域 15 nチャネル形のMOS・FET 15a 半導体領域 15a1 n- 形半導体領域 15a2 n+ 形半導体領域 15b ゲート絶縁膜 15g ゲート電極 16 キャパシタ 16a 下部電極 16a1 〜16a3 フィン部 16b 上部電極 16c キャパシタ用絶縁膜 17 絶縁膜 18 絶縁膜 19a〜19f 絶縁膜 20a〜20e 接続孔 21 nチャネル形のMOS・FET 21a 半導体領域 21a1 n- 形半導体領域 21a2 n+ 形半導体領域 21b ゲート絶縁膜 21g ゲート電極 22 pチャネル形のMOS・FET 22a 半導体領域 22a1 p- 形半導体領域 22a2 p+ 形半導体領域 22b ゲート絶縁膜 22g ゲート電極 23 積層導体膜 23BL ビット線 23BL1 ビット線接続部 23L1 第1層配線 23L1a〜23L1c 導体膜 23L2 第2層配線 23L3 第3層配線 23L3a〜23L3c 導体膜 24a,24b フォトレジストパターン 25a,25b サイドフィルム(保護膜) 26 酸化膜 27 表面保護膜
1A to 1F Semiconductor manufacturing equipment 2a Central transfer section 2b Transfer section 3a Load lock section 4a Unload section 5a, 5b, 5c Main etching processing section 6a Main ashing processing section 7a, 7b Sub etching processing section (first sub etching processing section) 7a1 Magnetron Oscillator 7a2 Vent Waveguide 7a3 Plasma Etching Processing Section 7a4 Discharge Tube 7a5 Lower Electrode 7a6 High Frequency Power Supply 7a7 Temperature Control Liquid Supply Tube 7a8 Exhaust Port 7a9 Electromagnet 7a10 Cathode 7a11 Matching Machine 7a13 Plasma Processing Power Supply 7a11 7a14 Exhaust port 7a15 Anode 7a16 Ground part 7a17 Insulator 8a Sub-etching part 9a Sub-ashing part 10 Semiconductor substrate 11p p-well 11n n-well 12p, 12n Channel stopper layer 13 Field insulating film 14p, 14n Semi-conducting Region 15 n-channel type MOS · FET 15a semiconductor region 15a1 n - type semiconductor region 15a2 n + type semiconductor region 15b a gate insulating film 15g gate electrode 16 capacitors 16a lower electrode 16a1 ~16A3 fin portion 16b upper electrode 16c capacitor insulating film 17 insulating film 18 insulating film 19a~19f insulating film 20a~20e connection hole 21 n channel type MOS · FET 21a semiconductor region 21a1 n - type semiconductor region 21a2 n + type semiconductor region 21b a gate insulating film 21g gate electrode 22 p-channel type MOS · FET 22a semiconductor region 22a1 p - type semiconductor region 22a2 p + type semiconductor region 22b a gate insulating film 22g gate electrode 23 laminated conductor film 23BL bit line 23BL1 bit line connection unit 23L1 first layer wiring 23L1a~23L1c conductors 23L2 second layer wiring 23L3 third layer wiring 23L3a~23L3c conductive film 24a, 24b photoresist pattern 25a, 25b side film (protective film) 26 oxide film 27 surface protective film

───────────────────────────────────────────────────── フロントページの続き (72)発明者 鳥居 善三 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 西村 美智夫 茨城県稲敷郡美浦村木原2350 日本テキサ ス・インスツルメンツ株式会社内 (72)発明者 松井 剛 茨城県稲敷郡美浦村木原2350 日本テキサ ス・インスツルメンツ株式会社内 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Zenzo Torii 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Michio Nishimura 2350 Kihara, Miura-mura, Inashiki-gun, Ibaraki Japan Texas Instruments Incorporated (72) Inventor Go Matsui 2350 Kihara, Miura-mura, Inashiki-gun, Ibaraki Nihon Textus Instruments Co., Ltd.

Claims (19)

【特許請求の範囲】[Claims] 【請求項1】 被処理基板上に形成された被加工膜を加
工することにより、前記被処理基板上に所定のパターン
を形成するにあたり、以下の工程を有することを特徴と
するパターン形成方法。 (a)前記被加工膜上に所定形状のレジストパターンを
形成した後、前記レジストパターンをエッチングマスク
として前記被処理基板に対しドライエッチング処理を施
すことにより、前記レジストパターンに被覆されエッチ
ング除去されずに残されている被加工膜の側面に保護膜
を形成した状態で前記レジストパターンから露出する被
加工膜部分をエッチング除去する工程。 (b)前記レジストパターンを除去する工程。 (c)前記被処理基板に高周波基板バイアス電圧を印加
した状態でエッチングガスとしてO2 /SF6 、O2
CHF3 またはO2 /CF系のガスを用いたプラズマド
ライエッチング処理を施す工程。 (d)前記被処理基板に対してウエットエッチング処理
を施す工程。
1. A pattern forming method comprising the following steps in forming a predetermined pattern on a substrate to be processed by processing a film to be processed formed on a substrate to be processed. (A) After a resist pattern having a predetermined shape is formed on the film to be processed, a dry etching process is performed on the substrate to be processed using the resist pattern as an etching mask, so that the resist pattern is covered and is not removed by etching. A step of etching away a portion of the film to be processed exposed from the resist pattern in a state where a protective film is formed on the side surface of the film to be processed left over. (B) A step of removing the resist pattern. (C) O 2 / SF 6 , O 2 / O 2 as etching gas in the state where a high frequency substrate bias voltage is applied to the substrate to be processed.
A step of performing a plasma dry etching process using a CHF 3 or O 2 / CF gas. (D) A step of performing a wet etching process on the substrate to be processed.
【請求項2】 被処理基板上に形成された被加工膜を加
工することにより、前記被処理基板上に所定のパターン
を形成するためのパターン形成装置であって、以下の構
成部を有することを特徴とするパターン形成装置。 (a)前記被加工膜上に形成されたレジストパターンを
エッチングマスクとして、前記被処理基板に対してドラ
イエッチング処理を施すことにより、前記レジストパタ
ーンから露出する前記被加工膜部分をエッチング除去
し、前記所定のパターン形成するためのメインエッチン
グ処理部。 (b)前記被処理基板に高周波基板バイアス電圧を印加
した状態でエッチングガスとしてO2 /SF6 、O2
CHF3 またはO2 /CF系のガスを用いたプラズマド
ライエッチング処理を施すための第1サブエッチング処
理部。
2. A pattern forming apparatus for forming a predetermined pattern on a substrate to be processed by processing a film to be processed formed on the substrate to be processed, comprising: A pattern forming device. (A) dry etching the substrate to be processed by using the resist pattern formed on the film to be processed as an etching mask to etch away the film to be processed exposed from the resist pattern; A main etching processing part for forming the predetermined pattern. (B) said as an etching gas in a state of applying a high-frequency substrate bias voltage to the substrate to be processed O 2 / SF 6, O 2 /
A first sub-etching processing section for performing a plasma dry etching process using a CHF 3 or O 2 / CF gas.
【請求項3】 請求項2記載のパターン形成装置におい
て、前記レジストパターンをアッシング除去するための
アッシング処理部を設けたことを特徴とするパターン形
成装置。
3. The pattern forming apparatus according to claim 2, further comprising an ashing processing unit for ashing and removing the resist pattern.
【請求項4】 被処理基板上に形成された被加工膜を加
工することにより、前記被処理基板上に所定のパターン
を形成するためのパターン形成装置であって、以下の構
成部を有することを特徴とするパターン形成装置。 (a)前記被加工膜上に形成されたレジストパターンを
エッチングマスクとして、前記被処理基板に対してドラ
イエッチング処理を施すことにより、前記レジストパタ
ーンから露出する前記被加工膜部分をエッチング除去
し、前記所定のパターンを形成するためのメインエッチ
ング処理機能と、前記レジストパターンをアッシング除
去するためのアッシング処理機能とを備えた処理部。 (b)前記被処理基板に高周波基板バイアス電圧を印加
した状態でエッチングガスとしてO2 /SF6 、O2
CHF3 またはO2 /CF系のガスを用いたプラズマド
ライエッチング処理を施すための第1サブエッチング処
理部。
4. A pattern forming apparatus for forming a predetermined pattern on a substrate to be processed by processing a film to be processed formed on the substrate to be processed, comprising the following components. A pattern forming device. (A) dry etching the substrate to be processed by using the resist pattern formed on the film to be processed as an etching mask to etch away the film to be processed exposed from the resist pattern; A processing unit having a main etching processing function for forming the predetermined pattern and an ashing processing function for ashing and removing the resist pattern. (B) said as an etching gas in a state of applying a high-frequency substrate bias voltage to the substrate to be processed O 2 / SF 6, O 2 /
A first sub-etching processing section for performing a plasma dry etching process using a CHF 3 or O 2 / CF gas.
【請求項5】 被処理基板上に形成された被加工膜を加
工することにより、前記被処理基板上に所定のパターン
を形成するためのパターン形成装置であって、以下の構
成部を有することを特徴とするパターン形成装置。 (a)前記被加工膜上に形成されたレジストパターンを
エッチングマスクとして、前記被処理基板に対してドラ
イエッチング処理を施すことにより、前記レジストパタ
ーンから露出する前記被加工膜部分をエッチング除去
し、前記所定のパターンを形成するためのメインエッチ
ング処理部。 (b)前記レジストパターンをアッシング除去するため
のアッシング処理機能と、前記被処理基板に高周波基板
バイアス電圧を印加した状態でエッチングガスとしてO
2 /SF6 、O2 /CHF3 またはO2 /CF系のガス
を用いたプラズマドライエッチング処理を施すための第
1サブエッチング処理機能とを備えた処理部。
5. A pattern forming apparatus for forming a predetermined pattern on a substrate to be processed by processing a film to be processed formed on the substrate to be processed, comprising the following components. A pattern forming device. (A) dry etching the substrate to be processed by using the resist pattern formed on the film to be processed as an etching mask to etch away the film to be processed exposed from the resist pattern; A main etching processing unit for forming the predetermined pattern. (B) An ashing processing function for ashing and removing the resist pattern, and O as an etching gas in a state where a high frequency substrate bias voltage is applied to the substrate to be processed
A processing unit having a first sub-etching processing function for performing plasma dry etching processing using a 2 / SF 6 , O 2 / CHF 3 or O 2 / CF gas.
【請求項6】 請求項2〜5のいずれか1項に記載のパ
ターン形成装置において、前記被処理基板に対してウエ
ットエッチング処理を施すための第2サブエッチング処
理部を設けたことを特徴とするパターン形成装置。
6. The pattern forming apparatus according to claim 2, further comprising a second sub-etching unit for performing a wet etching process on the substrate to be processed. Pattern forming device.
【請求項7】 請求項2〜6のいずれか1項に記載のパ
ターン形成装置において、前記被処理基板を各処理部に
搬送するための搬送機能と、前記被処理基板を搬送する
搬送室内を真空状態に維持するための真空維持機能とを
有する搬送部を設けたことを特徴とするパターン形成装
置。
7. The pattern forming apparatus according to claim 2, wherein a transfer function for transferring the substrate to be processed to each processing section and a transfer chamber for transferring the substrate to be processed are provided. A pattern forming apparatus comprising: a transfer unit having a vacuum maintaining function for maintaining a vacuum state.
【請求項8】 請求項2〜7のいずれか1項に記載のパ
ターン形成装置において、前記高周波基板バイアス電圧
を100V〜300V印加可能な構造とし、前記第1サ
ブエッチング処理時における被処理基板の温度を0℃〜
80℃まで設定可能な構造とし、前記第1サブエッチン
グ処理時におけるエッチングガス中のSF6 、CHF3
またはCF系のガスの流量比を1〜25%に設定可能な
構造としたことを特徴とするパターン形成装置。
8. The pattern forming apparatus according to claim 2, wherein the high frequency substrate bias voltage has a structure capable of applying 100 V to 300 V, and the substrate to be processed at the time of the first sub-etching process. Temperature 0 ℃ ~
The structure can be set up to 80 ° C., and SF 6 , CHF 3 in the etching gas at the time of the first sub-etching process are used.
Alternatively, a pattern forming apparatus having a structure capable of setting a flow rate ratio of a CF-based gas to 1 to 25%.
【請求項9】 半導体基板上に形成された被加工膜を加
工することにより、前記半導体基板上に所定のパターン
を形成する際に、以下の工程を有することを特徴とする
半導体集積回路装置の製造方法。 (a)前記被加工膜上に所定形状のレジストパターンを
形成した後、前記レジストパターンをエッチングマスク
として前記半導体基板に対しドライエッチング処理を施
すことにより、前記レジストパターンに被覆されエッチ
ング除去されずに残される被加工膜の側面に保護膜を形
成した状態で前記レジストパターンから露出する被加工
膜部分をエッチング除去する工程。 (b)前記レジストパターンを除去する工程。 (c)前記半導体基板に高周波基板バイアス電圧を印加
した状態でエッチングガスとしてO2 /SF6 、O2
CHF3 またはO2 /CF系のガスを用いたプラズマド
ライエッチング処理を施す工程。 (d)前記半導体基板に対してウエットエッチング処理
を施す工程。
9. A semiconductor integrated circuit device comprising the following steps when forming a predetermined pattern on the semiconductor substrate by processing a film to be processed formed on the semiconductor substrate: Production method. (A) After a resist pattern having a predetermined shape is formed on the film to be processed, the semiconductor substrate is dry-etched using the resist pattern as an etching mask so that the resist pattern is covered and is not removed by etching. A step of etching away a portion of the processed film exposed from the resist pattern with a protective film formed on the side surface of the remaining processed film. (B) A step of removing the resist pattern. (C) O 2 / SF 6 , O 2 / as etching gas under the condition that a high frequency substrate bias voltage is applied to the semiconductor substrate.
A step of performing a plasma dry etching process using a CHF 3 or O 2 / CF gas. (D) A step of performing a wet etching process on the semiconductor substrate.
【請求項10】 請求項9記載の半導体集積回路装置の
製造方法において、前記被加工膜が導体膜であり、前記
所定のパターンが電極配線パターンであることを特徴と
する半導体集積回路装置の製造方法。
10. The method for manufacturing a semiconductor integrated circuit device according to claim 9, wherein the film to be processed is a conductor film and the predetermined pattern is an electrode wiring pattern. Method.
【請求項11】 請求項10記載の半導体集積回路装置
の製造方法において、前記導体膜が、高融点金属膜また
はそのシリサイド膜と、アルミニウム系金属膜と、高融
点金属膜またはそのシリサイド膜とを下層から順に堆積
してなる積層金属膜であることを特徴とする半導体集積
回路装置の製造方法。
11. The method of manufacturing a semiconductor integrated circuit device according to claim 10, wherein the conductor film comprises a refractory metal film or a silicide film thereof, an aluminum-based metal film, and a refractory metal film or a silicide film thereof. A method of manufacturing a semiconductor integrated circuit device, which is a laminated metal film formed by depositing layers in order from the lower layer.
【請求項12】 請求項9記載の半導体集積回路装置の
製造方法において、前記被加工膜が絶縁膜であり、前記
所定のパターンが接続孔パターンであることを特徴とす
る半導体集積回路装置の製造方法。
12. The method of manufacturing a semiconductor integrated circuit device according to claim 9, wherein the film to be processed is an insulating film and the predetermined pattern is a connection hole pattern. Method.
【請求項13】 半導体基板上に形成された被加工膜を
加工することにより、前記半導体基板上に所定のパター
ンを形成するための半導体製造装置であって、以下の構
成部を有することを特徴とする半導体製造装置。 (a)前記被加工膜上に形成されたレジストパターンを
エッチングマスクとして、前記半導体基板に対してドラ
イエッチング処理を施すことにより、前記レジストパタ
ーンから露出する前記被加工膜部分をエッチング除去
し、前記所定のパターン形成するためのメインエッチン
グ処理部。 (b)前記半導体基板に高周波基板バイアス電圧を印加
した状態でエッチングガスとしてO2 /SF6 、O2
CHF3 またはO2 /CF系のガスを用いたプラズマド
ライエッチング処理を施すための第1サブエッチング処
理部。
13. A semiconductor manufacturing apparatus for forming a predetermined pattern on a semiconductor substrate by processing a film to be processed formed on a semiconductor substrate, comprising the following components. Semiconductor manufacturing equipment. (A) A dry etching process is performed on the semiconductor substrate using the resist pattern formed on the processed film as an etching mask to etch away the processed film portion exposed from the resist pattern. A main etching processing unit for forming a predetermined pattern. (B) O 2 / SF 6 and O 2 / O 2 as etching gas with a high frequency substrate bias voltage applied to the semiconductor substrate
A first sub-etching processing section for performing a plasma dry etching process using a CHF 3 or O 2 / CF gas.
【請求項14】 請求項13記載の半導体製造装置にお
いて、前記レジストパターンをアッシング除去するため
のアッシング処理部を設けたことを特徴とする半導体製
造装置。
14. The semiconductor manufacturing apparatus according to claim 13, further comprising an ashing processing section for ashing and removing the resist pattern.
【請求項15】 半導体基板上に形成された被加工膜を
加工することにより、前記半導体基板上に所定のパター
ンを形成するための半導体製造装置であって、以下の構
成部を有することを特徴とする半導体製造装置。 (a)前記被加工膜上に形成されたレジストパターンを
エッチングマスクとして、前記半導体基板に対してドラ
イエッチング処理を施すことにより、前記レジストパタ
ーンから露出する前記被加工膜部分をエッチング除去
し、前記所定のパターンを形成するためのメインエッチ
ング処理機能と、前記レジストパターンをアッシング除
去するためのアッシング処理機能とを備えた処理部。 (b)前記半導体基板に高周波基板バイアス電圧を印加
した状態でエッチングガスとしてO2 /SF6 、O2
CHF3 またはO2 /CF系のガスを用いたプラズマド
ライエッチング処理を施すための第1サブエッチング処
理部。
15. A semiconductor manufacturing apparatus for processing a film to be processed formed on a semiconductor substrate to form a predetermined pattern on the semiconductor substrate, comprising the following components. Semiconductor manufacturing equipment. (A) A dry etching process is performed on the semiconductor substrate using the resist pattern formed on the processed film as an etching mask to etch away the processed film portion exposed from the resist pattern. A processing unit having a main etching processing function for forming a predetermined pattern and an ashing processing function for ashing and removing the resist pattern. (B) O 2 / SF 6 and O 2 / O 2 as etching gas with a high frequency substrate bias voltage applied to the semiconductor substrate
A first sub-etching processing section for performing a plasma dry etching process using a CHF 3 or O 2 / CF gas.
【請求項16】 半導体基板上に形成された被加工膜を
加工することにより、前記半導体基板上に所定のパター
ンを形成するための半導体製造装置であって、以下の構
成部を有することを特徴とする半導体製造装置。 (a)前記被加工膜上に形成されたレジストパターンを
エッチングマスクとして、前記半導体基板に対してドラ
イエッチング処理を施すことにより、前記レジストパタ
ーンから露出する前記被加工膜部分をエッチング除去
し、前記所定のパターンを形成するためのメインエッチ
ング処理部。 (b)前記レジストパターンをアッシング除去するため
のアッシング処理機能と、前記半導体基板に高周波基板
バイアス電圧を印加した状態でエッチングガスとしてO
2 /SF6 、O2 /CHF3 またはO2 /CF系のガス
を用いたプラズマドライエッチング処理を施すための第
1サブエッチング処理機能とを備えた処理部。
16. A semiconductor manufacturing apparatus for processing a film to be processed formed on a semiconductor substrate to form a predetermined pattern on the semiconductor substrate, comprising the following components. Semiconductor manufacturing equipment. (A) A dry etching process is performed on the semiconductor substrate using the resist pattern formed on the processed film as an etching mask to etch away the processed film portion exposed from the resist pattern. A main etching processing part for forming a predetermined pattern. (B) An ashing treatment function for ashing and removing the resist pattern, and O as an etching gas in a state where a high frequency substrate bias voltage is applied to the semiconductor substrate.
A processing unit having a first sub-etching processing function for performing plasma dry etching processing using a 2 / SF 6 , O 2 / CHF 3 or O 2 / CF gas.
【請求項17】 請求項13〜16のいずれか1項に記
載の半導体製造装置において、前記半導体基板に対して
ウエットエッチング処理を施すための第2サブエッチン
グ処理部を設けたことを特徴とする半導体製造装置。
17. The semiconductor manufacturing apparatus according to claim 13, further comprising a second sub-etching unit for performing a wet etching process on the semiconductor substrate. Semiconductor manufacturing equipment.
【請求項18】 請求項13〜17のいずれか1項に記
載の半導体製造装置において、前記半導体基板を各処理
部に搬送するための搬送機能と、前記半導体基板を搬送
する搬送室内を真空状態に維持するための真空維持機能
を有する搬送部を設けたことを特徴とする半導体製造装
置。
18. The semiconductor manufacturing apparatus according to claim 13, wherein a transfer function for transferring the semiconductor substrate to each processing section and a transfer chamber for transferring the semiconductor substrate are in a vacuum state. A semiconductor manufacturing apparatus characterized in that a transfer section having a vacuum maintaining function for maintaining the above is provided.
【請求項19】 請求項13〜18のいずれか1項に記
載の半導体製造装置において、前記高周波基板バイアス
電圧を100V〜300V印加可能な構造とし、前記第
1サブエッチング処理時における半導体基板の温度を0
℃〜80℃まで設定可能な構造とし、前記第1サブエッ
チング処理時におけるエッチングガス中のSF6 、CH
3 またはCF系のガスの流量比を1〜25%に設定可
能な構造としたことを特徴とする半導体製造装置。
19. The semiconductor manufacturing apparatus according to claim 13, wherein the high-frequency substrate bias voltage has a structure capable of applying 100 V to 300 V, and the temperature of the semiconductor substrate during the first sub-etching process. 0
° C. and configurable structure to ~80 ℃, SF 6, CH etching gas during the first sub-etching process
A semiconductor manufacturing apparatus having a structure capable of setting a flow rate ratio of F 3 or CF type gas to 1 to 25%.
JP7019436A 1995-02-07 1995-02-07 Pattern forming method, pattern forming equipment, manufacture of semiconductor integrated circuit device, and semiconductor manufacturing equipment Pending JPH08213366A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP7019436A JPH08213366A (en) 1995-02-07 1995-02-07 Pattern forming method, pattern forming equipment, manufacture of semiconductor integrated circuit device, and semiconductor manufacturing equipment
KR1019960002759A KR960032088A (en) 1995-02-07 1996-02-06 Pattern forming method and apparatus thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7019436A JPH08213366A (en) 1995-02-07 1995-02-07 Pattern forming method, pattern forming equipment, manufacture of semiconductor integrated circuit device, and semiconductor manufacturing equipment

Publications (1)

Publication Number Publication Date
JPH08213366A true JPH08213366A (en) 1996-08-20

Family

ID=11999245

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7019436A Pending JPH08213366A (en) 1995-02-07 1995-02-07 Pattern forming method, pattern forming equipment, manufacture of semiconductor integrated circuit device, and semiconductor manufacturing equipment

Country Status (2)

Country Link
JP (1) JPH08213366A (en)
KR (1) KR960032088A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003518768A (en) * 1999-12-27 2003-06-10 ラム リサーチ コーポレーション In-situ post-etching process to remove residual photoresist and residual sidewall passivation
CN100442473C (en) * 2005-03-25 2008-12-10 恩益禧电子股份有限公司 Method for manufacturing semiconductor device
JP2016111033A (en) * 2014-12-02 2016-06-20 東京エレクトロン株式会社 Method performing ashing
CN111989766A (en) * 2018-07-04 2020-11-24 东京毅力科创株式会社 Etching method and substrate processing apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003518768A (en) * 1999-12-27 2003-06-10 ラム リサーチ コーポレーション In-situ post-etching process to remove residual photoresist and residual sidewall passivation
JP2012023385A (en) * 1999-12-27 2012-02-02 Lam Res Corp In-situ post etch process to remove remaining photoresist and residual sidewall passivation
CN100442473C (en) * 2005-03-25 2008-12-10 恩益禧电子股份有限公司 Method for manufacturing semiconductor device
US7786005B2 (en) 2005-03-25 2010-08-31 Nec Electronics Corporation Method for manufacturing semiconductor device to form a via hole
JP2016111033A (en) * 2014-12-02 2016-06-20 東京エレクトロン株式会社 Method performing ashing
CN111989766A (en) * 2018-07-04 2020-11-24 东京毅力科创株式会社 Etching method and substrate processing apparatus

Also Published As

Publication number Publication date
KR960032088A (en) 1996-09-17

Similar Documents

Publication Publication Date Title
US5942446A (en) Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6168726B1 (en) Etching an oxidized organo-silane film
US7799693B2 (en) Method for manufacturing a semiconductor device
US7687446B2 (en) Method of removing residue left after plasma process
US7432209B2 (en) Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US20060128159A1 (en) Method of removing etch residues
EP1192506A1 (en) Photoresist removal process using forming gas plasma
JP3015767B2 (en) Semiconductor device manufacturing method and semiconductor device
US5792672A (en) Photoresist strip method
US6268274B1 (en) Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US20040127002A1 (en) Method of forming metal line in semiconductor device
US20020094695A1 (en) Method of dry etching organic SOG film
KR100500932B1 (en) Method of dry cleaning and photoresist strip after via contact etching
US6645852B1 (en) Process for fabricating a semiconductor device having recess portion
JP4910231B2 (en) Manufacturing method of semiconductor device
US7253124B2 (en) Process for defect reduction in electrochemical plating
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
JP2004363558A (en) Manufacturing method of semiconductor device, and cleaning method of plasma etching device
US20020177329A1 (en) Surface densification of low dielectric constant film
JP3440735B2 (en) Dry etching method
JPH08213366A (en) Pattern forming method, pattern forming equipment, manufacture of semiconductor integrated circuit device, and semiconductor manufacturing equipment
US6756315B1 (en) Method of forming contact openings
US6399497B2 (en) Semiconductor manufacturing process and semiconductor device
US20070218697A1 (en) Method for removing polymer from wafer and method for removing polymer in interconnect process
US7144822B1 (en) High density plasma process for optimum film quality and electrical results