JPH08161389A - Circuit simulating method and circuit simulator - Google Patents

Circuit simulating method and circuit simulator

Info

Publication number
JPH08161389A
JPH08161389A JP7194467A JP19446795A JPH08161389A JP H08161389 A JPH08161389 A JP H08161389A JP 7194467 A JP7194467 A JP 7194467A JP 19446795 A JP19446795 A JP 19446795A JP H08161389 A JPH08161389 A JP H08161389A
Authority
JP
Japan
Prior art keywords
wiring
capacitance
circuit
output terminal
delay time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP7194467A
Other languages
Japanese (ja)
Inventor
Hideaki Endo
英明 遠藤
Shigeharu Ohira
茂晴 大平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP7194467A priority Critical patent/JPH08161389A/en
Publication of JPH08161389A publication Critical patent/JPH08161389A/en
Withdrawn legal-status Critical Current

Links

Abstract

PURPOSE: To more correctly simulate delay time by calculating the delay time by using the ratio of length of wiring to a branched destination node and the total length of a tree to constitute an RC net and the total length of the three. CONSTITUTION: Three pieces of the branched destination nodes N11 to N13 are connected to the output terminal (drive node) N1 of a circuit cell 5. About the drive node N1 of each circuit cell, the total length lT of the three to constitute the RC net and the wiring length l1k to each branched destination N11 to N13 (N1k ) are read out of the data base of prelayout or postlayout. Then, about each branched destination node N1 , Rw is determined from an expression Rw =l1k /lT. Since the ratio Rw of the wiring length l1k to each branched destination N1k and the total length lT of the three calculated by this Rw calculation represents quantitatively the feature of the delay time of the wiring, the delay time is calculated by using this Rw and the total length lT of the three.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、LSI(Large Scale I
ntegated Circuit) 設計用のCAD(Computer Aided De
sign system)等における、回路シミュレーション方法及
び回路シミュレータに関するものである。
The present invention relates to an LSI (Large Scale I
CAD (Computer Aided Decoder) for ntegated circuit design
The present invention relates to a circuit simulation method and a circuit simulator in a sign system).

【0002】[0002]

【従来の技術】従来、このような分野の技術としては、
例えば、次のような文献に記載されるものがあった。 文献1;特開平04−205661号公報 文献2;西久保著、“回路シミュレータSPICE入
門”、日本工業技術センター、P.16 文献3;東芝レビュー、49[11](1994)、浦
田,高吉,山田“0.4μmゲートアレイ TC200
Gシリーズ”P.779-782 図2は、従来の回路シミュレーション方法を示すフロー
チャートである。図2を参照しつつ、従来の回路シミュ
レーション方法の説明をする。ステップS1において、
ネットリストの回路セルの出力端子とこの出力端子と分
岐先ノードとを接続するネットの抵抗及び容量をファイ
ルより入力する。ステップS2において、ラプラス変換
を応用した代数演算方法により分岐先ノード迄の遅延時
間(以下、tpdと呼ぶ)を算出する。ステップS3に
おいて、ステップ2で算出されたtpdをファイルに出
力する。このファイルに出力されたtpdはタイミング
検証部によって用いられる。また、前記文献1は、配線
部分を1つのセルとして扱い、タイミング検証の精度を
上げるものである。即ち、複雑分岐な配線による遅延時
間の変化を単なる容量性負荷によるものとしている。こ
れは、回路セルのノードに1つの遅延時間を持たすこと
では、今の微細なLSIに必要な精度で、タイミング検
証を行えなくなっているからである。
2. Description of the Related Art Conventionally, techniques in such a field include:
For example, some documents were described in the following documents. Reference 1: Japanese Patent Application Laid-Open No. 04-205661 Reference 2: Nishikubo, "Introduction to Circuit Simulator SPICE", Japan Industrial Technology Center, P. 16 Reference 3; Toshiba Review, 49 [11] (1994), Urata, Takayoshi, Yamada “0.4 μm gate array TC200.
G series "P.779-782 Fig. 2 is a flowchart showing a conventional circuit simulation method. The conventional circuit simulation method will be described with reference to Fig. 2. In step S1,
The output terminal of the circuit cell of the netlist and the resistance and capacitance of the net connecting this output terminal and the branch destination node are input from a file. In step S2, a delay time to the branch destination node (hereinafter referred to as tpd) is calculated by an algebraic calculation method applying the Laplace transform. In step S3, the tpd calculated in step 2 is output to a file. The tpd output to this file is used by the timing verification unit. Further, in the above-mentioned document 1, the wiring portion is treated as one cell to improve the accuracy of timing verification. That is, the change in the delay time due to the complicated branch wiring is simply due to the capacitive load. This is because having one delay time in the node of the circuit cell makes it impossible to perform the timing verification with the accuracy required for the current minute LSI.

【0003】図3は、前記文献2に記載された回路シミ
ュレータのネットリストに記述する容量の記述方法を示
す図である。図中、13,0はノード番号、1はノード
番号13と0との間に1μFのキャパシタC0001が
あることが定義されている。従来の回路シミュレータで
は、レイアウトから抽出されたネットリストのノード間
に1種類の図3に示す容量の指定方法に従って、指定さ
れていた。図4は、従来のルックアップテーブルを用い
た回路シミュレーション方法を示す図である。一般に、
ゲートアレイで代表される特定用途向けIC(以下、A
SICという)の開発環境では、必ずしもLSIのレイ
アウト等に精通せずとも回路設計が簡単に行えるよう
に、内部回路に対する負荷を容量性負荷として扱い、遅
延特性を評価する。文献3には、ルックアップテーブル
を用いて遅延特性を評価する回路シミュレーション方法
が示されている。この回路シミュレーション方法では、
高精度化に対応するために、容量性負荷の大きさCn
(n=0〜3)と入力波形のなまりSn(n=0〜3)
とを組合わせて、回路シミュレーション(遅延計算)を
行なっていた。
FIG. 3 is a diagram showing a method of describing the capacity described in the netlist of the circuit simulator described in the above reference 2. In the figure, 13,0 is defined as a node number, and 1 is defined as having a 1 μF capacitor C0001 between the node numbers 13 and 0. In the conventional circuit simulator, the netlists extracted from the layout are designated according to the one capacitance designation method shown in FIG. FIG. 4 is a diagram showing a circuit simulation method using a conventional look-up table. In general,
ICs for specific applications represented by gate arrays (hereinafter referred to as A
In the development environment of (SIC), the load on the internal circuit is treated as a capacitive load and the delay characteristics are evaluated so that the circuit design can be easily performed without necessarily being familiar with the layout of the LSI. Reference 3 shows a circuit simulation method for evaluating delay characteristics using a look-up table. In this circuit simulation method,
In order to correspond to high precision, the size of capacitive load Cn
(N = 0 to 3) and rounded input waveform Sn (n = 0 to 3)
The circuit simulation (delay calculation) was performed by combining and.

【0004】[0004]

【発明が解決しようとする課題】しかしながら、従来の
回路シミュレーション方法及び回路シミュレータでは、
次の(a)〜(f)ような課題があった。 (a)LSI内におけるクロックラインのように10m
mを越えるような信号配線においては、その長い配線の
近端部では、代数演算方法により算出された遅延時間は
実遅延時間よりも遅くなり、逆に遠端部では、実遅延時
間よりも速くなり過ぎるという誤差を生じていた。ま
た、この誤差の度合いは、サブミクロン世代のMOS型
ロジックLSIのフリップ・フロップのセットアップ・
ホールドタイムの値に照らし合わせても無視できるもの
では無くなってきた。また、多岐に分岐したレイアウト
においては、分岐先ノードの片寄り等に十分追随して精
度を上げることは難しかった。 (b)分岐先ノードの分布に特徴がありその全てのノー
ドに対して遅延時間を算出する必要の無い場合でも、そ
のノードの片寄り、分布の定量化とこれに基づく層別が
行えないために全分岐先ノードに対して遅延時間の計算
を一律に行っていた。そのため、無駄な回路シミュレー
ションを行っていた。 (c)前記文献1に記載されているように、回路セルと
組み合わせてタイミング検証をするには、自明の論理結
果“真”と遅延時間の両方のデータを持たす、即ち配線
セルを回路セルと同等のセルとして扱わなければなら
ず、大規模なLSIでは、複雑な配線形態に対応してこ
の配線セルのデータ量は自明の論理を表すための論理式
あるいはその論理結果も含めて多量となり、コンピュー
タのデータ処理は過負荷となり、問題であった。 (d)接続される回路セルの遅延時間は、一般に入力端
子毎に異なる。この場合、配線部分の遅延時間も対応し
て異なる。しかし、回路セルと配線セルの2つにタイミ
ング検証対象を分けてしまうと、こうした情報を受け渡
すインターフェースを考慮する必要があり、コンピュー
タでのデータ処理には過負荷となり問題であった。
However, in the conventional circuit simulation method and circuit simulator,
There were the following problems (a) to (f). (A) 10m like clock line in LSI
In the signal wiring that exceeds m, the delay time calculated by the algebraic calculation method is slower than the actual delay time at the near end of the long wiring, and conversely, faster than the actual delay time at the far end. There was an error that it became too much. In addition, the degree of this error depends on the setup of the flip-flop of the submicron generation MOS type logic LSI.
Compared to the hold time value, it is no longer negligible. Also, in a layout that has various branches, it is difficult to improve the accuracy by sufficiently following the deviation of the branch destination node. (B) Even if there is a feature in the distribution of branch destination nodes and it is not necessary to calculate the delay time for all the nodes, deviation of the nodes, quantification of the distribution, and stratification based on this cannot be performed. In addition, the delay time is uniformly calculated for all branch destination nodes. Therefore, useless circuit simulation is performed. (C) As described in Document 1, in order to perform timing verification in combination with a circuit cell, data of both the self-evident logical result “true” and the delay time are provided, that is, the wiring cell is regarded as the circuit cell. It must be treated as an equivalent cell, and in a large-scale LSI, the data amount of this wiring cell becomes large, including a logical expression for expressing an obvious logic or its logical result, in response to a complicated wiring form. Computer data processing was overloaded and was a problem. (D) The delay time of the connected circuit cells generally differs for each input terminal. In this case, the delay time of the wiring portion also differs correspondingly. However, if the timing verification target is divided into two, that is, a circuit cell and a wiring cell, it is necessary to consider an interface for transferring such information, which causes a problem in that the data processing by the computer becomes overloaded.

【0005】(e)従来の回路シミュレータでは、配線
と基板あるいは上下の配線間の容量や隣接する配線間に
生じる寄生効果(フリンジング効果)を含む容量など
を、配線部以外の容量と識別できず、LSI配線固有の
容量値を反映した精度の高い回路シミュレーションの実
行は困難であった。特に、LSIレイアウトデータより
回路シミュレーション用のネットリストを抽出し、これ
を用いて回路シミュレータによるタイミング検証を行う
LSI設計手法においては、回路シミュレータ側でネッ
トリストに記述された容量に対して、LSI配線の寄生
効果の種類に対応して、記述された容量の内容を補正す
る手段が無かった。また、隣接配線、上層配線に対応し
て着目配線のネットリストのノードの一つの容量を寄生
効果の種類に対応して他のネットリストのノードに割り
付けし直す手段も無かった。 (f)開発対象となる微細なLSIの中には、文献3の
ように、回路負荷として単純な容量性負荷Cnの1項目
を使用するだけでは、十分な回路シミュレーションを行
なえないものがあった。例えば、配線構造の多層化の進
むASICでは、配線パターンレイアウトに関わる抵値
抗及容量値の寄生負荷効果を十分に表せるとは言い難
い。そのため、レイアウト前後におけるタイミング検証
で、必ずしも、予想どおり両者の遅延時間が適合するも
のではなかった。適合しなかった場合には、配線パター
ンレイアウトのやり直しをする必要がある。即ち、技術
的、コスト的、及び納期的に満足のできるものではなか
った。
(E) In the conventional circuit simulator, the capacitance between the wiring and the substrate or the upper and lower wirings and the capacitance including the parasitic effect (fringing effect) generated between the adjacent wirings can be distinguished from the capacitance other than the wiring portion. Therefore, it is difficult to execute a highly accurate circuit simulation that reflects the capacitance value specific to the LSI wiring. In particular, in an LSI design method in which a netlist for circuit simulation is extracted from LSI layout data and the timing is verified by a circuit simulator using this, LSI wiring is performed for the capacity described in the netlist on the circuit simulator side. There is no means to correct the content of the described capacitance in accordance with the type of parasitic effect of. Further, there is no means for reallocating one capacitance of the netlist node of the target wiring corresponding to the adjacent wiring or the upper layer wiring to the node of another netlist corresponding to the type of the parasitic effect. (F) Some of the minute LSIs to be developed cannot perform a sufficient circuit simulation by using only one item of the simple capacitive load Cn as the circuit load, as in Reference 3. . For example, it is hard to say that in an ASIC in which the wiring structure is multi-layered, the parasitic load effect of the resistance value and the capacitance value related to the wiring pattern layout can be sufficiently expressed. Therefore, the timing verification before and after the layout did not always match the delay time of both as expected. If they do not match, it is necessary to redo the wiring pattern layout. In other words, it was not satisfactory in terms of technology, cost and delivery.

【0006】[0006]

【課題を解決するための手段】第1の発明は、前記課題
を解決するために、回路セルの出力端子と該出力端子に
接続された分岐先ノード迄の信号伝搬の遅延時間を算出
する回路シミュレーション方法において、以下の処理を
実行するようにしている。即ち、前記回路セルの出力端
子と該出力端子に接続された各分岐先ノード迄の配線長
と前記出力端子に接続されたRCネットを構成する木
(トリー)の全長との比RW を算出するRW 算出処理
と、前記RW 算出処理により算出されたRW 及び前記木
の全長を用いて前記遅延時間を算出する遅延時間算出処
理とを、実行するようにしている。第2の発明は、第1
の発明と同様の回路シミュレーション方法において、以
下の処理を実行するようにしている。即ち、第1の発明
の回路セルの出力端子と該出力端子に接続された各分岐
先ノード迄の配線長と前記出力端子に接続されたRCネ
ットを構成する木(トリー)の全長との比RW を算出す
るRW 算出処理と、前記RW 算出処理により算出された
W を統計量として統計的手法により、前記出力端子に
接続された分岐先ノードをクラスタリングするクラスタ
リング処理と、前記クラスタリングされた各クラスを代
表する分岐先ノード迄の遅延時間を算出する遅延時間算
出処理とを、実行するようにしている。
In order to solve the above-mentioned problems, a first invention is a circuit for calculating a delay time of signal propagation between an output terminal of a circuit cell and a branch destination node connected to the output terminal. In the simulation method, the following processing is executed. That is, the ratio R W between the output terminal of the circuit cell, the wiring length to each branch destination node connected to the output terminal, and the total length of the tree that constitutes the RC net connected to the output terminal is calculated. and R W calculation processing for, and a delay time calculation process for calculating the delay time by using the entire length of the R W and the tree calculated by the R W calculation processing, so as to execute. The second invention is the first
In the same circuit simulation method as that of the above invention, the following processing is executed. That is, the ratio of the output terminal of the circuit cell of the first invention, the wiring length to each branch destination node connected to the output terminal, and the total length of the tree that constitutes the RC net connected to the output terminal. and R W calculation processing of calculating the R W, the statistical method of R W calculated by the R W calculation processing as statistics, and clustering process for clustering the connected branch destination node to said output terminal, said clustering The delay time calculation processing for calculating the delay time to the branch destination node representing each class is executed.

【0007】第3の発明は、第1の発明と同様の回路シ
ミュレーション方法において、以下の処理を実行するよ
うにしている。回路セルの出力端子と該出力端子に接続
された各分岐先ノード迄の配線長と前記出力端子に接続
されたRCネットを構成する木(トリー)の全長との比
W を算出するRW 算出処理と、前記木の全長が閾値よ
りも小さいときに前記木の全長に対応する遅延時間を算
出する第1の遅延時間算出処理と、前記出力端子に接続
された分岐先ノードの数が前記RW を確率変数として扱
うことができない数であれば、前記各分岐先ノード迄の
遅延時間を算出する第2の遅延時間算出処理と、前記R
W のばらつきが小さいときに前記RW の平均に対応する
遅延時間を算出する第3の遅延時間算出処理とを実行す
る。そして、前記RW の分布が離散型のときにRW で均
等に前記分岐先ノードをクラスタリングする第1のクラ
スタリング処理と、前記RW の分布がランダムな分布で
あるときに、前記RW の標準偏差により前記分岐先ノー
ドをクラスタリングする第2のクラスタリング処理と、
前記RW の分布が離散型及びランダムでない場合は、前
記RW の分布の各山の分布に基づいて前記分岐先ノード
をクラスタリングする第3のクラスタリング処理と、前
記第1,第2又は第3のクラスタリング処理によってク
ラスタリングされた各クラスの代表の遅延時間を算出す
る第4の遅延時間算出処理とを、実行する。
According to a third aspect of the invention, in the circuit simulation method similar to that of the first aspect, the following processing is executed. R W calculating the ratio R W of the total length of the tree constituting an RC net connected to the output terminal and the wiring length to each branch destination node connected to the output terminal and the output terminal of the circuit cell (tree) A calculation process; a first delay time calculation process for calculating a delay time corresponding to the total length of the tree when the total length of the tree is smaller than a threshold; and the number of branch destination nodes connected to the output terminal If R W is a number that cannot be treated as a random variable, a second delay time calculation process for calculating the delay time to each branch destination node, and R
When the variation of W is small, a third delay time calculation process for calculating the delay time corresponding to the average of R W is executed. Then, the a first clustering process for clustering evenly the branch destination node R W when the distribution of R W is a discrete, when the distribution of the R W is a random distribution, the R W A second clustering process for clustering the branch destination nodes according to the standard deviation;
When the distribution of R W is neither discrete type nor random, a third clustering process of clustering the branch destination nodes based on the distribution of each mountain of the distribution of R W , and the first, second, or third And a fourth delay time calculation process for calculating the delay time of the representative of each class clustered by the clustering process of.

【0008】第4の発明は、第1の発明と同様の回路シ
ミュレーション方法において、以下の処理を実行するよ
うにしている。即ち、回路セルの出力端子と該出力端子
に接続された各分岐先ノード迄の配線長と前記出力端子
に接続されたRCネットを構成する木(トリー)の全長
との比RW を算出するRW 算出処理と、前記出力端子に
接続された分岐先ノード迄の遅延時間をラプラス変換を
応用した代数演算方法で算出する代数演算処理と、前記
代数演算処理により算出した遅延時間を前記RW に基づ
いて補正する遅延時間補正処理とを、実行するようにし
ている。第5の発明は、第4の発明の遅延時間補正処理
は、前記代数演算処理により算出された遅延時間を、前
記総配線長及び前記RW に基づく変数量を説明変数の要
素として、前記RW が小さいときと大きいときの誤差が
小さくなるように重回帰式により算出した式(3)の補
正値Y1 または(4)の補正値Y2 で割り算して補正す
るようにしている。 Y1 =a1 +b1 T +c1 /RW ・・・(3) Y2 =a2 +b2 (RW −c2 )lT /RW ・・・(4) ここで、a1 ,b1 ,c1 ,a2 ,b2 ,c2 はパラメ
ータであり、c2 は0を越え、1未満の値、lT は前記
木の全長である。
According to a fourth aspect of the present invention, in the circuit simulation method similar to that of the first aspect, the following processing is executed. That is, the ratio R W of the output terminal of the circuit cell, the wiring length to each branch destination node connected to the output terminal, and the total length of the tree that constitutes the RC net connected to the output terminal is calculated. R W calculation processing, an algebraic calculation processing for calculating the delay time to the branch destination node connected to the output terminal by an algebraic calculation method applying Laplace transform, and a delay time calculated by the algebraic calculation processing for the R W The delay time correction process for correcting the delay time is executed. A fifth aspect of the present invention is the delay time correction process of the fourth aspect of the invention, wherein the delay time calculated by the algebraic calculation process is used as the explanatory variable element based on the variable amount based on the total wiring length and the R W. In order to reduce the error when W is small and when W is large, the correction is performed by dividing by the correction value Y 1 of the equation (3) or the correction value Y 2 of the equation (4) calculated by the multiple regression equation. Y 1 = a 1 + b 1 l T + c 1 / R W ··· (3) Y 2 = a 2 + b 2 (R W -c 2) l T / R W ··· (4) where, a 1 , B 1 , c 1 , a 2 , b 2 , c 2 are parameters, c 2 is a value greater than 0 and less than 1, and l T is the total length of the tree.

【0009】第6の発明は、第1の発明と同様の回路シ
ミュレーション方法において、以下の処理を実行するよ
うにしている。即ち、回路セルの出力端子に接続された
前記出力端子に接続された分岐先ノード迄の配線長と前
記出力端子に接続されたRCネットを構成する木(トリ
ー)の全長との比RW について、レイアウトの修正前・
後の双方のRW を入力するRW入力処理と、修正前・後
の前記RW のそれぞれ平均値及び修正前・後の前記RW
のそれぞれの分散値とがそれぞれ等しいものであるとの
仮説が成り立つかどうかを統計的手法により検定する検
定処理と、前記検定処理の検定結果が前記平均値と分散
値の少なくとも一方が異なるものとの結果である時のみ
修正後のレイアウトの回路セルについて分岐先ノード迄
の遅延時間を算出する遅延時間算出処理とを、実行する
ようにしている。
According to a sixth aspect of the invention, in the circuit simulation method similar to that of the first aspect, the following processing is executed. That is, the ratio R W of the wiring length to the branch destination node connected to the output terminal connected to the output terminal of the circuit cell and the total length of the tree forming the RC net connected to the output terminal , Before modification of layout
Wherein R W respectively mean and modifications before after-between R W input process of inputting both R W, unmodified after-the R W after
And a test process for testing whether or not the hypothesis that the respective variance values are equal to each other is satisfied by a statistical method, and the test result of the test process is that at least one of the mean value and the variance value is different. Only when the result is, the delay time calculation processing for calculating the delay time to the branch destination node is executed for the circuit cell of the corrected layout.

【0010】第7の発明は、第5の発明の検定処理は、
前記修正前・後のRW が正規分布であれば、修正前・後
のRW の平均値を正規分布、修正前・後のRW の分散値
をカイ2乗分布に従うとして検定する正規分布検定処理
と、前記修正前・後のRW が正規分布でなければ、修正
前・後のRW の平均値をt分布、修正前・後のRW の分
散値をF分布に従うとして検定する非正規分布検定処理
とで、構成している。第8の発明は、第1の発明と同様
の回路シミュレーション方法において、第1の発明の回
路セルの出力端子と分岐先ノード迄の配線を含む論理
“真”のみを出力する基本ゲートによる遅延時間の遅延
データを、前記回路セルの出力端子または入力端子に対
応して配列として持たせるようにしている。第9の発明
は、第8の発明の回路セルの出力端子毎に複数の前記遅
延データを持たせるようにしている。第10の発明は、
第9の発明において、回路セルの出力端子と該出力端子
に接続された各分岐先ノード迄の配線長と前記出力端子
に接続されたRCネットを構成する木(トリー)の全長
との比RW に基づく前記分岐先ノードのクラスタリング
により前記複数の遅延データを持たせるようにしてい
る。第11の発明は、第8〜10の発明の遅延データ
に、前記回路セルの入力端子の依存性を持たせるように
している。
A seventh aspect of the invention is to carry out the verification process of the fifth aspect of the invention.
If R W is normally distributed after the pre-correction-normal distribution to test the average value of R W of the corrected front and as follows a normal distribution, chi-square distribution variance values of R W of the corrected front and and test process, if not R W is normally distributed after the modified front and, assaying mean a t distribution R W of the modified front and, the dispersion value of R W of the corrected front and as a follow F distribution It is composed of non-normal distribution test processing. An eighth invention is a circuit simulation method similar to the first invention, wherein a delay time by a basic gate that outputs only a logical "true" including an output terminal of a circuit cell of the first invention and a wiring to a branch destination node. The delay data of 1 is provided as an array corresponding to the output terminal or the input terminal of the circuit cell. In a ninth aspect of the invention, a plurality of the delay data are provided for each output terminal of the circuit cell of the eighth aspect. The tenth invention is
In the ninth invention, a ratio R of an output terminal of a circuit cell, a wiring length to each branch destination node connected to the output terminal, and a total length of a tree forming an RC net connected to the output terminal By clustering the branch destination nodes based on W , the plurality of delay data are provided. In an eleventh aspect of the invention, the delay data of the eighth to the tenth aspects of the invention are made to have the dependency of the input terminal of the circuit cell.

【0011】第12の発明は、第8〜11の発明の遅延
データに、前記回路セルの温度依存性を持たせるように
している。第13の発明は、回路のレイアウトにより抽
出されたネットリストに基づいて、タイミング検証を行
う回路シミュレータにおいて、以下の構成にしている。
即ち、前記ネットリストを入力するネットリスト入力部
と、前記ネットリストで定義されたノードと前記レイア
ウト上の配線との対応関係とノード間の位置関係とノー
ドの配線の面積と配線の周囲長とを入力するノード情報
入力部と、どの配線と基板間またはどの配線間に容量割
付を行うかを指定する容量割付方法を入力する割付方法
入力部と、前記ノード情報入力部によって入力したノー
ドと配線の対応関係とノード間の位置関係に基づいて、
前記容量割付方法で指定された着目配線の単位面積当た
りの平板容量のパラメータと単位長当たりフリンジング
容量のパラメータを配線容量パラメータファイルから入
力する配線容量選択部と、前記配線容量選択部によって
選択された配線容量パラメータと前記ノード情報入力部
によって入力された着目配線の面積と配線の周囲の長さ
に基づいて、配線の容量を算出して、その容量を配線に
割り付ける容量算出/割付部と、前記容量算出/割付部
によって割り付けられた配線の容量を前記配線のネット
リスト上の対応するノードに書き込む容量書き込み部と
を、設けている。
In a twelfth aspect of the invention, the delay data of the eighth to eleventh aspects is made to have temperature dependency of the circuit cell. A thirteenth invention has the following configuration in a circuit simulator that performs timing verification based on a netlist extracted by a circuit layout.
That is, the netlist input section for inputting the netlist, the correspondence between the nodes defined in the netlist and the wiring on the layout, the positional relationship between the nodes, the wiring area of the node, and the perimeter of the wiring. A node information input section for inputting, and an allocation method input section for inputting a capacity allocation method for designating which wiring and board or between which wiring, and the node and wiring input by the node information input section. Based on the correspondence of and the positional relationship between nodes,
A wiring capacitance selection unit for inputting a parameter of a flat plate capacitance per unit area and a parameter of a fringing capacitance per unit length of a target wiring specified by the capacitance allocation method from a wiring capacitance parameter file, and is selected by the wiring capacitance selection unit. A wiring capacitance parameter, and a capacitance calculation / allocation unit that calculates the capacitance of the wiring based on the area of the wiring of interest and the length of the circumference of the wiring input by the node information input unit, and allocates the capacitance to the wiring. And a capacitance writing unit that writes the capacitance of the wiring allocated by the capacitance calculating / allocating unit to a corresponding node on the netlist of the wiring.

【0012】第14の発明は、第13の発明の容量算出
/割付部は、第12の発明の割付方法入力部により入力
した容量割付を行う着目配線の容量値を1個の対地容量
として算出するようにしている。第15の発明は、第1
3の発明の容量算出/割付部は、第12の発明の割付方
法入力部により入力した容量割付を行う着目配線の容量
値を対地容量と隣接配線間の隣接容量とに分けてそれぞ
れ算出するようにしている。第16の発明は、第13の
発明の容量算出/割付部は、第12の発明の割付方法入
力部により入力した容量割付を行う着目配線の容量値を
着目配線と上層配線との間の容量を上層容量として算出
するようにしている。第17の発明は、第16の発明の
容量算出/割付部は、前記上層容量は、前記ノード情報
入力部によって入力される着目配線と上層配線間の位置
関係に基づく前記平板容量パラメータ及びフリンジング
容量パラメータに基づいて算出するようにしている。
In a fourteenth aspect of the present invention, the capacity calculation / allocation unit of the thirteenth invention calculates the capacitance value of the wiring of interest, which is input by the allocation method input unit of the twelfth invention, as one ground capacitance. I am trying to do it. The fifteenth invention is the first
The capacity calculating / allocating unit according to the third aspect of the invention divides the capacity value of the wiring of interest, which is input by the allocation method inputting unit according to the twelfth aspect of the invention, into the ground capacity and the adjacent capacity between the adjacent wirings, and calculates the capacity value. I have to. In a sixteenth invention, a capacity calculating / allocating unit according to the thirteenth invention is configured so that a capacity value of a target wiring for carrying out capacity allocation input by the allocation method input unit of the twelfth invention is a capacity between the target wiring and an upper layer wiring. Is calculated as the upper layer capacity. A seventeenth aspect of the present invention is the capacitance calculation / allocation unit according to the sixteenth aspect, wherein the upper layer capacitance is the plate capacitance parameter and fringing based on the positional relationship between the target wiring and the upper layer wiring input by the node information input unit. It is calculated based on the capacity parameter.

【0013】第18の発明は、LSI中の回路セルの出
力端子と次段回路間の信号伝搬の遅延時間をルックアッ
プテーブルに記述された情報を参照して求める回路シミ
ュレーション方法において、次のような方法を講じてい
る。即ち、前記ルックアップテーブルに記述した情報
は、前記出力端子と前記次段回路間の容量性負荷におけ
る配線容量要素と次段回路のゲート容量要素とを独立要
素として分離して記述し、前記記述された配線容量要素
とゲート容量要素に基づき、前記出力端子と次段回路間
の信号伝搬の遅延時間を算出するようにしている。第1
9の発明は、第18の発明において、前記ルックアップ
テーブルに記述した情報のうち、前記配線容量要素は抵
抗成分と容量成分とに分離して記述するようにしてい
る。第20の発明は、第19の発明における前記抵抗成
分と容量成分とは反比例関係を有していると仮定し、該
抵抗成分と該容量成分の関係を直線にて補間或いは回帰
して直線関係で前記ルックアップテーブルに記述するよ
うにしている。第21の発明は、第19の発明における
前記容量成分をフリンジング効果成分とそれ以外の成分
に分離して記述し、前記抵抗成分と該容量成分とを曲線
で補間或いは回帰して曲線関係で前記ルックアップテー
ブルに記述するようにしている。
The eighteenth invention is a circuit simulation method for obtaining a delay time of signal propagation between an output terminal of a circuit cell in an LSI and a next-stage circuit by referring to information described in a lookup table. Are taking various measures. That is, in the information described in the lookup table, the wiring capacitance element in the capacitive load between the output terminal and the next stage circuit and the gate capacitance element of the next stage circuit are separately described as independent elements, and the above description is made. The delay time of signal propagation between the output terminal and the next stage circuit is calculated based on the determined wiring capacitance element and gate capacitance element. First
According to a ninth aspect of the invention, in the eighteenth aspect of the invention, the wiring capacitance element of the information described in the lookup table is described separately as a resistance component and a capacitance component. In a twentieth aspect of the invention, it is assumed that the resistance component and the capacitance component in the nineteenth aspect of the invention have an inverse proportional relationship, and the relation between the resistance component and the capacitance component is linearly interpolated or regressed to obtain a linear relationship. Is described in the lookup table. A twenty-first aspect of the invention is described by separating the capacitance component in the nineteenth aspect into a fringing effect component and a component other than the fringing effect component, and interpolating or regressing the resistance component and the capacitance component with a curve to form a curve relationship. It is written in the lookup table.

【0014】第22の発明は、回路シミュレータにおい
て、次のような構成にしている。即ち、第22の発明の
回路シミュレータは、LSIの回路間の容量性負荷を含
む回路情報を入力する回路記述入力部と、前記入力され
た回路情報に基づき、シミュレーションに抵抗成分を考
慮する必要があるかどうかを判断する負荷内容判断部
と、前記抵抗成分を考慮する必要がない場合に動作し、
前記回路間の容量性負荷における配線容量と次段回路の
ゲート容量とを独立要素として分離して記述した第1の
ルックアップテーブルを参照して、前記各回路間の信号
伝搬の遅延時間を算出する第1の回路遅延算出部と、前
記抵抗成分を考慮する必要がある場合に動作し、前記回
路間の容量性負荷における配線容量要素と次段回路のゲ
ート容量とを独立要素とし、かつ該配線容量要素は抵抗
成分と容量成分とに分離して記述した第2のルックアッ
プテーブルを参照して、前記各回路間の信号伝搬の遅延
時間を算出する第2の回路遅延算出部とを、備えてい
る。第23の発明は、第22の発明における前記第2の
ルックアップテーブルは、前記抵抗成分と前記容量成分
とは反比例関係を有していると仮定し、該抵抗成分と該
容量成分の関係を直線にて補間或いは回帰して直線関係
で記述した構成にしている。第24の発明は、第22の
発明における前記第2のルックアップテーブルは、前記
容量成分をフリンジング効果成分とそれ以外の成分に分
離して記述し、前記抵抗成分と該容量成分との関係を曲
線で補間或いは回帰して曲線関係で記述した構成にして
いる。
A twenty-second aspect of the invention is a circuit simulator having the following configuration. That is, in the circuit simulator of the twenty-second invention, it is necessary to consider the resistance component in the simulation based on the circuit description input section for inputting the circuit information including the capacitive load between the circuits of the LSI and the input circuit information. It operates when there is no need to consider the resistance component and a load content determination unit that determines whether or not there is,
The delay time of signal propagation between the circuits is calculated with reference to the first lookup table in which the wiring capacitance in the capacitive load between the circuits and the gate capacitance of the next-stage circuit are described separately as independent elements. Which operates when it is necessary to consider the resistance component, the wiring capacitance element in the capacitive load between the circuits and the gate capacitance of the next stage circuit are independent elements, and The wiring capacitance element includes a second circuit delay calculation unit that calculates a delay time of signal propagation between the circuits by referring to a second look-up table that is described by separating the resistance component and the capacitance component. I have it. In a twenty-third aspect of the invention, it is assumed that the second lookup table in the twenty-second aspect of the invention has an inverse proportional relationship between the resistance component and the capacitance component, and the relationship between the resistance component and the capacitance component is expressed as follows. A linear interpolation is used or a linear regression is used to describe the relationship. In a twenty-fourth aspect, the second lookup table in the twenty-second aspect is described by separating the capacitance component into a fringing effect component and a component other than the fringing effect component, and describing the relationship between the resistance component and the capacitance component. Is interpolated or regressed with a curve to have a structure described by a curve relationship.

【0015】[0015]

【作用】第1の発明によれば、以上のように回路シミュ
レーション方法を構成したので、RW 算出処理により算
出されたRW は、配線の遅延時間の特徴を定量的に表す
ものなので、このRW と総配線長を用いて、遅延時間を
算出する。第2の発明によれば、RW を統計量として統
計的手法により、分岐先ノードをクラスタリングする。
クラスタリングされた各クラスに属する分岐先ノード迄
の遅延時間は、RW がほぼ一致するため等しいものとみ
なして、クラスを代表する分岐先ノードについて、その
遅延時間を算出する。第4の発明によれば、ラプラス変
換を応用した代数演算方法で算出した遅延時間には、配
線の近端部(RW が0に近い部分)ではプラスの誤差、
遠端部(RWが1に近い部分)マイナスの誤差があるの
で、総配線長及びRW に基づいてこの誤差の補正をす
る。第5の発明によれば、ラプラス変換を応用した代数
演算方法で算出した遅延時のには、配線の近端部(RW
が0に近い部分)ではプラスの誤差(真の遅延時間に対
する算出された遅延時間の比は1よりも大きい)、遠端
部(RW が1に近い部分)ではマイナスの誤差(真の遅
延時間に対する算出された遅延時間の比は1よりも小さ
い)になるので、総配線長及びRW に基づく変数量を説
明変数の要素として、式(3)または(4)の補正量Y
1 またはY2 で算出された遅延時間を割り算して遅延時
間の補正を行う。
According to the first aspect of the present invention, since the circuit simulation method as described above, R W calculated by R W calculation processing, since the characteristics of the delay time of the wiring, such that expressed quantitatively, this The delay time is calculated using R W and the total wiring length. According to the second invention, the branch destination nodes are clustered by a statistical method using R W as a statistic.
The delay times to the branch destination nodes belonging to each clustered class are regarded as equal because R W substantially matches, and the delay times are calculated for the branch destination nodes representing the classes. According to the fourth aspect of the invention, the delay time calculated by the algebraic calculation method to which the Laplace transform is applied has a plus error at the near end portion (the portion where R W is close to 0) of the wiring,
Since there is a negative error at the far end (the part where R W is close to 1), this error is corrected based on the total wiring length and R W. According to the fifth invention, at the time of delay calculated by the algebraic calculation method to which the Laplace transform is applied, the near end portion (R W
Is close to 0), the positive error (the ratio of the calculated delay time to the true delay time is greater than 1), and the far end (the part where R W is close to 1) is the negative error (true delay). The ratio of the calculated delay time to the time is smaller than 1), so that the variable amount based on the total wiring length and R W is used as an explanatory variable element, and the correction amount Y of the equation (3) or (4) is used.
The delay time calculated by 1 or Y 2 is divided to correct the delay time.

【0016】第6の発明によれば、レイアウトの修正前
・後のRW の平均値と分散値を求めて、この平均値と分
散値が修正前・後で等しいもの仮説が成り立つかを統計
的な手法により検定する。そして、修正前・後でRW
平均値または分散値が異なるものと検定された時のみ、
遅延時間の算出を行う。第7の発明によれば、修正前・
後のRW が正規分布であれば、統計的な手法によって、
修正前・後のRW の平均値を正規分布、修正前・後の分
散値をカイ2乗分布に従うとして検定し、修正前・後の
W が正規分布でなければ、修正前・後のRW の平均値
をt分布、修正前・後の分散値をF分布に従うものとし
て検定する。第13の発明によれば、容量割付方法によ
って指定された着目配線について、その着目配線と基板
(または下層配線)間の容量などを、その着目配線の配
線容量の誘電体の膜厚または誘電率などにより決まる平
板容量の単位容量のパラメータとフリンジング容量のパ
ラメータを配線パラメータファイルにより入力する。そ
して、この容量パラメターから平板容量成分とフリンジ
ング成分を求める。そして、この求められた配線の容量
をネットリストの着目配線に対応したノードに書き込
む。回路シミュレータでは、このネットリストの従って
タイミング検証を行う。
According to the sixth aspect of the invention, the average value and the variance value of R W before and after the modification of the layout are obtained, and whether the hypothesis holds whether the average value and the variance value are the same before and after the modification The standard method is used. And only when it is verified that the mean or variance of R W is different before and after correction,
Calculate the delay time. According to the seventh invention, before correction
If the latter R W is a normal distribution, by a statistical method,
Mean normal distribution of unmodified after-R W, and assayed as follows variance values before after-corrected chi-square distribution, if R W of the corrected pre-is not a normal distribution, unmodified post- The average value of R W is tested as t distribution, and the variance before and after correction is tested as F distribution. According to the thirteenth invention, for the wiring of interest designated by the capacitance allocation method, the capacitance between the wiring of interest and the substrate (or lower layer wiring) is calculated as the film thickness or the dielectric constant of the dielectric of the wiring capacitance of the wiring of interest. Input the unit capacitance parameter of the flat plate capacitance and the fringing capacitance parameter determined by the wiring parameter file. Then, the plate capacitance component and the fringing component are obtained from this capacitance parameter. Then, the obtained wiring capacitance is written in the node corresponding to the wiring of interest in the netlist. The circuit simulator performs timing verification according to this netlist.

【0017】第18の発明によれば、LSI中の回路セ
ルの出力端子と次段回路間に存在する容量性負荷に対
し、配線容量要素と次段回路のゲート容量要素とが独立
なものとしてルックアップテーブルに記述されている。
そのため、回路シミュレーションにより、例えば、配線
長の長短、或いは分岐先ノードの数に対応した遅延時間
が算出されることになる。第19〜第21の発明によれ
ば、第18の発明における配線容量要素を抵抗成分と容
量成分に分離してルックアップテーブルに記述している
ので、配線幅の変化による抵抗及び容量成分の分布変化
を表現しつつ、回路シミュレーションがこなわれる。第
22〜第24の発明によれば、回路記述入力部によって
回路情報が入力され、負荷内容判断部によって、シミュ
レーションに抵抗成分を考慮する必要があるかどうかが
判断される。抵抗成分を考慮する必要がない場合、第1
のルックアップテーブルに記述された回路間の容量性負
荷も配線容量と次段回路のゲート容量とが用いられて、
第1の回路遅延時間算出部により、遅延時間が算出され
る。一方、抵抗成分を考慮する必要がある場合、第2の
ルックアップテーブルに記述された分離して記述された
抵抗成分と容量成分とに基づいて、第2の回路遅延時間
算出部により、遅延時間が算出される。従って、前記課
題を解決できるのである。
According to the eighteenth aspect, the wiring capacitance element and the gate capacitance element of the next stage circuit are independent from each other with respect to the capacitive load existing between the output terminal of the circuit cell in the LSI and the next stage circuit. Described in the lookup table.
Therefore, by circuit simulation, for example, the delay time corresponding to the length of the wiring or the number of branch destination nodes is calculated. According to the nineteenth to twenty-first inventions, since the wiring capacitance element in the eighteenth invention is separated into the resistance component and the capacitance component and described in the lookup table, the distribution of the resistance and capacitance components due to the change of the wiring width Circuit simulation can be performed while expressing changes. According to the twenty-second to twenty-fourth aspects, the circuit information is input by the circuit description input unit, and the load content determination unit determines whether it is necessary to consider the resistance component in the simulation. If it is not necessary to consider the resistance component, first
As for the capacitive load between the circuits described in the lookup table of, the wiring capacitance and the gate capacitance of the next stage circuit are used,
The delay time is calculated by the first circuit delay time calculation unit. On the other hand, when it is necessary to consider the resistance component, the second circuit delay time calculation unit calculates the delay time based on the separately described resistance component and capacitance component described in the second lookup table. Is calculated. Therefore, the above problem can be solved.

【0018】[0018]

【実施例】第1の実施例 図1は、本発明の第1の実施例の回路シミュレーション
方法を示すフローチャートである。図5は、図1の回路
シミュレーション方法を説明するための配線ネットの一
例を示す図である。この図に示すように、回路セル5の
出力端子(以下、ドライブノードと呼ぶ)N1 には、3
個の分岐先ノードN11,N12,N13が接続されている。
分岐先ノードN11,N12,N13には、図示しない能動素
子が接続されている。ドライブノードN1 と分岐先ノー
ドN11との間は、抵抗R1,容量C1−1,C1−2か
らなる配線長l1 のRCネットと抵抗R2,容量C2−
1,C2−1からなる配線長l2 のRCネットとにより
接続されている。ドライブノードN1と分岐先ノードN
12との間は、抵抗R1,容量C1−1,C1−2からな
る配線長l1 のRCネットと抵抗R3,容量C3−1,
C3−1からなる配線長l3 のRCネットと抵抗R4,
容量C4−1,C4−2からなる配線長l4 のRCネッ
トとにより接続されている。ドライブノードN1 と分岐
先ノードN13との間は、抵抗R1,容量C1−1,C1
−2からなる配線長l1 のRCネットと抵抗R3,容量
C3−1,C3−2からなる配線長l3 のRCネットと
抵抗R5,容量C5−1,C5−2からなる配線長l5
のRCネットとによって接続されている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS First Embodiment FIG. 1 is a flow chart showing a circuit simulation method of a first embodiment of the present invention. FIG. 5 is a diagram showing an example of a wiring net for explaining the circuit simulation method of FIG. As shown in this figure, the output terminal (hereinafter referred to as a drive node) N 1 of the circuit cell 5 has 3
The branch destination nodes N 11 , N 12 , and N 13 are connected.
Active elements (not shown) are connected to the branch destination nodes N 11 , N 12 , and N 13 . Between the drive node N 1 and the branch destination node N 11 , an RC net having a wiring length l 1 formed of a resistor R1, capacitors C1-1, and C1-2, a resistor R2, and a capacitor C2-
1, are connected by an RC net wiring length l 2 consisting of C2-1. Drive node N 1 and branch destination node N
Between 12 and 12 , there is an RC net having a wiring length l 1 made up of a resistor R1, capacitors C1-1 and C1-2, and a resistor R3 and capacitors C3-1 and C3-1.
An RC net consisting of C3-1 and having a wiring length l 3 and a resistor R4
They are connected by an RC net having a wiring length of l 4 and composed of capacitors C4-1 and C4-2. Between the drive node N 1 and the branch destination node N 13 , a resistor R1 and capacitors C1-1 and C1 are connected.
Resistance wiring length l 1 of the RC net consisting -2 R3, capacitor C3-1, resistance RC net wiring length l 3 consisting of C3-2 R5, capacitor C5-1, wiring length l 5 consisting of C5-2
It is connected by the RC net.

【0019】ここで、RCネットとは、インバータのよ
うに能動素子を持たないRCよりなる受動的な配線部分
をさし、一般には、その分岐先ノードに次の能動素子を
持つものである。l1 は1mm、l2 は1mm、l3
7mm、l4 は1mm、及びl5 は10mmである。ド
ライブノードN1 に接続されたRCネットを構成する木
(トリー)の全長lT は20mmである。以下、これら
の図を参照しつつ回路シミュレーション方法の説明をす
る。ステップS11において、各回路セルのドライブノ
ードN1 について、そのlT 、及びドライブノードから
各分岐先ノードN1k(k=1,2,…,s)迄の配線長l1k(k=
1,2,…, D) をプリレイアウトまたはポストレイアウト
のデータベースから読み出す。ステップS12におい
て、そのlT と閾値nとの比較をし、lT がn以下であ
ればステップS13へ進み、lT がnよりも大きければ
ステップS14へ進む。ステップS13において、ドラ
イブノードN1 について、その全ての分岐先ノードN1k
に対して、1つのtpdを割り当てる。これは、lT
小さいために、ドライブノードN1 から分岐先ノードN
1k迄のtpdを等しいとしたものである。ステップS1
4において、ドライブノードN1 の各分岐先ノードN1k
について、次式(5)より、RW を求める(RW 算出処
理)。
Here, the RC net refers to a passive wiring portion made of RC having no active element like an inverter, and generally has the following active element at its branch destination node. l 1 is 1 mm, l 2 is 1 mm, l 3 is 7 mm, l 4 is 1 mm, and l 5 is 10 mm. The total length I T of the tree (tree) that constitutes the RC net connected to the drive node N 1 is 20 mm. The circuit simulation method will be described below with reference to these drawings. In step S11, with respect to the drive node N 1 of each circuit cell, its l T and the wiring length l 1k (k = 1, 2, ..., S) from the drive node to each branch destination node N 1k (k = 1, 2, ..., S)
Read 1,2, ..., D) from the pre-layout or post-layout database. In step S12, l T is compared with the threshold value n. If l T is n or less, the process proceeds to step S13, and if l T is larger than n, the process proceeds to step S14. In step S13, for the drive node N 1 , all branch destination nodes N 1k
One tpd is assigned to This is because l T is small, and therefore the drive node N 1 branches to the branch destination node N.
The tpd up to 1k is assumed to be the same. Step S1
4, each branch destination node N 1k of the drive node N 1
For, the following equation (5), obtaining the R W (R W calculation processing).

【0020】 RW =l1k/lT ・・・(5) このRW は0以上1以下の値を持つ変数となる。例え
ば、図5の配線ネットにおいては、ドライブノードN1
と分岐先ノードN11との間のRW ,ドライブノードN1
と分岐先ノードN12との間のRW ,ドライブノードN1
と分岐先ノードN13との間のRW は、それぞれ0.1,
0.45,0.9となる。ステップS15において、R
W を確率変数として扱うかどうかを、ノードN1の分岐
先ノードN1kのノード数s の大小によって判別し、その
ノード数s が小さくてRW を確率変数として扱わない時
は、ステップS16に進み、そのノード数s が十分多く
て、RW を確率変数として扱う時は、ステップS18に
進む。ステップS16において、ドライブノードN
ら分岐先ノードN1k迄のtpdを、ラプラス変換を応用
した代数演算方法により算出する(代数演算処理)。図
6は、RW と遅延時間の誤差の関係を示す図である。
R W = l 1k / l T (5) This R W is a variable having a value of 0 or more and 1 or less. For example, in the wiring net of FIG. 5, the drive node N 1
R W between the branch destination node N 11 and the drive node N 1
R W between the branch destination node N 12 and the drive node N 1
And R W between the branch destination node N 13 are 0.1,
It becomes 0.45 and 0.9. In step S15, R
Whether treated as random variables W, to determine the magnitude of the number of nodes s of the node N 1 of the branch destination node N 1k, when not treat R W as a random variable with a small the node number s is to step S16 If the number of nodes s is sufficiently large and R W is treated as a random variable, the process proceeds to step S18. In step S16, the tpd from the drive node N 1 to the branch destination node N 1k is calculated by an algebraic arithmetic method applying the Laplace transform (algebraic arithmetic processing). FIG. 6 is a diagram showing the relationship between the error between R W and the delay time.

【0021】横軸はRW 、縦軸は遅延時間の誤差err を
表す。ここで、誤差err は、算出したtpdと誤差のな
い真のpdとの比である。即ち、誤差err が1よりも大
きい時は、正の誤差、誤差が1よりも小さい時は負の誤
差、誤差err が1の時は真のtpdを表す。G1 はlT
=20 の時の誤差、G2 はlT =10の時の誤差err であ
る。図6に示すように、ステップS16の代数演算処理
によって算出されたtpdは、配線ネットの近端部(R
W 軸上で左端)では正の誤差が、遠端部(RW 軸上で右
端)では負の誤差が大きくなる。そこで、ステップS1
7において、lT 及びRW に基づく変数量を説明変数の
要素として、RW が小さい(配線ネットの近端部)とき
と大きい(配線ネットの遠端部)ときの相対誤差(真の
tpdに対する算出したtpdの比)が小さくなるよう
に重回帰式により算出した式(3)の補正値Y1 または
(4)の補正値Y2 でステップS16において算出した
tpdを割る(遅延時間補正処理)。図5中のG3 はG
1 を補正したものであり、G4 はG2 を補正したもので
ある。
The horizontal axis represents R W and the vertical axis represents the delay time error err. Here, the error err is the ratio of the calculated tpd and the true pd with no error. That is, when the error err is larger than 1, it represents a positive error, when the error is smaller than 1, it represents a negative error, and when the error err is 1, it represents a true tpd. G 1 is l T
The error when = 20, G 2 is the error err when l T = 10. As shown in FIG. 6, tpd calculated by the algebraic calculation processing in step S16 is the near end portion (R
The positive error is large at the left end on the W axis, and the negative error is large at the far end (right end on the R W axis). Therefore, step S1
7, the variable amount based on l T and R W is used as an explanatory variable element, and the relative error (true tpd) when R W is small (near end of the wiring net) and large (far end of the wiring net). (The ratio of the calculated tpd to the above) becomes smaller, the tpd calculated in step S16 is divided by the correction value Y 1 of the equation (3) or the correction value Y 2 of the equation (4) (delay time correction processing). ). G 3 in FIG. 5 is G
1 is corrected and G 4 is corrected G 2 .

【0022】図6に示すようにこの遅延時間補正処理に
よる補正により、補正後のtpdの誤差は1に近づき、
真のtpdに近づく。ステップS18において、RW
分散V(RW ) を求めて、この分散V(Rw)が所定の
値よりも小さく0とみなすことができるかを決める。そ
して、RW が平均化され、バラツキがなく分散V
(RW )が0とみなす場合には、ステップ19に進み、
W の分散が大きい場合には、1つのRW で全ての分岐
先ノードのtpdを表せないものとし、ステップS21
に進む。ステップS19において、分岐先ノードN1k
全てのtdpは同一の値とみなし、RW の期待値E(R
W )に対応するtdpをラプラス変換を応用した代数演
算方法により算出する(代数演算処理)。ステップS2
0において、ステップS17と同様にして、E(RW
より式(2)または(3)によりtdpの補正を行う
(遅延時間補正処理)。ステップS21において、RW
の分布は離散型と見なすかどうかを決定し、離散型と見
なす場合にはRW のクラスタリングを行うためにステッ
プS22に進み、離散型と見なさない場合には、ステッ
プS25に進む。ステップS22において、RW を均等
(クラスタリングするRW の間隔を同じ)にクラスタリ
ングする。ステップS23において、クラスタリングさ
れた各クラスの代表のRW より、そのtpdをラプラス
変換を応用した代数演算方法により求める(代数演算処
理)。ステップS24において、各クラスのtpdにつ
いて、ステップS17と同様にして、各クラスの代表の
W により、式(2)または(3)によりtpdの補正
を行う(遅延時間補正処理)。
As shown in FIG. 6, by the correction by the delay time correction processing, the error of the corrected tpd approaches 1, and
Approaching true tpd. In step S18, seeking R W of variance V (R W), the dispersion V (R w) decide whether it can be regarded as 0 smaller than a predetermined value. Then, R W is averaged, and there is no variation and dispersion V
If the (R W) is regarded as 0, the process proceeds to step 19,
If the variance of R W is large, it is assumed that one R W cannot represent the tpd of all branch destination nodes, and step S21
Proceed to. In step S19, all tdp branch target node N 1k assumes the same value, the expected value of R W E (R
The corresponding tdp to W) is calculated by algebraic calculation method applying the Laplace transform (algebraic calculation process). Step S2
At 0, as in step S17, E (R W)
From this, tdp is corrected by the equation (2) or (3) (delay time correction processing). In step S21, R W
It is determined whether or not the distribution is considered to be a discrete type. If it is considered to be a discrete type, the process proceeds to step S22 to perform R W clustering, and if not, to a step S25. In step S22, R W is equally clustered (same R W intervals for clustering). In step S23, the tpd is calculated from the representative R W of each clustered class by an algebraic arithmetic method applying the Laplace transform (algebraic arithmetic processing). In step S24, with respect to the tpd of each class, the tpd is corrected by the representative R W of each class by the equation (2) or (3) in the same manner as in step S17 (delay time correction process).

【0023】ステップS25において、分岐先ノードN
1kの分布がランダムと見なすかどうかを決定し、ランダ
ムと見なす場合には、ステップS26に進み、ランダム
と見なさない場合には、ステップS29に進む。ステッ
プS26において、RW の分布は正規分布と見なし、R
W の標準偏差σを用いて、分布度数の大きな部分はクラ
スタリングするクラスのRW の間隔が短く、分布度数の
小さい部分はRW の間隔を長くなるようにクラスタリン
グする。ステップS27において、クラスタリングされ
た各クラスを代表するRW により、そのtpdをラプラ
ス変換を応用した代数演算方法により求める(代数演算
処理)。ステップS28において、各tpdについて、
各クラスの代表のRW により、式(3)または(4)に
より、tpdの補正を行う(遅延時間補正処理)。図7
は、ランダムな分布と見なさない場合のRW (分岐先ノ
ード数が41)の分布を示す図である。図中の横軸はR
W 、縦軸は分岐先ノードの数を示す。ステップS29に
おいて、図7に示すような正規分布と見なせない分布に
なるとして、分布の山に対応して、各山毎にクラスタリ
ングする。ステップS30において、クラスタリングさ
れた各クラスを代表するRw により、そのtpdをラプ
ラス変換を応用した代数演算方法により求める(代数演
算処理)。ステップS31において、各tpdについ
て、各クラスの代表のRW により、式(3)または
(4)により、tpdの補正を行う(遅延時間補正処
理)。以上の処理を、全ての回路セルの出力端子につい
て行う。
In step S25, the branch destination node N
It is determined whether the 1k distribution is regarded as random. If it is regarded as random, the process proceeds to step S26. If not, the process proceeds to step S29. In step S26, the distribution of R W is regarded as a normal distribution, and R
Using the standard deviation σ of W , clustering is performed so that a large distribution frequency portion has a short R W interval of the clustering class and a small distribution frequency portion has a long R W interval. In step S27, the R W representative of each class that is clustered, obtain the tpd by algebra method applying the Laplace transform (algebraic calculation process). In step S28, for each tpd,
The tpd is corrected according to the equation (3) or (4) using the representative R W of each class (delay time correction processing). Figure 7
FIG. 6 is a diagram showing a distribution of R W (the number of branch destination nodes is 41) when it is not regarded as a random distribution. The horizontal axis in the figure is R
W , the vertical axis represents the number of branch destination nodes. In step S29, assuming that the distribution cannot be regarded as a normal distribution as shown in FIG. 7, clustering is performed for each mountain corresponding to the mountain in the distribution. In step S30, the tpd of R w representing each clustered class is obtained by an algebraic arithmetic method applying Laplace transform (algebraic arithmetic processing). In step S31, for each tpd, the tpd is corrected by the representative R W of each class according to the equation (3) or (4) (delay time correction processing). The above processing is performed for the output terminals of all the circuit cells.

【0024】以上説明したように、本第1の実施例にお
いては、以下の(a)〜(j)の利点がある。 (a)LSICADの自動配置配線の場合などのよう
に、複雑多岐な分岐形態をとるLSI内の配線を対象と
するタイミング検証においては、RW により、ネット内
の全てのノードの位置が定量的に表現可能となり、tp
dの算出の精度が向上する。 (b)高精度タイミング制御用のスタイナー木型ネット
のように、配線抵抗によるノード間のtpdスキュー
(tpdの差がノード間で小さい)の問題とならないV
(RW )の小さいネットでは、全ノードへのtpd算出
が不用となり、ネットの負荷に対応した一つのtpdで
代表可能となる。 (c)RW を確率変数と見なすことができるので、統計
的な評価が可能となり、ネット内のノード群の定量的な
層別とクラス毎のtpd算出により、tpd算出回数を
減らすことができる。そして、RW を確率変数として扱
うことのできない場合のみ、ネットの全てのノードに対
してtpdを算出することで、タミング検証の時間を短
くすることができる。 (d)ネットの統計的な分布を成さない程度の少数ノー
ドのバラツキに対して、離散型とみなして均等にクラス
タリングすることで、クラスごとのtpd算出で十分と
なり、tpd算出回数を減少できる。 (e)LSIの配置配線ツールの機能で、タイミングに
対して制御されたネットのように、RW の分布がランダ
ムでないようなネットに対しては、クラスタリングする
ことにより、tpdの算出回数を減らすことができる。
As described above, the first embodiment has the following advantages (a) to (j). (A) In timing verification for wiring in an LSI having complicated and diversified branching forms such as in the case of automatic placement and routing of LSI CAD, the position of all nodes in the net is quantitatively determined by R W. Can be expressed as
The accuracy of calculating d is improved. (B) V, which does not cause a problem of tpd skew between nodes due to wiring resistance (the difference in tpd is small between nodes), like a Steiner tree net for high-accuracy timing control
The (R W) smaller net, tpd calculation to all the nodes becomes unnecessary, thereby enabling a representative one of tpd corresponding to the load of the net. (C) Since R W can be regarded as a random variable, statistical evaluation can be performed, and the number of times of tpd calculation can be reduced by quantitative stratification of node groups in the net and tpd calculation for each class. . Then, only when R W cannot be treated as a random variable, the tpd can be calculated for all the nodes of the net, so that the time for the timing verification can be shortened. (D) By considering clustering evenly with respect to variations in a small number of nodes that do not form a statistical distribution of nets, clustering is evenly performed for each class, and the number of tpd calculations can be reduced. . (E) The number of times tpd is calculated by clustering a net whose distribution of R W is not random, such as a net controlled by timing by the function of the LSI placement and routing tool. be able to.

【0025】(f)タイミングに対して、制御されて分
岐先ノード迄の配線の分布がランダムな配線に対して
は、正規分布を仮定することにより、分岐先ノードのR
W の標準偏差σによるクラスタリングが行え、クラスご
とのtpdの算出のみとなり、不要なtpdの算出が省
略可能となる。 (g)タイミングドリブン型配置配線の様に、ネット上
のノード分布に配置配線ツールの制御による明確な片寄
りが存在する場合、タイミング的にコントロールされた
そのネットの分岐先ノード群に対して、代表するtpd
の算出値を割り当てられ、不要なその群全ての分岐先ノ
ードへのtpd算出が省略可能となる。 (h)ラプラス変換を応用した代数演算方法による回路
シミュレーションにおいて、算出したtpdが実際のt
pdと一致するのは、RW が0.5近くのノード群(分
岐点が0の1本配線では中央部)であり、これ以外のノ
ード群に対するtpd算出値の精度を改善することがで
きる。例えば、図6のG3及びG4に示すように70%
の誤差が10%以内に改善される。 (i)回路シミュレーション方法の客観的な精度評価は
RMS(平均自乗誤差)より行われるが、代数演算処理
による回路シミュレーションでは、RW が小さな所の相
対誤差(真のtpdに対する算出された遅延時間の比)
が大きく、この部分のtpd補正はRMSでの評価にお
いて改善の度合いが著しい。 (j)代数演算処理による回路シミュレーションにおい
ては、RW の大きな所での相対誤差はRW が小さな所で
の相対誤差よりも小さいが、絶対誤差(真のtpdと算
出された遅延時間の差)はサブミクロンクラスの動作周
波数(10MHz以上)でのタイミング検証もれの要因
となっており、LSIタイミング検証での精度が改善さ
れる。
(F) With respect to the wiring, the distribution of the wirings to the branch destination node is controlled to be random with respect to the timing.
Clustering based on the standard deviation σ of W can be performed, and only tpd for each class is calculated, and unnecessary tpd calculation can be omitted. (G) When there is a clear deviation under the control of the placement and routing tool in the distribution of nodes on the net, such as in timing driven placement and routing, for the branch destination node group of the net controlled in timing, Representative tpd
The calculated tpd is allotted, and it becomes possible to omit the tpd calculation for all the branch destination nodes of the unnecessary group. (H) In the circuit simulation by the algebraic calculation method applying the Laplace transform, the calculated tpd is the actual t
to coincide with the pd it is (in one line branch point 0 the central portion) R W 0.5 Near node group is, it is possible to improve the accuracy of tpd calculated values for other nodes groups . For example, as shown in G3 and G4 of FIG. 6, 70%
Error is improved within 10%. (I) The objective accuracy evaluation of the circuit simulation method is performed by RMS (mean square error), but in the circuit simulation by algebraic calculation processing, the relative error (the calculated delay time with respect to the true tpd) at a small R W. Ratio)
Is large, and the degree of improvement in the tpd correction of this portion is remarkable in the evaluation by RMS. In the circuit simulation by (j) algebraic calculation processing, the relative error at large at the R W is smaller than the relative error in R W is small at the difference between the absolute error (delay time calculated true tpd ) Is a factor of timing verification omission at a submicron class operating frequency (10 MHz or more), and accuracy in LSI timing verification is improved.

【0026】第2の実施例 図8は第2の実施例の回路シミュレーション方法を示す
フローチャートである。この回路シミュレーション方法
では、LSIのレイアウトが修正された時に、RW の統
計的評価によりtpdの再計算を回避する機能を有す
る。以下、図8を参照しつつ本第2の実施例の回路シミ
ュレーション方法を説明する。
Second Embodiment FIG. 8 is a flow chart showing the circuit simulation method of the second embodiment. This circuit simulation method has a function of avoiding recalculation of tpd by statistical evaluation of R W when the layout of the LSI is modified. The circuit simulation method of the second embodiment will be described below with reference to FIG.

【0027】W 入力処理 ステップS51において、データベースより各ドライブ
ノードについて、このドライブノードに接続された分岐
先ノードの修正前と後の一組のRW をそれぞれ入力する
(RW 入力処理)。ステップS52において、RW の分
布を正規分布として扱うかどうかを決定して、RW を正
規分布として扱う場合には、ステップS53に進み、R
W を正規分布として扱わない場合には、ステップS55
に進む。検定処理 ステップS53において、RW の平均値E(RW )、分
散値V(RW )を修正前と後のものについてそれぞれ求
める。ステップS54において、修正前・後の平均値E
(RW )は正規分布に、また分散値V(RW )はχ2
(カイ自乗)分布に従うとして、修正前・後のE
(RW )、修正前・後のV(RW )が異なるものと見な
せると帰無仮説を立てて母集団の検定して、どちらか1
つでも有意であった場合に、レイアウト修正後のtpd
を算出するためにステップS57に進み、どちらも有意
でなかった場合には、再タイミング検証を回避するため
にステップS58に進む。ステップS55において、R
W の平均値E(RW )、分散値V(RW )を修正前・後
のものについてそれぞれ求める。ステップS56におい
て、修正前・後の平均値E(RW )はt分布に、また修
正前・後の分散値V(RW )はF分布に従うものとし
て、修正前・後でE(RW )、V(RW )が異なるもの
と帰無仮説を立ててサンプル検定して、どちらか1つで
も有意であった場合に、レイアウト修正後のtpdを算
出するためにステップS57に進み、どちらも有意でな
かった場合には、再タイミング検証を回避するためにス
テップS58に進む。
[0027] In R W input processing step S51, for each drive node from the database, a set of R W before and after correction of the connected branch destination node to the drive node respectively input (R W input process). In step S52, to determine whether or not to treat the distribution of R W as a normal distribution, when dealing with R W as a normal distribution, the process proceeds to step S53, R
If W is not treated as a normal distribution, step S55
Proceed to. In test process step S53, the average value E (R W) of R W, respectively obtained for those before and after correcting the variance V (R W). In step S54, the average value E before and after correction
(R W ) follows a normal distribution, and the variance value V (R W ) follows a χ 2 (chi-square) distribution.
(R W ), V (R W ) before and after correction can be regarded as different, a null hypothesis is set up, and a population test is performed.
If any one is significant, tpd after layout correction
To calculate step S57, and if neither is significant, the process advances to step S58 to avoid retiming verification. In step S55, R
W of the average value E (R W), respectively obtained for those before and after correcting the variance V (R W) ·. In step S56, the average value E (R W) of the corrected pre-dispersion values V (R W) of the t-distribution, also before correction after-as subject to the F distribution, the pre-correction-later E (R W ), V (with R W) make a different one and null hypothesis sample test if there was significant even one either, the flow advances to step S57 to calculate the tpd after layout correction, either Is not significant, the process proceeds to step S58 to avoid retiming verification.

【0028】遅延時間算出処理 ステップS57において、修正後のtpdの算出を行
う。以上説明したように、本第2の実施例では、以下の
(a)〜(d)利点がある。 (a)LSIレイアウトの修正前後の双方の配線の状態
を、定量的な評価変数RW を導入したので、修正後のt
pd算出省略の判断が可能となり、処理効率を向上させ
ることができる。 (b)扱う配線の分岐先ノードの分布を母集団と見なす
かどうかを選択するので、レイアウト修正後の配線の変
化具合を判断するときの、判定精度が向上する。 (c)扱う配線の分岐先ノードの分布を母集団と見なす
時に、レイアウト修正後の配線の分岐先ノードへの、t
pd再算出が全て省略が可能となるかが統計的に判定可
能となる。 (d)扱う配線の分岐先ノードの分布を母集団と見なせ
ない時にも、レイアウト修正後の配線の分岐先ノードへ
の、tpd再算出が全て省略できるかを統計的に判定可
能となる。
In the delay time calculation processing step S57, the corrected tpd is calculated. As described above, the second embodiment has the following advantages (a) to (d). (A) Since the quantitative evaluation variable R W is introduced for the state of both wirings before and after the LSI layout is corrected, the t after the correction is corrected.
It is possible to determine whether the pd calculation is omitted, and the processing efficiency can be improved. (B) Since it is selected whether or not the distribution of the branch destination nodes of the wiring to be treated is regarded as a population, the determination accuracy in determining the degree of change of the wiring after the layout correction is improved. (C) When considering the distribution of the branch destination nodes of the wiring to be treated as a population, t
It becomes possible to statistically determine whether or not all pd recalculations can be omitted. (D) Even when the distribution of the branch destination nodes of the wiring to be handled cannot be regarded as a population, it is possible to statistically determine whether or not the tpd recalculation to the wiring branch destination nodes after the layout correction can be all omitted.

【0029】第3の実施例 図9は、本発明の第3の実施例の回路シミュレーション
方法を示す図である。図9中、10は論理回路を構成す
る時の基本単位となる回路セルを表す。回路セル10の
10−A,10−Bは入力端子、11は出力端子であ
る。12は1つの出力端子11毎に設けられた遅延デー
タを複数持たせるための遅延データの配列である。配列
12中のt1 ,t2 ,…,tn はtpdである。ここで
は、遅延データの配列12は、入力端子10−A,10
−Bの違いによる遅延データの変化を考慮しない時のも
のであり、1次元配列としたものである。この配列の大
きさは、出力端子11を入力とする分岐先ノードの総和
nとなり、その内容は、それぞれt1 からtn の遅延時
間を持つ。LSIのレイアウトを構成するパターンブロ
ックのnの数が大きくならない時やブロック間を結ぶ様
な制御系信号を出力する場合でない時の複数遅延データ
の持たせ方である。以上説明したように、タイミング検
証の高精度化に必要な配線部に対応した遅延時間を回路
セルの端子で表したため、配線をセルとして表し論理は
“真”であるという配線では周知の冗長なデータを持つ
配線セルが不必要となり、回路シミュレータとしての負
荷が精度をあげても重くならないという利点がある。ま
た、回路セルの出力端子に複数の遅延データを設定でき
るため、配線の各端子に対応した遅延時間を持たせら
れ、回路シミュレーションの精度を向上させることがで
きる。
Third Embodiment FIG. 9 is a diagram showing a circuit simulation method according to a third embodiment of the present invention. In FIG. 9, reference numeral 10 represents a circuit cell which is a basic unit when forming a logic circuit. In the circuit cell 10, 10-A and 10-B are input terminals, and 11 is an output terminal. Reference numeral 12 is an array of delay data for providing a plurality of delay data provided for each output terminal 11. In the array 12, t 1 , t 2 , ..., T n are tpd. Here, the array 12 of delay data is the input terminals 10-A, 10
This is a case where the change in the delay data due to the difference in −B is not considered, and is a one-dimensional array. The size of this array is the sum n of the branch destination nodes to which the output terminal 11 is input, and the contents thereof each have a delay time of t 1 to t n . This is a method of providing a plurality of delay data when the number n of pattern blocks forming the layout of an LSI does not increase or when a control system signal for connecting blocks is not output. As described above, since the delay time corresponding to the wiring portion required to improve the accuracy of the timing verification is represented by the terminal of the circuit cell, the wiring is represented as a cell and the logic is “true”. There is an advantage that a wiring cell having data is unnecessary and the load as a circuit simulator does not become heavy even if the accuracy is increased. Further, since a plurality of delay data can be set to the output terminal of the circuit cell, the delay time corresponding to each terminal of the wiring can be provided, and the accuracy of the circuit simulation can be improved.

【0030】第4の実施例 図10は本発明の第4の実施例の回路シミュレーション
方法を示す図であり、図9中の要素と共通の要素には同
一の符号を付してある。図中の13は、第3の実施例に
おいて出力端子11を入力とする分岐先ノードの数nが
大きくなるような場合(例えば、制御系信号を出力する
場合)の回路セル10のtpdの配列である。出力端子
11が駆動する制御系の信号を精度良く表すために、n
個のRW のクラスタリングを必要とする場合には、遅延
データの配列13の入る遅延データは、n個のクラスの
W1,RW2,…,RWnの各代表の遅延データtRW1 ,t
RW2 …,tRWn を持つ1次元の配列となる。以上説明し
たように、RW によりクラスタリングすることにより、
制御系信号等のように多数の端子とそれに対応した遅延
時間を扱う必要がある場合でも、遅延データの圧縮が可
能となる。
Fourth Embodiment FIG. 10 is a diagram showing a circuit simulation method according to a fourth embodiment of the present invention, in which elements common to those in FIG. 9 are designated by the same reference numerals. Reference numeral 13 in the figure denotes the arrangement of tpd of the circuit cells 10 in the case where the number n of branch destination nodes having the output terminal 11 as an input in the third embodiment becomes large (for example, when a control system signal is output). Is. In order to accurately represent the signal of the control system driven by the output terminal 11, n
When clustering of R W is required, the delay data that the array 13 of delay data enters is the delay data t RW1 , t of each representative of R W1 , R W2 , ..., R Wn of n classes.
It becomes a one-dimensional array having RW2 ..., TRWn . As described above, by clustering by R W ,
Even when it is necessary to handle a large number of terminals and their corresponding delay times such as control signals, the delay data can be compressed.

【0031】第5の実施例 図11は、本発明の第5の実施例の回路シミュレーショ
ン方法を示す図であり、図9中の要素と共通の要素には
同一の符号を付してある。図中の14,15は、それぞ
れ第3、第4の実施例において、出力端子11の持つ遅
延データ群に入力端子依存を持たせる必要がある場合の
遅延データ配列である。サブミクロンクラスのLSIな
どで、入力端子10−A,10−Bによる回路セル10
の自己遅延の違いや、入力端子10−A,10−Bが入
力する入力信号のなまり方による自己遅延時間の違いを
表現する必要がある場合である。14,15は共に入力
端子数×出力端子数の遅延データ数の2次元の配列とな
る。14のデータは図9中の入力端子10−A,10−
Bにそれぞれ対応した層別されない遅延データt1A〜t
nAX,t1B〜tnBであり、15のデータは入力端子10
−A,10−Bにそれぞれ対応したRW でクラスタリン
グされた遅延データtRW1A〜tRWnA,tRW1B〜tRWnB
ある。以上説明したように、本第5の実施例によれば、
遅延データに入力端子10−A,10−B依存を持たせ
たので、より精度のよい回路シミュレーションができる
という利点がある。
Fifth Embodiment FIG. 11 is a diagram showing a circuit simulation method according to a fifth embodiment of the present invention. Elements common to those in FIG. 9 are designated by the same reference numerals. Reference numerals 14 and 15 in the drawing are delay data arrays in the case where it is necessary to give the input terminal dependence to the delay data group of the output terminal 11 in the third and fourth embodiments, respectively. A circuit cell 10 including sub-micron class LSIs and the like using input terminals 10-A and 10-B.
There is a case where it is necessary to express the difference in self-delay and the difference in self-delay time depending on how the input signals input to the input terminals 10-A and 10-B are rounded. Both 14 and 15 are a two-dimensional array of the number of delay data of the number of input terminals × the number of output terminals. The data of 14 are the input terminals 10-A, 10- in FIG.
Non-stratified delay data t 1A to t respectively corresponding to B
nA X, t 1B to t nB , and the data of 15 is input terminal 10
-A, 10-B delay data is clustered in the corresponding R W respectively t RW1A ~t RWnA, a t RW1B ~t RWnB. As described above, according to the fifth embodiment,
Since the delay data has the dependency on the input terminals 10-A and 10-B, there is an advantage that a more accurate circuit simulation can be performed.

【0032】第6の実施例 図12は本発明の第6の実施例の回路シミュレーション
方法を示す図であり、図9中の要素と共通の要素には同
一の符号を付してある。図中の16,17は、それぞれ
第5の実施例において、出力端子11の持つ遅延データ
群に温度依存を持たせる必要がある場合の遅延データ配
列である。この新たに加わった次元は、必要とされる温
度水準T1 〜Tm の遅延データがそれぞれ入り、16,
17はそれぞれ温度水準数×入力端子数×出力端子の遅
延データ数の3次元の配列となっている。以上説明した
ように、本第6の実施例によれば、遅延データに温度依
存性を持たせたのでより精度のよい回路シミュレーショ
ンかできるという利点がある。第7の実施例 図13は本発明の第7の実施例の回路シミュレーション
方法を示す図である。本第7の実施例では、遅延データ
の配列をそれぞれ入力端子側に設けたものである。図
中、18−Aは入力端子10−Aに接続される端子数n
A 個の遅延データを持ち、18−Bは入力端子10−B
に接続される端子数nB 個の遅延データを持つ1次元の
配列である。ここで、nA ,nB が複数となるのは、例
えばワイヤードオアの場合である。以上説明したよう
に、本第7の実施例によれば、遅延データの配列を入力
端子10−A,10−B側に持たせても、第4の実施例
と同様の利点がある。
Sixth Embodiment FIG. 12 is a diagram showing a circuit simulation method according to a sixth embodiment of the present invention, in which elements common to those in FIG. 9 are designated by the same reference numerals. Reference numerals 16 and 17 in the figure are delay data arrays in the case where the delay data group of the output terminal 11 needs to have temperature dependence in the fifth embodiment. This newly added dimension contains the delay data of the required temperature levels T 1 to T m , respectively, 16,
17 is a three-dimensional array of the number of temperature levels × the number of input terminals × the number of delay data of output terminals. As described above, according to the sixth embodiment, since the delay data has the temperature dependence, there is an advantage that a more accurate circuit simulation can be performed. Seventh Embodiment FIG. 13 is a diagram showing a circuit simulation method of a seventh embodiment of the present invention. In the seventh embodiment, an array of delay data is provided on the input terminal side. In the figure, 18-A is the number of terminals n connected to the input terminal 10-A.
It has A delay data and 18-B is input terminal 10-B.
It is a one-dimensional array having delay data of the number n B of terminals connected to. Here, there are a plurality of n A and n B in the case of wired OR, for example. As described above, according to the seventh embodiment, even if the delay data array is provided on the input terminals 10-A and 10-B side, the same advantages as the fourth embodiment are obtained.

【0033】第8の実施例 図14は、本発明の第8の実施例を示す回路シミュレー
タの構成図である。この回路シミュレータは、ネットリ
スト入力部21と、割付方法入力部23と、ノード情報
入力部25とを有している。ネットリスト入力部21、
割付方法入力部23、及びノード情報入力部25の出力
側には、容量割付ノード選択部29が接続されている。
容量割付ノード選択部29の出力側には、配線容量パラ
メータ選択部30とPERI分割部32が接続されてい
る。配線容量パラメータ選択部30とPERI分割部3
2の出力側には、容量算出/割付部33が接続され、さ
らにその出力側には、容量書込部34が接続されてい
る。容量書込部34の出力側には、回路シミュレータ実
行部35が接続されている。21はLSIのレイアウト
より抽出されたネットリストを格納するファイルであ
り、ネットリスト入力部21によって入力される。24
はどの配線と基板との間で配線容量の割付するかまたは
どの配線間で配線容量の割付を行うかをユーザが指定し
た情報を格納するファイルであり、割付方法入力部23
によって入力される。
Eighth Embodiment FIG. 14 is a block diagram of a circuit simulator showing an eighth embodiment of the present invention. This circuit simulator has a netlist input unit 21, an allocation method input unit 23, and a node information input unit 25. Netlist input unit 21,
A capacity allocation node selection unit 29 is connected to the output sides of the allocation method input unit 23 and the node information input unit 25.
A wiring capacitance parameter selection unit 30 and a PERI division unit 32 are connected to the output side of the capacitance allocation node selection unit 29. Wiring capacity parameter selection unit 30 and PERI division unit 3
The capacity calculation / allocation unit 33 is connected to the output side of 2, and the capacity writing unit 34 is connected to the output side. The circuit simulator execution unit 35 is connected to the output side of the capacitance writing unit 34. Reference numeral 21 is a file that stores a netlist extracted from the layout of the LSI, and is input by the netlist input unit 21. 24
Is a file that stores information in which the user has designated which wiring and the board should be allocated wiring capacity or which wiring capacity should be allocated. The allocation method input unit 23
Is entered by

【0034】26はネットリスト22に記述されたノー
ドと配線との対応関係を示す情報を格納するファイルで
ある。27はノード間の位置関係(例えば、配線間で容
量を構成するような場合、ノードに対応する配線がレイ
アウト上でどのレイヤーであるか、または同一レイヤー
の配線間で隣接する容量を構成する場合、その隣接する
配線に対応するノード)を示す情報を格納するファイル
である。28はノードとこのノードに対応する配線の面
積AREA(cm2 )及び周囲のそれぞれの辺の長さ
(cm)等を格納するファイルである。26,27,2
8はノード情報入力部25によって入力される。31は
配線容量を求める(配線容量は配線と基板間または配線
間の層間絶縁膜の誘電率、膜厚などによって異なるた
め)ために、さまざまな値の平行平板の単位面積当たり
の容量(F/cm2 )及び単位長当たりのフリンジング
容量(F/cm)を格納する配線容量パラメータファイ
ルである。31は配線容量パラメータ選択部30によっ
て入力される。
Reference numeral 26 is a file for storing information indicating the correspondence between the nodes described in the netlist 22 and the wiring. Reference numeral 27 indicates a positional relationship between nodes (for example, when a capacitance is formed between wirings, when a wiring corresponding to a node is a layer on a layout, or when wirings of the same layer form adjacent capacitances). , A node corresponding to the adjacent wiring). Reference numeral 28 is a file that stores the area AREA (cm 2 ) of the node and the wiring corresponding to this node, the length (cm) of each side around the node, and the like. 26, 27, 2
8 is input by the node information input unit 25. In order to obtain the wiring capacitance (since the wiring capacitance differs depending on the dielectric constant, the film thickness, etc. of the wiring and the substrate or the interlayer insulating film between the wirings), the capacitance per unit area (F / cm 2 ) and a fringing capacitance per unit length (F / cm) are wiring capacitance parameter files. 31 is input by the wiring capacitance parameter selection unit 30.

【0035】次に、図14を参照しつつ本第8の実施例
の回路シミュレータの動作の説明をする。ネットリスト
入力部21では、LSIレイアウトパターンより抽出さ
れたネットリスト22を読み込む。割付方法入力部23
では、容量割付方法24を読み込み、ユーザが容量割付
しようとしている配線を着目配線として入力する。ノー
ド情報入力部25では、ノードと配線の対応関係26、
ノード間の位置関係27、ノードと面積AREA及び周
囲長PERIの対応関係28などのノードに関する情報
を読み込む。容量割付ノード選択部29では、ノードと
配線の対応関係26を参照して、入力されたネットリス
ト22により、着目配線に対応するノードを選択する。
配線容量パラメータ選択部30では、ノードと配線の対
応関係26とノード間の位置関係27をそれぞれ参照し
て、着目配線構造を得て、この着目配線構造から着目配
線の配線容量を構成する平板容量Cp* (F/cm2
とフリンジング容量Cf* (F/cm)を配線容量パラ
メータファイル21より選択する。図15(a),
(b)は配線容量を割当てようとしている配線のレイア
ウトの平面図である。図に示すように、配線の面積AR
EA及びその周囲長PERIがが図14中の28に格納
されており、ノード情報入力部25によって入力されて
いる。図15中の横方向は配線の幅方向を表し、縦方向
は配線方向を表す。PERI分割部32では、ノード情
報入力部25によって入力された着目配線に対応するノ
ードと着目配線の周囲長PERIの対応関係28を参照
して、図15(b)に示すように、周囲長PERIを配
線の幅方向の長さPR1と配線方向の長さPR2の二つ
の成分に分ける。
Next, the operation of the circuit simulator of the eighth embodiment will be described with reference to FIG. The netlist input unit 21 reads the netlist 22 extracted from the LSI layout pattern. Allocation method input unit 23
Then, the capacity allocation method 24 is read, and the wiring to be allocated by the user is input as the wiring of interest. In the node information input unit 25, the correspondence 26 between the node and the wiring,
Information regarding the nodes such as the positional relationship 27 between the nodes and the correspondence relationship 28 between the nodes and the area AREA and the perimeter PERI is read. The capacity allocation node selection unit 29 refers to the correspondence 26 between the node and the wiring, and selects the node corresponding to the wiring of interest from the input netlist 22.
The wiring capacitance parameter selection unit 30 refers to the node-to-wiring correspondence 26 and the positional relationship 27 between the nodes, respectively, to obtain a wiring structure of interest, and to form a wiring capacitance of the wiring of interest from this wiring structure of interest. Cp * (F / cm 2 )
And the fringing capacitance Cf * (F / cm) are selected from the wiring capacitance parameter file 21. FIG. 15 (a),
(B) is a plan view of the layout of the wiring for which wiring capacity is to be allocated. As shown in the figure, the wiring area AR
The EA and its perimeter PERI are stored in 28 in FIG. 14 and are input by the node information input unit 25. The horizontal direction in FIG. 15 represents the width direction of the wiring, and the vertical direction represents the wiring direction. In the PERI dividing unit 32, as shown in FIG. 15B, the peripheral length PERI is referred to by referring to the correspondence relation 28 between the node corresponding to the target wiring and the peripheral length PERI of the target wiring input by the node information input unit 25. Is divided into two components, that is, the length PR1 in the width direction of the wiring and the length PR2 in the wiring direction.

【0036】図16は、本第8の実施例の配線の容量モ
デルを示す図である。図16に示すように、この容量モ
デルでは、配線40の容量は配線40の底部による容量
値Cf* の平板容量C40−1と容量値Cf* の配線長
さ方向の側面からの電気力線によるフリンジング容量C
40−2,C40−3とによって対地容量が構成されて
いるものとしている。容量算出/割付部33では、図1
6に示す配線容量のモデルに従い、割付ノードに対応し
た面積AREAと配線方向の長さPR1と平板容量値C
* とフリンジング容量値Cf* とを参照して、次式
(6)より割付容量Cを算出し、この割付容量Cを対地
容量として着目配線への容量割当を行う。 C=AREA*Cp* +2PR2*Cf* =Cp+2Cf ・・・(6) ここで、CpはAREA*Cp* の平板容量値、Cfは
PR2*Cf* のフリンジング容量値である。
FIG. 16 is a diagram showing a wiring capacity model of the eighth embodiment. As shown in FIG. 16, in this capacity model, capacitance of the wiring 40 by the electric lines of force from the capacitance value Cf * flat capacitance C40-1 and the capacitance value Cf * wiring length direction of the side surface of the by the bottom of the wiring 40 Fringing capacity C
It is assumed that the ground capacity is constituted by 40-2 and C40-3. In the capacity calculation / allocation unit 33, FIG.
According to the wiring capacitance model shown in 6, the area AREA corresponding to the allocation node, the length PR1 in the wiring direction, and the plate capacitance value C
With reference to p * and the fringing capacitance value Cf * , the allocated capacity C is calculated from the following equation (6), and the allocated capacity C is used as the ground capacity to allocate the capacity to the wiring of interest. C = AREA * Cp * + 2PR2 * Cf * = Cp + 2Cf (6) Here, Cp is the plate capacitance value of AREA * Cp * , and Cf is the fringing capacitance value of PR2 * Cf * .

【0037】図17は、本第8の実施例の配線容量を示
す図である。図中、41は着目配線、C41は割当てら
れた容量を示している。容量書き込み部34では、容量
の割当をした配線に対応するネットリストのノードに定
義された容量をこの割当容量Cで更新する。回路シミュ
レーョン実行部35では、容量書き込み部34により更
新されたLSIの配線状態を反映したネットリストを入
力して、回路シミュレーションの実行をする。以上説明
したように、本第8の実施例では、割付方法入力部23
を設けたので、LSI配線レイアウトに応じて、その寄
生容量の割付方法24を選択することができ、この方法
に従って、容量算出/割付部23では、ネットリスト入
力部21、ノード情報入力部25、配線パラメータ選択
部30により取り込まれた従来の回路シミュレータでは
対応することができなかったLSIレイアウトパターン
に関する諸々の情報とPERI分割部32により分割さ
れた配線長の周辺成分PR1とPR2を利用して、式
(6)により割付容量Cを算出し、全容量をまとめて対
地容量としてノード間への容量割当を行うことができ
る。よって、ノードと配線の対応関係データ26,ノー
ド間の位置関係27,ノードとAREA,PERIの関
係データ28といった、LSI配線群の回路シミュレー
ションのネットリストのノードに対して有するデータ
を、1種類の容量にまとめず別々に入力しているため、
LSI配線特有の寄生容量を十分反映可能な回路シミュ
レータとなっている。このため、レイアウトデータに対
してタイミング検証をするLSI設計手法では、有効な
回路シミュレータとなる。
FIG. 17 is a diagram showing the wiring capacitance of the eighth embodiment. In the figure, reference numeral 41 indicates the wiring of interest, and C41 indicates the allocated capacity. In the capacity writing unit 34, the capacity defined in the node of the netlist corresponding to the wiring to which the capacity is allocated is updated with the allocated capacity C. The circuit simulation execution unit 35 inputs the netlist that reflects the wiring state of the LSI updated by the capacitance writing unit 34, and executes the circuit simulation. As described above, in the eighth embodiment, the allocation method input unit 23
Since the parasitic capacitance allocating method 24 can be selected according to the LSI wiring layout, the capacitance calculating / allocating unit 23 according to this method, the netlist input unit 21, the node information input unit 25, Utilizing various information relating to the LSI layout pattern which cannot be dealt with by the conventional circuit simulator fetched by the wiring parameter selecting unit 30 and the peripheral components PR1 and PR2 of the wiring length divided by the PERI dividing unit 32, The allocated capacity C can be calculated by the equation (6), and all the capacities can be put together as the ground capacity and the capacity can be allocated between the nodes. Therefore, one kind of data, such as the correspondence data 26 between nodes and wirings, the positional relationship 27 between nodes, and the relational data 28 between nodes and AREAs and PERIs, which are possessed for the nodes of the net list of the circuit simulation of the LSI wiring group, can be obtained. Because they are input separately without being collected in the capacity,
It is a circuit simulator that can sufficiently reflect the parasitic capacitance peculiar to LSI wiring. Therefore, it is an effective circuit simulator in the LSI design method of performing timing verification on layout data.

【0038】また、従来のLSIレイアウトパターンよ
り抽出されたネットリスト22では、抽出する範囲を限
定して、ネットリスト22を容易に抽出するため細長い
配線を幾つかの配線に分割して配線を切り出し、この配
線の両端の切断面の配線幅方向の2つの線分から出る電
気力線によるフリンジング容量分を見積もっていた。し
かし、このフリンジング容量は本来は有り得ないため、
この分だけ多めに見積もっていたという問題があった
が、本第8の実施例により分割した配線をレイアウト通
りに1本配線として容量割付方法を指定することによっ
て、このような問題が解決され、精度の高い回路シミュ
レーョン結果が得られるという利点がある。
In the netlist 22 extracted from the conventional LSI layout pattern, the extraction range is limited, and in order to easily extract the netlist 22, the elongated wiring is divided into several wirings and the wirings are cut out. The fringing capacitance due to the lines of electric force generated from the two line segments in the wiring width direction on the cut surfaces at both ends of this wiring was estimated. However, since this fringing capacity is not possible originally,
Although there is a problem that the amount of wiring is overestimated by this amount, such a problem is solved by designating the capacitance allocation method with the wiring divided according to the eighth embodiment as one wiring according to the layout, There is an advantage that a highly accurate circuit simulation result can be obtained.

【0039】第9の実施例 図18は、本発明の第9の実施例の回路シミュレータの
機能を示す配線容量の図である。本第9の実施例が第8
の実施例と異なる点は、図14中の容量算出/割付部3
3に同一層における隣接する配線間の容量を求める機能
を付加したことである。図中、42,43、44は配線
を示し、C42,C43,C44は配線42,43,4
4の対地容量、C45は配線42と43との間の隣接容
量、C46は配線43と44との間の隣接容量である。
以下、本第9の実施例の回路シミュレータの動作の説明
をする。容量算出/割付部33では、容量割付方法24
によって指定され、割付方法入力部23により入力され
た着目配線と基板間の容量及び着目配線と隣接する配線
間の容量に指定にしたがって、着目配線と基板と間の対
地容量C1 と隣接配線との隣接容量C2 をそれぞれ式
(7),(8)に従って算出する。 C1 =Cp* ×AREA+PR2×Cf* ・・・(7) C2 =PR2×Cf* ・・・(8) ここで、Cp* は着目配線と基板間の平板容量のパラメ
ータ、AREAは着目配線の面積、PR2は着目配線の
配線9の長さ、Cf* はフリンジング容量パラメータで
ある。以上説明したように、本第9の実施例では、図1
7に示したように、対地容量をCp+Cf、隣接容量を
Cfとした形式でノード間の配線容量の割付ができるの
で、隣接配線間の容量をそれぞれ見積もることが可能と
なり、隣接効果が顕著になってくるサブミクロンデバイ
スの回路シミュレーョンにおいては、隣接配線による寄
生容量の影響を考慮した高精度なシミュレーョン結果が
得られる。
Ninth Embodiment FIG. 18 is a wiring capacitance diagram showing the function of the circuit simulator of the ninth embodiment of the present invention. The ninth embodiment is the eighth
14 is different from the embodiment described above in that the capacity calculation / allocation unit 3 in FIG.
3 is the addition of the function of obtaining the capacitance between adjacent wirings in the same layer. In the figure, 42, 43 and 44 indicate wirings, and C42, C43 and C44 indicate wirings 42, 43 and 4
4, C45 is an adjacent capacitance between the wirings 42 and 43, and C46 is an adjacent capacitance between the wirings 43 and 44.
The operation of the circuit simulator according to the ninth embodiment will be described below. In the capacity calculation / allocation unit 33, the capacity allocation method 24
According to the capacitance between the wiring of interest and the board and the capacitance between the wiring of interest and the adjacent wiring which are designated by the allocation method input unit 23, the ground capacitance C 1 between the wiring of interest and the board and the adjacent wiring The adjacent capacitance C 2 of the above is calculated according to equations (7) and (8), respectively. C 1 = Cp * × AREA + PR2 × Cf * ··· (7) C 2 = PR2 × Cf * ··· (8) wherein, Cp * is a flat plate capacitance between the target wiring and the substrate parameters, AREA is the target wiring , PR2 is the length of the wiring 9 of the target wiring, and Cf * is a fringing capacitance parameter. As described above, in the ninth embodiment, as shown in FIG.
As shown in FIG. 7, since the wiring capacitance between the nodes can be allocated in a format in which the ground capacitance is Cp + Cf and the adjacent capacitance is Cf, it is possible to estimate the capacitance between the adjacent wirings, and the adjacent effect becomes remarkable. In the circuit simulation of the coming submicron device, a highly accurate simulation result considering the influence of the parasitic capacitance due to the adjacent wiring can be obtained.

【0040】第10の実施例 図19は、本発明の第10の実施例の回路シミュレータ
の機能を示す配線容量の図である。図中、45は配線、
46は配線45の上層配線、C46は配線45の対地容
量、C47は配線45と上層配線46との間の配線容量
である。本第10の実施例が第8の実施例と異なる点
は、図14中の容量算出/割付部33に上層との間の配
線容量を求める機能を付加したことである。以下、本第
10の実施例の回路シミュレータの動作の説明をする。
図14中の容量算出/割付部33では、容量割付方法2
4によって指定され、割付方法入力部23により入力さ
れた着目配線45と基板間の容量及び着目配線45と上
層配線46間の容量に指定にしたがって、着目配線と基
板と間の対地容量と上層配線との配線容量を式(6)に
より算出する。ここでは、着目配線45の対地容量と着
目配線45と上層配線46との間の配線容量は等しいも
のとする。以上説明したように、本第10の実施例で
は、対地容量に加えて、上層配線との間の容量を見積る
ことが可能であり、LSIの集積度の向上に応じて配線
の層数の増す多層配線構造を対象とした回路シミュレー
タにおいては、レイアウトデータ抽出装置によって容量
抽出、割当を行った場合や、第7の実施例のように全容
量をまとめて対地容量とした場合に比べて、上層配線の
電位を反映でき、より精度の高いシミュレーション結果
が得られるという利点がある。
Tenth Embodiment FIG. 19 is a wiring capacitance diagram showing the function of the circuit simulator of the tenth embodiment of the present invention. In the figure, 45 is wiring,
46 is an upper layer wiring of the wiring 45, C46 is a ground capacitance of the wiring 45, and C47 is a wiring capacitance between the wiring 45 and the upper layer wiring 46. The tenth embodiment is different from the eighth embodiment in that the capacity calculating / allocating unit 33 in FIG. 14 is provided with a function for obtaining the wiring capacity between the upper layer and the upper layer. The operation of the circuit simulator of the tenth embodiment will be described below.
In the capacity calculation / allocation unit 33 in FIG. 14, the capacity allocation method 2
4 and the capacitance between the wiring of interest 45 and the substrate and the capacitance between the wiring of interest 45 and the upper layer wiring 46 designated by the allocation method input unit 23, the ground capacitance between the wiring of interest and the substrate and the upper layer wiring are specified. The wiring capacitance between and is calculated by the equation (6). Here, the ground capacitance of the target wiring 45 and the wiring capacitance between the target wiring 45 and the upper layer wiring 46 are assumed to be equal. As described above, in the tenth embodiment, it is possible to estimate the capacitance with the upper layer wiring in addition to the ground capacitance, and the number of wiring layers is increased as the integration degree of the LSI is improved. In the circuit simulator for the multi-layer wiring structure, the upper layer is compared to the case where the capacitance is extracted and assigned by the layout data extracting device or the total capacitance is collectively set to the ground capacitance as in the seventh embodiment. There is an advantage that the potential of the wiring can be reflected and a more accurate simulation result can be obtained.

【0041】第11の実施例 図20は、本発明の第11の実施例の回路シミュレータ
の機能を示す配線容量の図であり、図19中の要素と共
通の要素には、同一の符号を付してある。図20中のC
48は着目配線45の対地容量、C49は着目配線45
と上層配線46との間の配線容量である。本第11の実
施例が第10の実施例と異なる点は、図14中の容量算
出/割付部33には着目配線45の対地容量C48と上
層配線46との間の配線容量C49を別々の容量パラー
メータを用いて算出するようにしたことである。以下、
本第11の実施例の回路シミュレータの動作の説明をす
る。図14中の容量算出/割付部33では、容量割付方
法24によって指定され、割付方法入力部23により入
力された着目配線45と基板間の容量及び着目配線45
と上層配線46間の容量に指定にしたがって、着目配線
と基板と間の対地容量と上層配線との配線容量を(6)
によりそれぞれ別々に算出する。図20中のCpbは対地
容量C48の平板容量成分、CfrはC48のフリンジン
グ成分であり、Cptは上層配線間の容量C49の平板容
量成分、CfrはC49のフリンジング成分である。以上
説明したように、第11の実施例では、着目配線の上層
配線と着目配線の下層配線または配線と同等に容量を構
成することが可能な基板などによる電極の位置が着目配
線に関して対称に位置すると仮定して、それらの対地容
量が等しいものとしたが、これら位置が対称でない場合
にも、本第11の実施例により、着目配線と上層配線と
の間の配線容量と着目配線と下層配線等の配線容量とを
それぞれ別々に求めることにより、全ての多層配線間の
組み合わせに対して、着目配線の容量を割り当てること
が可能である。
Eleventh Embodiment FIG. 20 is a wiring capacitance diagram showing the function of the circuit simulator according to the eleventh embodiment of the present invention. Elements common to those in FIG. 19 are designated by the same reference numerals. It is attached. C in FIG.
48 is the ground capacitance of the target wiring 45, and C49 is the target wiring 45.
And the wiring capacitance between the upper layer wiring 46 and the upper layer wiring 46. The eleventh embodiment is different from the tenth embodiment in that the capacitance calculating / allocating unit 33 in FIG. 14 has different wiring capacitances C49 between the ground capacitance C48 of the target wiring 45 and the upper wiring 46. That is, the calculation is performed using a capacity parameter. Less than,
The operation of the circuit simulator of the 11th embodiment will be described. In the capacity calculation / allocation unit 33 in FIG. 14, the capacity between the target wiring 45 designated by the capacity allocation method 24 and input by the allocation method input unit 23 and the board, and the target wiring 45.
According to the designation between the capacitance between the upper wiring and the upper wiring 46, the ground capacitance between the wiring of interest and the substrate and the wiring capacitance between the upper wiring (6)
Are calculated separately by. In FIG. 20, Cpb is the plate capacitance component of the ground capacitance C48, Cfr is the fringing component of C48, Cpt is the plate capacitance component of the capacitance C49 between the upper wirings, and Cfr is the fringing component of C49. As described above, in the eleventh embodiment, the upper layer wiring of the target wiring and the lower layer wiring of the target wiring or the electrodes of the substrate capable of forming a capacitance equivalent to the wiring are positioned symmetrically with respect to the target wiring. Assuming that the ground capacitances are equal to each other, the wiring capacitance between the target wiring and the upper layer wiring and the target wiring and the lower layer wiring are also set according to the eleventh embodiment even when these positions are not symmetrical. It is possible to allocate the capacitance of the wiring of interest to all combinations among the multi-layer wirings by separately obtaining the wiring capacitances such as.

【0042】第12の実施例 図21は、本発明の第12の実施例の回路シミュレーシ
ョン方法の概念を説明する図である。本実施例は、LS
I中の回路シミュレーションを行なう際にルックアップ
テーブルを用いて、回路間の遅延時間を算出する回路シ
ミュレーション方法である。従来の文献3では、単純に
図4に示される容量軸Cax上の容量値と入力波形のなま
りSn とを組合わせて遅延時間を求める方式であった。
配線長lT に対してシミュレーションに用いる容量値
が、図21の直線50に示すように比例するものとして
いる。つまり、リーフセル群の入力ゲート容量に関係な
く、シミュレーションに用いる容量値が選択されてい
た。しかしながら、実際には、回路セルに接続される容
量性負荷Ccには、配線容量と次段回路のゲート容量と
がある。この第12の実施例では、対象配線長lT に対
応するする配線容量値C(lT )と、該配線に接続され
たファンアウト容量、つまりリーフセル群のゲート容量
F/O とが、独立した要素として、平面ルックアップテー
ブルに記述している。これら、配線容量値C(lT )と
ゲート容量F/O の組合わせによって、容量性負荷Ccが
一意的に、決定されるようになっている。結果として、
回路シミュレーションに用いられる容量性負荷Ccは、
図21中の曲線51に示す非線形に対応することにな
る。
Twelfth Embodiment FIG. 21 is a diagram for explaining the concept of the circuit simulation method of the twelfth embodiment of the present invention. In this embodiment, the LS
It is a circuit simulation method for calculating a delay time between circuits by using a look-up table when performing a circuit simulation in I. In the conventional document 3, the delay time is simply obtained by combining the capacitance value on the capacitance axis C ax shown in FIG. 4 and the input waveform rounding Sn.
It is assumed that the capacitance value used in the simulation is proportional to the wiring length l T as shown by the straight line 50 in FIG. That is, the capacitance value used for the simulation is selected regardless of the input gate capacitance of the leaf cell group. However, actually, the capacitive load Cc connected to the circuit cell has a wiring capacitance and a gate capacitance of the next-stage circuit. In the twelfth embodiment, the wiring capacitance value C (l T ) corresponding to the target wiring length l T and the fan-out capacitance connected to the wiring, that is, the gate capacitance of the leaf cell group.
F / O is described in the plane lookup table as an independent element. The capacitive load Cc is uniquely determined by the combination of the wiring capacitance value C (l T ) and the gate capacitance F / O. as a result,
The capacitive load Cc used for circuit simulation is
This corresponds to the non-linearity indicated by the curve 51 in FIG.

【0043】例えば、ASICの基本ゲートの2入力N
AND等の平均負荷(ファンアウト数が2で、配線長が
2mm)に対する回路遅延時間tpdを算出する場合、
対応するゲート容量F/O と配線容量値C(lT )とに基
づき、曲線51上の値がルックアップテーブルから抽出
される。この場合は、図21中の52の領域の値が抽出
される。また、回路セルの出力端子に配線長が10mm
〜20mmといった長い制御系配線が接続され、その制
御系配線が40〜60のファンアウトを有したときの回
路遅延時間tpdを算出する場合、図21中の53に示
される領域が抽出される。ここで、従来の配線容量とゲ
ート容量の関係を考慮しない技術では、直線50上の点
が抽出されるので、領域53との差はΔF/O となる。抽
出された値に基づいて容量性負荷Ccが求められ、最終
的に、次の(9)式で遅延時間tpdが表現される。 tpd=f(C(lT ),F/O ,Sn ) ・・・(9) 以上のように、この第12の実施例では、ルックアップ
テーブルを用いる回路シミュレーション方法において、
ルックアップテーブルに対する記述をゲート容量F/O と
配線容量値C(lT )と分離して記述し、(9)式で遅
延時間を算出するようにしている。一方、文献3に示さ
れた従来の方法では、遅延時間tpdを次の(10)式
で算出している。 tpd=f(Cn ,Sn ) ・・・(10) 即ち、LSIの負荷を、従来よりも具体的事項に沿って
表現することが可能となり、遅延時間の算出精度が向上
することになる。
For example, 2-input N of the basic gate of ASIC
When calculating the circuit delay time tpd for an average load such as AND (the fanout number is 2 and the wiring length is 2 mm),
The value on the curve 51 is extracted from the lookup table based on the corresponding gate capacitance F / O and the wiring capacitance value C (l T ). In this case, the value of the area 52 in FIG. 21 is extracted. Also, the wiring length is 10 mm at the output terminal of the circuit cell.
When a circuit delay time tpd is calculated when a long control system wiring such as ˜20 mm is connected and the control system wiring has a fanout of 40 to 60, the area indicated by 53 in FIG. 21 is extracted. Here, in the conventional technique that does not consider the relationship between the wiring capacitance and the gate capacitance, the point on the straight line 50 is extracted, so the difference from the region 53 is ΔF / O. The capacitive load Cc is obtained based on the extracted value, and finally the delay time tpd is expressed by the following equation (9). tpd = f (C (l T ), F / O, Sn) (9) As described above, in the twelfth embodiment, in the circuit simulation method using the lookup table,
The description for the look-up table is described separately for the gate capacitance F / O and the wiring capacitance value C (l T ), and the delay time is calculated by the equation (9). On the other hand, in the conventional method shown in Document 3, the delay time tpd is calculated by the following equation (10). tpd = f (Cn, Sn) (10) That is, it becomes possible to express the load of the LSI along more specific items than in the past, and the calculation accuracy of the delay time is improved.

【0044】第13の実施例 図22は、本発明の第13の実施例を示す回路シミュレ
ータの機能ブロック図である。この回路シミュレータ
は、LSIの回路間の容量性負荷を含む回路情報を入力
する回路記述入力部60と、その回路情報に基づき、シ
ミュレーションに抵抗成分を考慮する必要があるかどう
かを判断する負荷内容判断部61とを備えている。負荷
内容判断部61の出力側には、第1,第2の回路遅延算
出部62,63が接続されている。回路遅延算出部62
は、抵抗成分を考慮する必要がない場合に動作し、回路
間の容量性負荷における配線容量と次段回路のゲート容
量とを独立要素として分離して記述した第12の実施例
で説明した第1のルックアップテーブル64を参照し、
各回路間の遅延時間を算出する機能を有している。回路
遅延算出部63は、抵抗成分を考慮する必要がある場合
に動作し、ルックアップテーブル64とは異なる第2の
ルックアップテーブル65を参照して、各回路間の遅延
時間を算出する機能を有している。各回路遅延時間算出
部62,63の出力側が、回路遅延出力部67に接続さ
れている。回路遅延出力部67が、最終的な遅延時間を
出力する構成になっている。
13th Embodiment FIG. 22 is a functional block diagram of a circuit simulator showing a 13th embodiment of the present invention. This circuit simulator includes a circuit description input unit 60 for inputting circuit information including a capacitive load between LSI circuits, and a load content for determining whether or not a resistance component needs to be taken into consideration in the simulation based on the circuit information. And a determination unit 61. First and second circuit delay calculation units 62 and 63 are connected to the output side of the load content determination unit 61. Circuit delay calculation unit 62
Operates when there is no need to consider the resistance component, and the wiring capacitance in the capacitive load between the circuits and the gate capacitance of the next-stage circuit are described as separate elements and described in the twelfth embodiment. Referring to the lookup table 64 of 1,
It has a function of calculating the delay time between each circuit. The circuit delay calculation unit 63 operates when it is necessary to consider the resistance component, and has a function of calculating the delay time between the circuits by referring to the second lookup table 65 different from the lookup table 64. Have The output sides of the circuit delay time calculation units 62 and 63 are connected to the circuit delay output unit 67. The circuit delay output section 67 is configured to output the final delay time.

【0045】図23は、図22中のルックアップテーブ
ル65の記述を説明する図である。ルックアップテーブ
ル65には、容量性負荷における配線容量要素C
(lT )と次段回路のゲート容量F/O とを独立要素と
し、さらに、その配線容量要素C(lT )は抵抗成分R
* と容量成分C* とに分離して記述している。例えば、
総配線長lT 上の位置を示す3点をlT1,lT2,lT3
する。各位置lT1,lT2,lT3における抵抗成分R* と
容量成分C* は配線幅に対応し、かつそれら抵抗成分R
* と容量成分C* との関係は反比例となっているので、
各位置lT1,lT2,lT3に対応して、図23の直線
P1,lP2,lP3が決る。この各直線lP1,lP2,lP3
上に、各位置lT1,lT2,lT3に対する配線幅に応じた
抵抗成分R* と容量成分C* の組合わせの点が、それぞ
れnP1,nP2,nP3と決定される。3点nP1,nP2,n
P3を補間或いは回帰した直線が、配線容量要素C
(lT P として用いられる。図24は、実配線の例を
示す図である。実配線68が、図24のように3種の配
線幅を有しているとする。このシート抵抗をRs 、及び
単位面積辺りの容量をCu とすると、3点lT1,lT2
T3での抵抗成分はRs ,2Rs ,4Rs 、及び容量成
分は16Cu ,8Cu ,4Cuとなる。これらの組合わ
せが、図23中の各点nP1,nP2,nP3にそれぞれ対応
する。各点nP1,nP2,nP3の乗った配線容量要素軸C
(lT P は、第12の実施例における配線容量軸C
(lT )に相当するものとなる。
FIG. 23 is a diagram for explaining the description of the lookup table 65 in FIG. The lookup table 65 includes wiring capacitance elements C in the capacitive load.
(L T ) and the gate capacitance F / O of the next-stage circuit are independent elements, and the wiring capacitance element C (l T ) has a resistance component R
It is described separately for * and capacitance component C *. For example,
Three points indicating positions on the total wiring length l T are defined as l T1 , l T2 , and l T3 . The resistance component R * and the capacitance component C * at each position l T1 , l T2 , l T3 correspond to the wiring width, and the resistance component R *
Since the relationship between * and the capacitance component C * is inversely proportional,
The straight lines l P1 , l P2 and l P3 in FIG. 23 are determined corresponding to the respective positions l T1 , l T2 and l T3 . These straight lines l P1 , l P2 , l P3
The points of combination of the resistance component R * and the capacitance component C * corresponding to the wiring width for each of the positions l T1 , l T2 , and l T3 are determined as n P1 , n P2 , and n P3 , respectively. 3 points n P1 , n P2 , n
The line obtained by interpolating or regressing P3 is the wiring capacitance element C
Used as (l T ) P. FIG. 24 is a diagram showing an example of actual wiring. It is assumed that the actual wiring 68 has three types of wiring widths as shown in FIG. If this sheet resistance is R s and the capacitance per unit area is C u , then three points l T1 , l T2 ,
The resistance component at l T3 becomes R s , 2R s , 4R s , and the capacitance component becomes 16C u , 8C u , 4C u . These combinations correspond to the points n P1 , n P2 , and n P3 in FIG. 23, respectively. Wiring capacitance element axis C on which points n P1 , n P2 , and n P3 ride
(L T ) P is the wiring capacitance axis C in the twelfth embodiment.
It corresponds to (l T ).

【0046】次に、図22の回路シミュレータの動作を
説明する回路記述入力部60を介して、LSIの回路間
の容量性負荷を含む回路情報が入力される。負荷内容判
断部61は入力された回路情報に基づき、シミュレーシ
ョンに抵抗成分の考慮が必要か否かを判断する。この判
断の根拠としては、例えば制御系のクロックを伝搬する
配線のように、電流密度に応じて異なる配線幅を有する
容量性負荷に対しては、抵抗成分の考慮が必要と判断す
る。回路シミュレーションで抵抗成分の考慮が不要と判
断された場合、回路遅延算出部62が動作し、ルックア
ップテーブル64を参照して回路間の遅延時間tpdを
算出する。この算出には、第12の実施例における
(9)式が用られる。回路シミュレーションで抵抗成分
の考慮が必要と判断された場合、回路遅延算出部63が
動作し、配線幅に基づいてルックアップテーブル65を
参照し、回路間の遅延時間tpdを算出する。この算出
には、次の(11)式が用られる。 tpd=f(R*,C* ,F/O ,Sn) ・・・(11) それら回路遅延算出部62,63で算出された遅延時間
tpdが、回路遅延出力部67を介して出力される。以
上のように、この第13の実施例では、配線容量要素を
抵抗成分R* と容量成分C* とに分離して記述したルッ
クアップテーブル65を参照して、回路シミュレーショ
ンを行なうので、例えば、制御系のクロック信号等を伝
搬する配線等のように、電流密度に応じて配線幅の変化
する場合のシミュレーションに、抵抗成分を考慮するこ
とが可能となり、伝搬遅延時間のシミュレーションの精
度が向上する。また、抵抗成分を考慮する必要があるか
どうかを判断する負荷内容判断部61を設け、その負荷
内容判断部61の判断で、回路遅延算出部62または6
3が遅延時間算出を行なうので、抵抗成分R* を考慮す
る必要のない場合の遅延時間の算出も可能になってい
る。
Next, the circuit information including the capacitive load between the circuits of the LSI is input through the circuit description input unit 60 for explaining the operation of the circuit simulator of FIG. The load content determination unit 61 determines, based on the input circuit information, whether or not it is necessary to consider the resistance component in the simulation. As a basis for this determination, it is determined that the resistance component needs to be taken into consideration for a capacitive load having a wiring width that varies depending on the current density, such as a wiring that propagates a control system clock. When it is determined in the circuit simulation that the consideration of the resistance component is unnecessary, the circuit delay calculation unit 62 operates and calculates the delay time tpd between the circuits by referring to the lookup table 64. Equation (9) in the twelfth embodiment is used for this calculation. When it is determined in the circuit simulation that the resistance component needs to be considered, the circuit delay calculation unit 63 operates, the lookup table 65 is referenced based on the wiring width, and the delay time tpd between the circuits is calculated. The following equation (11) is used for this calculation. tpd = f (R *, C *, F / O, Sn) (11) The delay time tpd calculated by the circuit delay calculation units 62 and 63 is output via the circuit delay output unit 67. . As described above, in the thirteenth embodiment, the circuit simulation is performed with reference to the look-up table 65 in which the wiring capacitance element is described by separating the resistance component R * and the capacitance component C *. It is possible to consider the resistance component in the simulation when the wiring width changes according to the current density, such as the wiring that propagates the clock signal of the control system, etc., and the accuracy of the simulation of the propagation delay time improves. . Further, a load content determination unit 61 for determining whether or not the resistance component needs to be considered is provided, and the circuit content delay calculation unit 62 or 6 is determined by the load content determination unit 61.
Since 3 calculates the delay time, it is possible to calculate the delay time when it is not necessary to consider the resistance component R *.

【0047】なお、本発明は、上記実施例に限定されず
種々の変形が可能である。その変形例としては、例えば
次のようなものがある。 (1) 第9の実施例では、着目配線と隣接する配線間
の隣接容量は、着目配線の対地容量のフリンジング容量
に等しいものとして算出したが、隣接容量と対地容量の
フリンジング容量の和が式(6)中の2Cfに等しくな
るように振り分けるようにしてもよい。 (2) 第8〜第10の実施例の回路シミュレータを組
み合わせて容量を算出ことも可能である。それによっ
て、着目配線の有する総配線容量を、下層に対地容量と
してまためるか、或いは、隣接配線や上層配線に振り分
けて再構成する事の選択が可能となるため、ダイナミッ
クな動作シミュレーションで問題となる配線間のミラー
容量によるノイズや配線遅延増加のシミュレーション
が、シミュレータ精度上必要な時、容易に実現される回
路シミュレータとなる。 (3) 第13の実施例では、抵抗成分R* と容量成分
C* の関係を線形と仮定し、それを直線lP1,lP2,l
P3で表していたが、微細なLSIの配線領域では、配線
パターンのエッジの影響等からフリンジング効果による
容量成分は無視できない。この場合、抵抗成分R* と容
量成分C* の関係をlP1,lP2,lP3の直線で表すこと
には、無理がある。図25は図24の実配線のフリンジ
ング効果を説明する図であり、図26は図23の補正を
説明する図である。単位長さ当たりのフリンジング効果
の容量成分をCf とすると、図25のように、図24に
おける各容量成分C* には、位置lT1,lT2,lT3に対
応して4Cf がそれぞれ加算される。この結果を表すた
めには、図26のように図23中の各直線lP1,lP2
P3を、曲線lf1,lf2,lf3にそれぞれ置換すればよ
い。実配線68上の位置lT1,lT2,lT3に対応した曲
線上の点nf1,nf2,nf3を結ぶ曲線C(lT f が、
図23中の配線容量要素軸C(lT P に相当すること
になる。
The present invention is not limited to the above embodiment, and various modifications can be made. The following are examples of such modifications. (1) In the ninth embodiment, the adjacent capacitance between the wiring of interest and the adjacent wiring is calculated as being equal to the fringing capacitance of the ground capacitance of the wiring of interest, but the sum of the fringing capacitance of the adjacent capacitance and ground capacitance is calculated. May be distributed so that is equal to 2Cf in the equation (6). (2) It is also possible to calculate the capacitance by combining the circuit simulators of the eighth to tenth embodiments. As a result, it is possible to select the total wiring capacity of the wiring of interest as a ground capacitance in the lower layer, or to divide it into adjacent wiring and upper layer wiring for reconfiguration, which causes problems in dynamic operation simulation. The circuit simulator can be easily realized when simulation of noise and increase in wiring delay due to mirror capacitance between wirings is required for simulator accuracy. (3) In the thirteenth embodiment, the relationship between the resistance component R * and the capacitance component C * is assumed to be linear, and the straight line l P1 , l P2 , l
Although represented by P3 , in the wiring area of a fine LSI, the capacitive component due to the fringing effect cannot be ignored due to the influence of the edges of the wiring pattern. In this case, it is unreasonable to express the relationship between the resistance component R * and the capacitance component C * by a straight line of l P1 , l P2 , l P3 . 25 is a diagram for explaining the fringing effect of the actual wiring of FIG. 24, and FIG. 26 is a diagram for explaining the correction of FIG. Assuming that the capacitance component of the fringing effect per unit length is C f , as shown in FIG. 25, each capacitance component C * in FIG. 24 has 4C f corresponding to the positions l T1 , l T2 , and l T3. Each is added. In order to express this result, as shown in FIG. 26, the straight lines l P1 , l P2 ,
It is sufficient to replace l P3 with the curves l f1 , l f2 , and l f3 , respectively. A curve C (l T ) f connecting points n f1 , n f2 and n f3 on the curve corresponding to the positions l T1 , l T2 and l T3 on the actual wiring 68 is
This corresponds to the wiring capacitance element axis C (l T ) P in FIG.

【0048】[0048]

【発明の効果】以上詳細に説明したように、第1,4,
5の発明によれば、RW を用いて遅延時間の算出をする
ので、より正確に遅延時間をシミュレーションすること
ができる。第2,3の発明によれば、RW により分岐先
ノードをクラスタリングして、クラス代表についてのみ
遅延時間を算出するようにしたので、回路シミュレーシ
ョンの処理速度が増加する。第6,7の発明によれば、
レイアウトの修正前・後のRW の平均値と分散値によっ
て統計的な手法により検定するようにしたので、修正後
の必ずしも必要でない遅延時間を算出することを回避で
きるので、回路シミュレーションの処理速度が向上す
る。第8〜第12の発明によれば、セルの出力端子ある
いは入力端子に遅延データのみを配列としてを持たせた
ので、データ量が多くならずに精度の良い回路シミュレ
ーションを実現することができる。第13〜第17の発
明によれば、容量割付方法に従って着目配線の容量を割
り付けて、この割り付けた容量をネットリストの着目配
線に対応するノードに書き込み、この書き込まれた容量
に従ってタイミング検証を行うので、精度のよい回路シ
ミュレーションが実現できる。
As described above in detail, the first, fourth,
According to the fifth aspect of the invention, since the delay time is calculated using R W , the delay time can be simulated more accurately. According to the second and third inventions, the branch destination nodes are clustered by R W and the delay time is calculated only for the class representative, so that the processing speed of the circuit simulation is increased. According to the sixth and seventh inventions,
Since the statistical method is used to test the average and variance values of R W before and after the layout is modified, it is possible to avoid calculating the delay time after modification, which is not always necessary. Is improved. According to the eighth to twelfth inventions, since only the delay data is provided as an array at the output terminal or the input terminal of the cell, it is possible to realize an accurate circuit simulation without increasing the data amount. According to the thirteenth to seventeenth inventions, the capacitance of the wiring of interest is allocated according to the capacitance allocation method, the allocated capacitance is written to the node corresponding to the wiring of interest in the netlist, and the timing verification is performed according to the written capacitance. Therefore, an accurate circuit simulation can be realized.

【0049】第18の発明によれば、ルックアップテー
ブルを用いる回路シミュレーション方法において、回路
セルに接続される容量性負荷のルックアップテーブルに
対する記述を、ゲート容量要素と配線容量要素とに分離
して記述し、遅延時間を算出するようにしている。その
ため、LSIの負荷を、従来よりも具体的事項に沿って
表現することが可能となり、遅延時間の算出精度が向上
する。また、レイアウト設計と論理設計のそれぞれの設
計段階で扱われる回路の負荷成分を、1回の回路シミュ
レーションに取り込むことが可能となり、特に大チップ
LSIでのレイアウトにおける配線寄生容量を加味した
寄生負荷表現の向上を図ることができる。第19の発明
よれば、回路セルの容量性負荷の配線容量要素を、さら
に抵抗成分と容量成分に分離してルックアップテーブル
に記述しているので、LSIの制御系配線のように、配
線幅が変化してパターンレイアウト上の抵抗値の分布に
偏りを有す場合、配線部分の寄生負荷表現が向上し、L
SI中の回路の寄生負荷表現の向上が図れる。
According to the eighteenth invention, in the circuit simulation method using the lookup table, the description of the lookup table of the capacitive load connected to the circuit cell is separated into the gate capacitance element and the wiring capacitance element. It is described and the delay time is calculated. Therefore, the load on the LSI can be expressed according to more specific items than in the past, and the calculation accuracy of the delay time is improved. Further, it becomes possible to incorporate the load components of the circuits handled at the respective design stages of the layout design and the logic design into one circuit simulation, and in particular, the parasitic load expression considering the wiring parasitic capacitance in the layout of the large chip LSI. Can be improved. According to the nineteenth invention, since the wiring capacitance element of the capacitive load of the circuit cell is further divided into the resistance component and the capacitance component and described in the look-up table, the wiring width like the control system wiring of the LSI can be obtained. Change and there is a bias in the distribution of resistance values on the pattern layout, the parasitic load expression of the wiring portion is improved and L
The expression of the parasitic load of the circuit during SI can be improved.

【0050】第20の発明によれば、第19の発明にお
ける抵抗成分と容量成分とは反比例関係を有しいている
と仮定し、抵抗成分と容量成分の関係を直線関係でルッ
クアップテーブルに記述している。そのため、ルックア
ップテーブルを新たに追加することなく、配線上の位置
で定まる容量値に、一意的に抵抗値を対応させることが
可能になる。即ち、テーブル次数を増加させずに、回路
配線部の寄生負荷の表現向上が可能となる。第21の発
明によれば、第19の発明における容量成分をフリンジ
ング効果成分とそれ以外の成分に分離して記述し、抵抗
成分と容量成分とを曲線で補間或いは回帰して曲線関係
でルックアップテーブルに記述しているので、配線の物
理構造(厚さ)に対応したフリンジング効果に基づく寄
生配線容量を、第19の発明における回路配線部の寄生
負荷に組込むことができ、さらなる表現向上が期待でき
る。即ち、微細配線を含む回路の遅延時間の算出に、配
線のプロセス構造を反映することができる。
According to the twentieth invention, it is assumed that the resistance component and the capacitance component in the nineteenth invention have an inverse proportional relation, and the relation between the resistance component and the capacitance component is described in a lookup table in a linear relation. are doing. Therefore, the resistance value can be uniquely associated with the capacitance value determined by the position on the wiring without adding a new look-up table. That is, it is possible to improve the expression of the parasitic load of the circuit wiring portion without increasing the table order. According to the twenty-first aspect, the capacitive component in the nineteenth aspect is described by being divided into a fringing effect component and a component other than the fringing effect component, and the resistance component and the capacitive component are interpolated or regressed by a curve to look for a curve relationship. Since it is described in the up table, the parasitic wiring capacitance based on the fringing effect corresponding to the physical structure (thickness) of the wiring can be incorporated into the parasitic load of the circuit wiring portion in the nineteenth invention, and the expression is further improved. Can be expected. That is, the process structure of the wiring can be reflected in the calculation of the delay time of the circuit including the fine wiring.

【0051】第22〜第24の発明によれば、回路情報
に基づき、シミュレーションに抵抗要素を考慮する必要
があるかどうかを判断する負荷内容判断部と、抵抗要素
を考慮する必要がない場合に動作し、配線容量と次段の
ゲート容量とを独立要素として分離して記述した第1の
ルックアップテーブルを参照して、各回路間の信号伝搬
の遅延時間を算出する第1の回路遅延算出部と、抵抗要
素を考慮する必要がある場合に動作し、配線容量要素と
次段回路のゲート容量とを独立要素とし、かつ該配線容
量要素は抵抗成分と容量成分とに分離して記述した第2
のルックアップテーブルを参照して、各回路間の信号伝
搬の遅延時間を算出する第2の回路遅延算出部とを備え
ているので、第19から第21の発明と同様の効果を期
待できるとともに、LSI回路のパターンレイアウトの
後のバックアノテーションを目的とする回路シミュレー
ションでも、LSI回路ブロック内部の配線と、回路ブ
ロック間に跨がって論理的な階層レベルに接続する信号
線或いは信号線群とを区別することなく、シミュレーシ
ョンすることが可能となる。つまり、シミュレーション
手順の簡略化が図れる。
According to the twenty-second to twenty-fourth inventions, a load content judgment unit for judging whether or not the resistance element needs to be taken into consideration in the simulation based on the circuit information, and a case where the resistance element does not have to be taken into consideration. First circuit delay calculation that operates and calculates the delay time of signal propagation between circuits by referring to the first lookup table in which the wiring capacitance and the gate capacitance of the next stage are separated and described as independent elements Section and the resistance element are operated, it is described that the wiring capacitance element and the gate capacitance of the next stage circuit are independent elements, and the wiring capacitance element is separated into a resistance component and a capacitance component. Second
The second circuit delay calculating section for calculating the delay time of signal propagation between the respective circuits with reference to the lookup table of (1), the same effects as those of the nineteenth to twenty-first aspects can be expected. In the circuit simulation for the purpose of back annotation after the pattern layout of the LSI circuit, the wiring inside the LSI circuit block and the signal line or the signal line group connected to the logical hierarchical level across the circuit blocks It is possible to perform a simulation without distinguishing between. That is, the simulation procedure can be simplified.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施例の回路シミュレーション
方法を示すフローチャートである。
FIG. 1 is a flowchart showing a circuit simulation method according to a first embodiment of the present invention.

【図2】従来の回路シミュレーション方法を示すフロー
チャートである。
FIG. 2 is a flowchart showing a conventional circuit simulation method.

【図3】ネットリストの容量の入力指定方法を示す図で
ある。
FIG. 3 is a diagram showing a method of inputting and specifying a capacity of a netlist.

【図4】従来のルックアップテーブルを用いた回路シミ
ュレーション方法を示す図である。
FIG. 4 is a diagram showing a circuit simulation method using a conventional look-up table.

【図5】図1の回路シミュレーション方法を説明するた
めの配線ネットの一例を示す図である。
5 is a diagram showing an example of a wiring net for explaining the circuit simulation method of FIG.

【図6】RW と遅延時間の誤差との関係を示す図であ
る。
FIG. 6 is a diagram showing a relationship between R W and a delay time error.

【図7】ランダムな分布と見なさない場合のRW (分岐
先ノード数が41)の分布を示す図である。
FIG. 7 is a diagram showing a distribution of R W (the number of branch destination nodes is 41) when the distribution is not considered to be random.

【図8】本発明の第2の実施例の回路シミュレーション
方法を示すフローチャートである。
FIG. 8 is a flowchart showing a circuit simulation method according to a second embodiment of the present invention.

【図9】本発明の第3の実施例の回路シミュレーション
方法を示す図である。
FIG. 9 is a diagram showing a circuit simulation method according to a third embodiment of the present invention.

【図10】本発明の第4の実施例の回路シミュレーショ
ン方法を示す図である。
FIG. 10 is a diagram showing a circuit simulation method according to a fourth embodiment of the present invention.

【図11】本発明の第5の実施例の回路シミュレーショ
ン方法を示す図である。
FIG. 11 is a diagram showing a circuit simulation method according to a fifth embodiment of the present invention.

【図12】本発明の第6の実施例の回路シミュレーショ
ン方法を示す図である。
FIG. 12 is a diagram showing a circuit simulation method according to a sixth embodiment of the present invention.

【図13】本発明の第7の実施例の回路シミュレーショ
ン方法を示す図である。
FIG. 13 is a diagram showing a circuit simulation method according to a seventh embodiment of the present invention.

【図14】本発明の第8の実施例の回路シミュレータの
構成図である。
FIG. 14 is a configuration diagram of a circuit simulator according to an eighth embodiment of the present invention.

【図15】配線容量を割当てようとしている配線のレイ
アウトの平面図である。
FIG. 15 is a plan view of a layout of wirings to which wiring capacity is to be allocated.

【図16】本発明の第8の実施例の配線の容量モデルを
示す図である。
FIG. 16 is a diagram showing a wiring capacitance model according to an eighth embodiment of the present invention.

【図17】本発明の第8の実施例の配線容量を示す図で
ある。
FIG. 17 is a diagram showing a wiring capacitance according to an eighth embodiment of the present invention.

【図18】本発明の第9の実施例の回路シミュレータの
機能を示す配線容量の図である。
FIG. 18 is a wiring capacitance diagram showing the function of the circuit simulator of the ninth embodiment of the present invention.

【図19】本発明の第10の実施例の回路シミュレータ
の機能を示す配線容量の図である。
FIG. 19 is a wiring capacitance diagram showing the function of the circuit simulator of the tenth embodiment of the present invention.

【図20】本発明の第11の実施例の回路シミュレータ
の機能を示す配線容量の図である。
FIG. 20 is a diagram of wiring capacitance showing the function of the circuit simulator of the eleventh embodiment of the present invention.

【図21】本発明の第12の実施例の回路シミュレーシ
ョン方法の概念を説明する図である。
FIG. 21 is a diagram illustrating a concept of a circuit simulation method according to a twelfth embodiment of the present invention.

【図22】本発明の第13の実施例を示す回路シミュレ
ータの機能ブロック図である。
FIG. 22 is a functional block diagram of a circuit simulator showing a thirteenth embodiment of the present invention.

【図23】図22中のルックアップテーブル65の記述
を説明する図である。
23 is a diagram illustrating a description of a lookup table 65 in FIG.

【図24】実配線の例を示す図である。FIG. 24 is a diagram showing an example of actual wiring.

【図25】図24の実配線のフリンジング効果を説明す
る図である。
FIG. 25 is a diagram illustrating a fringing effect of the actual wiring of FIG. 24.

【図26】図23の補正を説明する図である。FIG. 26 is a diagram illustrating the correction of FIG. 23.

【符号の説明】[Explanation of symbols]

10 回路セル 10−A,10−B 入力端子 11 出力端子 12,13,14,15,16,17,18−A,18
−B 配列 21 ネットリスト入力部 22 ネットリスト 23 割付方法入力部 24 容量割付方法 25 ノード情報入力部 26 ノードと配線との対応
関係 27 ノード間の位置関係 28 ノードとAREA,P
ERIとの関係 29 容量割付選択部 30 配線容量パラメータ選
択部 31 配線容量パラメータフ
ァイル 32 PERI分割部 33 容量算出/割付部 34 容量書込部 35 回路シミュレーション
実行部 60 回路記述入力部 61 負荷内容判断部 62,63 第1,第2の回路遅延
算出部 64,65 第1,第2のルックア
ップテーブル 67 回路遅延出力部 C(lT ) 配線容量 F/O ゲート容量 R* 抵抗成分 C* 容量成分
10 circuit cell 10-A, 10-B input terminal 11 output terminal 12, 13, 14, 15, 16, 17, 18-A, 18
-B array 21 Netlist input part 22 Netlist 23 Allocation method input part 24 Capacity allocation method 25 Node information input part 26 Correspondence between nodes and wiring 27 Positional relationship between nodes 28 Nodes and AREA, P
Relationship with ERI 29 Capacity allocation selection section 30 Wiring capacity parameter selection section 31 Wiring capacity parameter file 32 PERI division section 33 Capacity calculation / allocation section 34 Capacity writing section 35 Circuit simulation execution section 60 Circuit description input section 61 Load content judgment section 62, 63 1st, 2nd circuit delay calculation part 64, 65 1st, 2nd look-up table 67 circuit delay output part C (lt) wiring capacitance F / O gate capacitance R * resistance component C * capacitance component

Claims (24)

【特許請求の範囲】[Claims] 【請求項1】 回路セルの出力端子と該出力端子に接続
された分岐先ノード迄の信号伝搬の遅延時間を算出する
回路シミュレーション方法において、 前記回路セルの出力端子と該出力端子に接続された各分
岐先ノード迄の配線長と前記出力端子に接続されたRC
ネットを構成する木(トリー)の全長との比RW を算出
するRW 算出処理と、 前記RW 算出処理により算出されたRW 及び前記木の全
長を用いて前記遅延時間を算出する遅延時間算出処理と
を、 実行することを特徴とする回路シミュレーション方法。
1. A circuit simulation method for calculating an output terminal of a circuit cell and a delay time of signal propagation to a branch destination node connected to the output terminal, wherein the output terminal of the circuit cell is connected to the output terminal. Wiring length to each branch destination node and RC connected to the output terminal
Delay calculating and R W calculation processing of calculating the ratio R W of the total length of the tree (tree) constituting the net, the delay time by using the entire length of the R W and the tree calculated by the R W calculation processing A circuit simulation method characterized by executing time calculation processing.
【請求項2】 回路セルの出力端子と該出力端子に接続
された分岐先ノード迄の信号伝搬の遅延時間を算出する
回路シミュレーション方法において、 前記回路セルの出力端子と該出力端子に接続された各分
岐先ノード迄の配線長と前記出力端子に接続されたRC
ネットを構成する木(トリー)の全長との比RW を算出
するRW 算出処理と、 前記RW 算出処理により算出されたRW を統計量として
統計的手法により、前記出力端子に接続された分岐先ノ
ードをクラスタリングするクラスタリング処理と、 前記クラスタリングされた各クラスを代表する分岐先ノ
ード迄の遅延時間を算出する遅延時間算出処理とを、 実行することを特徴とする回路シミュレーション方法。
2. A circuit simulation method for calculating an output terminal of a circuit cell and a delay time of signal propagation to a branch destination node connected to the output terminal, wherein the output terminal of the circuit cell is connected to the output terminal. The wiring length to each branch destination node and RC connected to the output terminal
And R W calculation processing of calculating the ratio R W of the total length of the tree (tree) constituting the net, by statistical techniques the R W calculated by the R W calculation processing as statistics, is connected to the output terminal A circuit simulation method, comprising: performing a clustering process for clustering the branch destination nodes, and a delay time calculation process for calculating a delay time to the branch destination node representing each of the clustered classes.
【請求項3】 回路セルの出力端子と該出力端子に接続
された分岐先ノード迄の信号伝搬の遅延時間を算出する
回路シミュレーション方法において、 前記回路セルの出力端子と該出力端子に接続された各分
岐先ノード迄の配線長と前記出力端子に接続されたRC
ネットを構成する木(トリー)の全長との比RW を算出
するRW 算出処理と、 前記木の全長が閾値よりも小さいときに前記木の全長に
対応する遅延時間を算出する第1の遅延時間算出処理
と、 前記出力端子に接続された分岐先ノードの数が前記RW
を確率変数として扱うことができない数であれば、前記
各分岐先ノード迄の遅延時間を算出する第2の遅延時間
算出処理と、 前記RW のばらつきが小さいときに前記RW の平均に対
応する遅延時間を算出する第3の遅延時間算出処理と、 前記RW の分布が離散型のときにRW で均等に前記分岐
先ノードをクラスタリングする第1のクラスタリング処
理と、 前記RW の分布がランダムな分布であるときに、前記R
W の標準偏差により前記分岐先ノードをクラスタリング
する第2のクラスタリング処理と、 前記RW の分布が離散型及びランダムでない場合は、前
記RW の分布の各山の分布に基づいて前記分岐先ノード
をクラスタリングする第3のクラスタリング処理と、 前記第1,第2又は第3のクラスタリング処理によって
クラスタリングされた各クラスの代表の遅延時間を算出
する第4の遅延時間算出処理とを、 実行することを特徴とする回路シミュレーション方法。
3. A circuit simulation method for calculating a delay time of signal propagation to an output terminal of a circuit cell and a branch destination node connected to the output terminal, wherein the output terminal of the circuit cell is connected to the output terminal. Wiring length to each branch destination node and RC connected to the output terminal
R W calculation processing for calculating the ratio R W with the total length of the trees (trees) forming the net, and a first calculation for calculating the delay time corresponding to the total length of the tree when the total length of the tree is smaller than a threshold value. The delay time calculation process and the number of branch destination nodes connected to the output terminal are the R W
Is a number that cannot be treated as a random variable, the second delay time calculation process for calculating the delay time to each branch destination node, and the average of R W when the variation of R W is small A third delay time calculation process for calculating a delay time to be performed, a first clustering process for evenly clustering the branch destination nodes in R W when the distribution of R W is a discrete type, and a distribution of R W R is a random distribution,
A second clustering process for clustering the branch destination nodes according to the standard deviation of W ; and if the distribution of R W is not discrete or random, the branch destination nodes are based on the distribution of each mountain of the distribution of R W. And a fourth delay time calculation process for calculating the delay time of the representative of each class clustered by the first, second, or third clustering process. Characteristic circuit simulation method.
【請求項4】 回路セルの出力端子と該出力端子に接続
された分岐先ノード迄の信号伝搬の遅延時間を算出する
回路シミュレーション方法において、 前記回路セルの出力端子と該出力端子に接続された各分
岐先ノード迄の配線長と前記出力端子に接続されたRC
ネットを構成する木(トリー)の全長との比RW を算出
するRW 算出処理と、 前記出力端子に接続された分岐先ノード迄の遅延時間を
ラプラス変換を応用した代数演算方法で算出する代数演
算処理と、 前記代数演算処理により算出した遅延時間を前記RW
基づいて補正する遅延時間補正処理とを、 実行するようにしたことを特徴とする回路シミュレーシ
ョン方法。
4. A circuit simulation method for calculating a delay time of signal propagation to an output terminal of a circuit cell and a branch destination node connected to the output terminal, wherein the output terminal of the circuit cell is connected to the output terminal. Wiring length to each branch destination node and RC connected to the output terminal
An R W calculation process for calculating a ratio R W with respect to the total length of a tree (tree) forming a net, and a delay time to a branch destination node connected to the output terminal are calculated by an algebraic calculation method applying Laplace transform. A circuit simulation method comprising: executing an algebraic calculation process and a delay time correction process for correcting the delay time calculated by the algebraic calculation process based on the R W.
【請求項5】 前記遅延時間補正処理は、 前記代数演算処理により算出された遅延時間を、前記木
の全長及び前記RW に基づく変数量を説明変数の要素と
して、前記RW が小さいときと大きいときの誤差が小さ
くなるように重回帰式により算出した式(1)の補正値
1 または(2)の補正値Y2 で割り算して補正するよ
うにしたことを特徴とする請求項4記載の回路シミュレ
ーション方法。 Y1 =a1 +b1 T +c1 /RW ・・・(1) Y2 =a2 +b2 (RW −c2 )lT /RW ・・・(2) ここで、a1 ,b1 ,c1 ,a2 ,b2 ,c2 はパラメ
ータであり、c2 は0を越え、1未満の値、lT は前記
木の全長である。
5. The delay time correction process is performed when the delay time calculated by the algebraic calculation process is a variable amount based on the total length of the tree and the R W as an explanatory variable element and the R W is small. 5. The correction is performed by dividing by the correction value Y 1 of the equation (1) or the correction value Y 2 of the equation (2) calculated by the multiple regression equation so that the error when large is small. The described circuit simulation method. Y 1 = a 1 + b 1 l T + c 1 / R W ··· (1) Y 2 = a 2 + b 2 (R W -c 2) l T / R W ··· (2) where, a 1 , B 1 , c 1 , a 2 , b 2 , c 2 are parameters, c 2 is a value greater than 0 and less than 1, and l T is the total length of the tree.
【請求項6】 回路セルの出力端子と該出力端子に接続
された分岐先ノード迄の信号伝搬の遅延時間を算出する
回路シミュレーション方法において、 回路セルの出力端子に接続された前記出力端子に接続さ
れた分岐先ノード迄の配線長と前記出力端子に接続され
たRCネットを構成する木(トリー)の全長との比RW
について、レイアウトの修正前・後の双方のRW を入力
するRW 入力処理と、 修正前・後の前記RW のそれぞれ平均値及び修正前・後
の前記RW のそれぞれの分散値とがそれぞれ等しいもの
であるとの仮説が成り立つかどうかを統計的手法により
検定する検定処理と、 前記検定処理の検定結果が前記平均値と分散値の少なく
とも一方が異なるものとの結果である時のみ修正後のレ
イアウトの回路セルについて分岐先ノード迄の遅延時間
を算出する遅延時間算出処理とを、 実行するようにしたことを特徴とする回路シミュレーシ
ョン方法。
6. A circuit simulation method for calculating a delay time of signal propagation to an output terminal of a circuit cell and a branch destination node connected to the output terminal, wherein the output terminal is connected to the output terminal of the circuit cell. The ratio R W of the wiring length up to the branch destination node to the total length of the tree that constitutes the RC net connected to the output terminal
Regarding, regarding R W input processing for inputting both R W before and after layout correction, and the respective average values of the R W before and after correction and the respective variance values of the R W before and after correction. Correct only when the test results of the test process that tests whether or not the hypothesis of equality holds by a statistical method, and the test result of the test process is that at least one of the mean value and the variance value is different. A circuit simulation method characterized in that a delay time calculation process for calculating a delay time to a branch destination node is executed for a circuit cell of a later layout.
【請求項7】 前記検定処理は、 前記修正前・後のRW が正規分布であれば、修正前・後
のRW の平均値を正規分布、修正前・後のRW の分散値
をカイ2乗分布に従うとして検定する正規分布検定処理
と、 前記修正前・後のRW が正規分布でなければ、修正前・
後のRW の平均値をt分布、修正前・後のRW の分散値
をF分布に従うとして検定する非正規分布検定処理と
で、 構成したことを特徴とする請求項6記載の回路シミュレ
ーション方法。
Wherein said test process, if R W is normally distributed after the modified front and the average normal distribution of R W of the modified front and, the dispersion value of R W of the corrected front and A normal distribution test process that tests as if it follows a chi-square distribution, and if the R W before and after the correction is not a normal distribution
7. The circuit simulation according to claim 6, further comprising: a non-normal distribution test process for testing the mean value of R W after R t distribution and the variance value of R W before and after correction as F distribution. Method.
【請求項8】 回路セルの出力端子と該出力端子に接続
された分岐先ノード迄の信号伝搬の遅延時間を算出する
回路シミュレーション方法において、 前記回路セルの出力端子と分岐先ノード迄の配線を含む
論理“真”のみを出力する基本ゲートによる遅延時間の
遅延データを、前記回路セルの出力端子または入力端子
に対応して配列として持たせるようにしたことを特徴と
する回路シミュレーション方法。
8. A circuit simulation method for calculating a signal propagation delay time between an output terminal of a circuit cell and a branch destination node connected to the output terminal, wherein wiring between the output terminal of the circuit cell and the branch destination node is provided. A circuit simulation method, characterized in that delay data of a delay time by a basic gate that outputs only a logical "true" is provided as an array corresponding to an output terminal or an input terminal of the circuit cell.
【請求項9】 前記回路セルの出力端子毎に複数の前記
遅延データを持たせるようにしたことを特徴とする請求
項8記載の回路シミュレーション方法。
9. The circuit simulation method according to claim 8, wherein a plurality of the delay data are provided for each output terminal of the circuit cell.
【請求項10】 前記回路セルの出力端子と該出力端子
に接続された各分岐先ノード迄の配線長と前記出力端子
に接続されたRCネットを構成する木(トリー)の全長
との比RW に基づく前記分岐先ノードのクラスタリング
により前記複数の遅延データを持たせるようにしたこと
を特徴とする請求項8記載の回路シミュレーション方
法。
10. A ratio R of an output terminal of the circuit cell, a wiring length to each branch destination node connected to the output terminal, and a total length of a tree forming an RC net connected to the output terminal. 9. The circuit simulation method according to claim 8, wherein the plurality of delay data are provided by clustering the branch destination nodes based on W.
【請求項11】 前記遅延データに、前記回路セルの入
力端子の依存性を持たせるようにしたことを請求項8,
9又は10記載の回路シミュレーション方法。
11. The method according to claim 8, wherein the delay data is made to have a dependency on an input terminal of the circuit cell.
9. The circuit simulation method according to 9 or 10.
【請求項12】 前記遅延データに、前記回路セルの温
度依存性を持たせるようにしたことを特徴とする請求項
8,9,10又は11記載の回路シミュレーション方
法。
12. The circuit simulation method according to claim 8, wherein the delay data is made to have temperature dependence of the circuit cell.
【請求項13】 回路のレイアウトにより抽出されたネ
ットリストに基づいて、タイミング検証を行う回路シミ
ュレータにおいて、 前記ネットリストを入力するネットリスト入力部と、 前記ネットリストで定義されたノードと前記レイアウト
上の配線との対応関係とノード間の位置関係とノードの
配線の面積と配線の周囲長とを入力するノード情報入力
部と、 どの配線と基板間またはどの配線間に容量割付を行うか
を指定する容量割付方法を入力する割付方法入力部と、 前記ノード情報入力部によって入力したノードと配線の
対応関係とノード間の位置関係に基づいて、前記容量割
付方法で指定された着目配線の単位面積当たりの平板容
量のパラメータと単位長当たりフリンジング容量のパラ
メータを配線容量パラメータファイルから入力する配線
容量選択部と、 前記配線容量選択部によって選択された配線容量パラメ
ータと前記ノード情報入力部によって入力された着目配
線の面積と配線の周囲の長さに基づいて、配線の容量を
算出して、その容量を配線に割り付ける容量算出/割付
部と、 前記容量算出/割付部によって割り付けられた配線の容
量を前記配線のネットリスト上の対応するノードに書き
込む容量書き込み部とを、 設けたことを特徴とする回路シミュレータ。
13. A circuit simulator for performing timing verification based on a netlist extracted by a circuit layout, a netlist input unit for inputting the netlist, a node defined by the netlist, and the layout Specify the node information input section that inputs the correspondence relationship with the wiring, the positional relationship between the nodes, the area of the wiring of the node, and the perimeter of the wiring, and which wiring is to be allocated between the board and which wiring A unit area of the target wiring specified by the capacity allocation method based on the allocation method input section for inputting the capacity allocation method, and the positional relationship between the nodes and the wiring input by the node information input section and the positional relationship between the nodes. Input the plate capacitance parameter per unit and the fringing capacitance parameter per unit length from the wiring capacitance parameter file. A wiring capacitance selecting unit, a wiring capacitance parameter selected by the wiring capacitance selecting unit, and a wiring capacitance calculated based on the area of the wiring of interest and the circumference of the wiring input by the node information input unit. A capacity calculating / allocating section for allocating the capacity to the wiring and a capacity writing section for writing the capacity of the wiring allocated by the capacity calculating / allocating section to a corresponding node on the netlist of the wiring. Circuit simulator characterized by.
【請求項14】 前記容量算出/割付部は、 前記割付方法入力部により入力した容量割付を行う着目
配線の容量値を1個の対地容量として算出するようにし
たことを特徴とする請求項13記載の回路シミュレー
タ。
14. The capacitance calculation / allocation unit is configured to calculate the capacitance value of the wiring of interest, which is input by the allocation method input unit, for performing the capacitance allocation as one ground capacitance. Circuit simulator described.
【請求項15】 前記容量算出/割付部は、 前記割付方法入力部により入力した容量割付を行う着目
配線の容量値を対地容量と隣接配線間の隣接容量とに分
けてそれぞれ算出するようにしたことを特徴とする請求
項13記載の回路シミュレータ。
15. The capacitance calculating / allocating unit divides the capacitance value of the wiring of interest, which is input by the allocation method input unit, for performing the capacitance allocation into a ground capacitance and an adjacent capacitance between adjacent wirings, and calculates the capacitance value. 14. The circuit simulator according to claim 13, wherein:
【請求項16】 前記容量算出/割付部は、 前記割付方法入力部により入力した容量割付を行う着目
配線の上層配線との間の容量を上層容量として算出する
ようにしたことを特徴とする請求項13記載の回路シミ
ュレータ。
16. The capacitance calculation / allocation unit is configured to calculate, as an upper layer capacitance, a capacitance between the wiring of interest and an upper layer wiring of the target wiring for performing the capacitance allocation input by the allocation method input unit. Item 13. The circuit simulator according to item 13.
【請求項17】 前記容量算出/割付部は、 前記上層容量は、前記ノード情報入力部によって入力さ
れる着目配線と上層配線間の位置関係に基づく前記平板
容量パラメータ及びフリンジング容量パラメータに基づ
いて算出するようにしたことを特徴とする請求項16記
載の回路シミュレータ。
17. The capacitance calculating / allocating unit calculates the upper layer capacitance based on the plate capacitance parameter and the fringing capacitance parameter which are input by the node information input unit and are based on the positional relationship between the target wiring and the upper wiring. The circuit simulator according to claim 16, wherein the circuit simulator is calculated.
【請求項18】 LSI中の回路セルの出力端子と次段
回路間の信号伝搬の遅延時間をルックアップテーブルに
記述された情報を参照して求める回路シミュレーション
方法において、 前記ルックアップテーブルに記述した情報は、前記出力
端子と前記次段回路間の容量性負荷における配線容量要
素と次段回路のゲート容量要素とを独立要素として分離
して記述し、前記記述された配線容量要素とゲート容量
要素に基づき前記出力端子と次段回路間の信号伝搬の遅
延時間を算出することを特徴とする回路シミュレーショ
ン方法。
18. A circuit simulation method for determining a delay time of signal propagation between an output terminal of a circuit cell in an LSI and a next-stage circuit by referring to information described in a lookup table The information separately describes the wiring capacitance element in the capacitive load between the output terminal and the next stage circuit and the gate capacitance element of the next stage circuit as independent elements, and describes the wiring capacitance element and the gate capacitance element described above. A circuit simulation method, characterized in that a delay time of signal propagation between the output terminal and the next stage circuit is calculated based on the above.
【請求項19】 前記ルックアップテーブルに記述した
情報のうち、前記配線容量要素は抵抗成分と容量成分と
に分離して記述したことを特徴とする請求項18記載の
回路シミュレーション方法。
19. The circuit simulation method according to claim 18, wherein, of the information described in the look-up table, the wiring capacitance element is described separately as a resistance component and a capacitance component.
【請求項20】 前記抵抗成分と容量成分とは反比例関
係を有していると仮定し、該抵抗成分と該容量成分の関
係を直線にて補間或いは回帰して直線関係で前記ルック
アップテーブルに記述したことを特徴とする請求項19
記載の回路シミュレーション方法。
20. It is assumed that the resistance component and the capacitance component have an inverse proportional relationship, and the relationship between the resistance component and the capacitance component is interpolated or regressed by a straight line to obtain the linear relationship in the lookup table. 21. What has been described.
The described circuit simulation method.
【請求項21】 前記容量成分をフリンジング効果成分
とそれ以外の成分に分離して記述し、前記抵抗成分と該
容量成分とを曲線で補間或いは回帰して曲線関係で前記
ルックアップテーブルに記述したことを特徴とする請求
項19記載の回路シミュレーション方法。
21. The fringing effect component and a component other than the fringing effect component are described separately by describing the capacitance component, and the resistance component and the capacitance component are interpolated or regressed with a curve and described in the lookup table in a curve relationship. 20. The circuit simulation method according to claim 19, wherein:
【請求項22】 LSIの回路間の容量性負荷を含む回
路情報を入力する回路記述入力部と、 前記入力された回路情報に基づき、シミュレーションに
抵抗成分を考慮する必要があるかどうかを判断する負荷
内容判断部と、 前記抵抗成分を考慮する必要がない場合に動作し、前記
回路間の容量性負荷における配線容量と次段回路のゲー
ト容量とを独立要素として分離して記述した第1のルッ
クアップテーブルを参照し、前記各回路間の信号伝搬の
遅延時間を算出する第1の回路遅延算出部と、 前記抵抗成分を考慮する必要がある場合に動作し、前記
回路間の容量性負荷における配線容量要素と次段回路の
ゲート容量とを独立要素とし、かつ該配線容量要素は抵
抗成分と容量成分とに分離して記述した第2のルックア
ップテーブルを参照し、前記各回路間の信号伝搬の遅延
時間を算出する第2の回路遅延算出部とを、 備えたことを特徴とする回路シミュレータ。
22. A circuit description input section for inputting circuit information including a capacitive load between circuits of an LSI, and based on the inputted circuit information, judges whether or not it is necessary to consider a resistance component in a simulation. A load content determination unit, which operates when there is no need to consider the resistance component, and which is described as the wiring capacitance in the capacitive load between the circuits and the gate capacitance of the next-stage circuit separated as independent elements. A first circuit delay calculation unit for calculating a signal propagation delay time between the circuits by referring to a lookup table; and a capacitive load between the circuits which operates when the resistance component needs to be considered. And the gate capacitance of the next-stage circuit as independent elements, and the wiring capacitance element is referred to as a second look-up table described by separating it into a resistance component and a capacitance component. A circuit simulator comprising: a second circuit delay calculation unit that calculates a delay time of signal propagation between the circuits.
【請求項23】 前記第2のルックアップテーブルは、
前記抵抗成分と前記容量成分とは反比例関係を有してい
ると仮定し、該抵抗成分と該容量成分の関係を直線にて
補間或いは回帰して直線関係で記述した構成にしたこと
を特徴とする請求項22記載の回路シミュレータ。
23. The second lookup table is
It is assumed that the resistance component and the capacitance component have an inversely proportional relationship, and the relation between the resistance component and the capacitance component is linearly interpolated or regressed to have a configuration described in a linear relationship. 23. The circuit simulator according to claim 22.
【請求項24】 前記第2のルックアップテーブルは、
前記容量成分をフリンジング効果成分とそれ以外の成分
に分離して記述し、前記抵抗成分と該容量成分との関係
を曲線で補間或いは回帰して曲線関係で記述した構成に
したことを特徴とする請求項22記載の回路シミュレー
タ。
24. The second look-up table is
The capacitance component is described by being divided into a fringing effect component and a component other than the fringing effect component, and the relationship between the resistance component and the capacitance component is interpolated or regressed by a curve to be described as a curve relationship. 23. The circuit simulator according to claim 22.
JP7194467A 1994-10-06 1995-07-31 Circuit simulating method and circuit simulator Withdrawn JPH08161389A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP7194467A JPH08161389A (en) 1994-10-06 1995-07-31 Circuit simulating method and circuit simulator

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP6-242555 1994-10-06
JP24255594 1994-10-06
JP7194467A JPH08161389A (en) 1994-10-06 1995-07-31 Circuit simulating method and circuit simulator

Publications (1)

Publication Number Publication Date
JPH08161389A true JPH08161389A (en) 1996-06-21

Family

ID=26508516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7194467A Withdrawn JPH08161389A (en) 1994-10-06 1995-07-31 Circuit simulating method and circuit simulator

Country Status (1)

Country Link
JP (1) JPH08161389A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6526541B2 (en) 2000-06-06 2003-02-25 Nec Corporation Library for use in designing a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6526541B2 (en) 2000-06-06 2003-02-25 Nec Corporation Library for use in designing a semiconductor device

Similar Documents

Publication Publication Date Title
USRE35671E (en) Predictive capacitance layout method for integrated circuits
Kahng et al. VLSI physical design: from graph partitioning to timing closure
US8117576B2 (en) Method for using an equivalence checker to reduce verification effort in a system having analog blocks
US6505328B1 (en) Method for storing multiple levels of design data in a common database
US6363516B1 (en) Method for hierarchical parasitic extraction of a CMOS design
US9991249B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
US6523154B2 (en) Method for supply voltage drop analysis during placement phase of chip design
US6240542B1 (en) Poly routing for chip interconnects with minimal impact on chip performance
US6289412B1 (en) Layout synopsizing process for efficient layout parasitic extraction and circuit simulation in post-layout verification
US10140396B1 (en) Partitioning electronic circuits for simulation on multiple processors
US10558772B1 (en) Partitioning a system graph for circuit simulation to obtain an exact solution
Hutton et al. Characterization and parameterized generation of synthetic combinational benchmark circuits
US10031996B2 (en) Timing based net constraints tagging with zero wire load validation
US6330704B1 (en) Method and apparatus for three dimensional interconnect analysis
US20070044063A1 (en) Method for estimating voltage droop on an ASIC
US20080300848A1 (en) Efficient simulation of dominantly linear circuits
JPH1063695A (en) Wiring delay calculating device and bus delay value verifying device
US20050108672A1 (en) Method of generating a physical netlist for a hierarchical integrated circuit design
US7334208B1 (en) Customization of structured ASIC devices using pre-process extraction of routing information
US6074429A (en) Optimizing combinational circuit layout through iterative restructuring
JPH08161389A (en) Circuit simulating method and circuit simulator
JP3664934B2 (en) Semiconductor integrated circuit analysis apparatus, analysis method thereof, and recording medium recording the analysis method
JPH11219382A (en) Delay calculation device, delay calculation method and recording medium recording program for delay calculation
US20070044046A1 (en) Method for providing a current sink model for an asic
Lienig et al. Methodologies for Physical Design: Models, Styles, Tasks, and Flows

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20021001