JPH05204502A - Electric power management sequencer - Google Patents

Electric power management sequencer

Info

Publication number
JPH05204502A
JPH05204502A JP4121002A JP12100292A JPH05204502A JP H05204502 A JPH05204502 A JP H05204502A JP 4121002 A JP4121002 A JP 4121002A JP 12100292 A JP12100292 A JP 12100292A JP H05204502 A JPH05204502 A JP H05204502A
Authority
JP
Japan
Prior art keywords
bus
peripheral
command
power management
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4121002A
Other languages
Japanese (ja)
Inventor
E Cho Giao
イー チョー ジャウ
Lynne David
リン ディヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OPTi Inc
Original Assignee
OPTi Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OPTi Inc filed Critical OPTi Inc
Publication of JPH05204502A publication Critical patent/JPH05204502A/en
Pending legal-status Critical Current

Links

Landscapes

  • Power Sources (AREA)

Abstract

PURPOSE: To provide a power management device which doesn't require the additional cost by providing a power management sequencer which sequences power management instructions obtained through an I/Q bus independently of a CPU instruction sequencer. CONSTITUTION: The power management device includes the power management sequencer which sequences power management instructions obtained through an I/Q bus 22 independently of the CPU instruction sequencer. These instructions are stored in a BIOS EPROM 48, and consequently, they can be customized by a manufacturer so that they include specific power management instructions required for peripheral devices included in a system. The BIOS EPROM doesn't cost the computer manufacturer additionally because it is included anywhere at need unless it purposes to include a proper initialization routine for the combination of a BIOS and specific attached peripheral devices.

Description

【発明の詳細な説明】 (発明の分野)本発明は、IBM PC AT互換コン
ピュータに関するものであり、一層詳しくは、これらの
コンピュータにおいて或る種の周辺装置の電力消費量低
減モードを管理する装置に関する。
Description: FIELD OF THE INVENTION The present invention relates to IBM PC AT compatible computers and, more particularly, to an apparatus for managing the reduced power consumption mode of certain peripherals in these computers. Regarding

(関連技術の説明)代表的なIBM PC AT互換マ
イクロコンピュータは、Intel Corporat
ionの製造する80286,80386,80486
等のようなCPUを包含する。このCPUは、高速(す
なわち、今日の技術によれば10〜50MHzのオー
ダ)でメモリ・アクセスおよびデータ転送を行うことの
できるローカル・バスに接続されている。ローカル・バ
スは、16本または32本のデータ・ラインと、複数本
のメモリ・アドレス・ラインと、種々の制御ラインとを
包含する。代表的なIBM PC AT互換プラットホ
ームは、また、DRAMメインメモリと、タイマと、リ
アルタイム・クロックと、場合によってはキャッシュ.
メモリとを包含し、これらすべてがローカル・バスに接
続されている。代表的なIBM PC AT互換コンピ
ュータは、また、ローカル・バスと別体のI/Oバスも
包含する。このI/Oバス(時には、これらのシステム
ではATバス、ISAバスあるいはEISAバスとも呼
ばれる)は、或る種のインタフェース回路を介してロー
カル・バスに接続されている。I/Oバスは、16本ま
たは32本のデータ・ラインと、複数のI/Oアドレス
・ラインと、制御ラインとを包含する。I/Oアドレス
・スペースは、論理的には、メモリ・アドレス・スペー
スとは別個であり、もしCPUが或るI/Oアドレスに
アクセスしようとする場合には、或る特殊なI/O命令
を実行することによってそれを行う。このようなI/O
命令は、ローカル・バスにメモリ・アクセス信号を発生
するが、MIO#信号も発生してこれがI/Oアドレス
・スペースへのアクセスであることを表示する。MIO
#ラインは、しばしば、単に別のアドレス・ラインであ
ると考えられている。インタフェース回路は、このよう
なCPUの発生したI/O信号を認識し、I/Oバスを
通して所望の動作を実施し、適切であれば、結果をロー
カル・バスを通してCPUに戻す。実際に、いくつかの
I/Oアドレスがローカル・バス上に物理的に存在する
かも知れないし、いくつかのメモリ・アドレスが物理的
にI/Oバス上に存在するかも知れない。インタフェー
ス回路は、或るメモリ・アクセスあるいはI/Oアドレ
ス・アクセスが他のバスへのアクセスによってエミュレ
ートされるはずであるということを認識するように応答
することができ、また、このエミュレーションを実施す
るように応答することができる。たとえば、ROM(ま
たはEPROM)BIOSは、物理的に、I/Oバス上
にあり得るが、実際には、ローカル・メモリ・アドレス
・スペースの一部を構成する。システム・ブート中、C
PUがROM BIOS内に物理的に存在する非I/O
アドレスを送ったときには、インタフェース回路は、そ
れを認識し、アドレスをI/Oバスに送るバッファを割
り込み可能とし、ROMに対するチップ・セレクトを起
動する。インタフェース回路は、次に、ROMによって
戻されたデータからCPUの予想するサイズのデータ・
ワードをアッセンブルし、そのワードをローカル・バス
に送り、CPUがこれを受領する。多くのシステムにお
いて、ROMべースのブートアップ手続き中の或る時点
で、ROM BIOSは、DRAMメインメモリの等価
ロケーションにコピーされ、その後に、直接アクセスさ
れる。DRAMの、BIOSのこの部分を受け取る部分
は、時に、「シャドウRAM」と呼ばれる。一層詳しく
は、標準のアーキテクチャでは、論理的なメインメモリ
・アドレス・スペースは、低メモリ領域(0h−9FF
FFh)、予約メモリ領域(A0000h−FFFFF
h),拡張メモリ領域(100000h−FFFFFF
h)に分割されている。代表的なシステムでは、システ
ムROM BIOSは、論理的に、アドレスF0000
h−FFFFFhに位置し、物理的に、I/Oバス上に
位置する。アドレスC0000h−EFFFFhは、特
殊なアドオン・カード用のROM BIOS部分を含
み、物理的には、I/Oバスのそれぞれのカード上に位
置する。アドレスA0000h−BFFFFhは、I/
Oバスのビデオ・コントローラ・カード上に物理的に位
置するビデオ・バッファを含む。代表的には、アドレス
C0000h−FFFFFh用のローカル・バス上でD
RAM内に重複メモリ・スペースが設けてあり、システ
ムのユーザがブートアップ中に重複DRAMスペース内
へコピーされることによって「シャドウ化」されるべき
ROM BIOS部分を選定することができる。BIO
Sの「シャドウ化」部分への引き続くアクセスは、DR
AMコピーに行われ、これはROMコピーへのアクセス
よりもかなり速いのが普通である。上記の要素に加え
て、ビデオ・ディスプレイ・コントローラの場合、代表
的には、キーボード・コントローラもI/Oバスに接続
される。代表的なIBM PC AT互換システムは、
I/Oバス上の周辺装置がメインメモリから直接読み出
しを行ったり、そこへ直接書き込みを行ったりするのを
許すDMAコントローラと共に、種々のアドオン・カー
ドからCPUへ割り込みを伝送する割り込みコントロー
ラも包含することがある。アドオン・カードは、I/O
バスに接続したスロット・コネクタに差し込まれ、シス
テムの能力を高めるカードである。種々の態様のIBM
PC AT互換コンピュータについての一般的な情報
は、Sanchez著「IBM Microcompu
ter:A Programmer’s Handbo
ok」(McGraw−Hill:1990)およびS
olari著「AT Bus Design」(San
Diego:Annabooks,1990)に見出
せる。また、Intel Corporation(著
作権日1990年)から発刊された「i486 Mic
roprocessor Hardware Refe
rence Manual」を含む、iAPX−86シ
リーズのマイクロプロセッサの構造および用途に関す
る、Intel Corporationによって発刊
された種々のデータ・ブックおよびデータ・シートも参
照されたい。上記の資料は、すべて、ここに参考資料と
して援用する。近年、IBM PC AT互換コンピュ
ータの処理系を簡略化するために基本プラットホーム・
リソースおよびインタフェース機能の多くあるいは全部
を1つのチップセットの少数のチップに集積するのに努
力が払われている。このようなチップセットの一例は、
カリフォルニア州サンタクララ市のOPTi,Inc.
から入手できるOPTi−386 PC/ATチップセ
ットがある。刊行物としては、OPTi,Inc.が
(1991年3月28日)発刊した「OPTi−386
WB PC/AT Chipset(82C391/8
2C392/82C206)Preliminary
82C391/82C392 Date Book」が
このチップセットを記載しており、これも参考資料とし
てここに援用する。近年、IBM PC AT互換コン
ピュータ全体のサイズを小型化して携帯用にすることに
も努力が払われてきた。携帯性についての主要な限定条
件は、バッテリのサイズと重量であり、再充電までの持
続時間であった。したがって、上記のチップセットやコ
ンピュータの他の部分での電力節減機能を含めるのが普
通であった。或る種の周辺装置の製造業者も、その製品
に電力節減機構を設けて携帯コンピュータでの電力節減
を容易にしている。たとえば、或る種のディスク・ドラ
イブは、2つの方法のうちのいずれかによって電力を節
減するように作られている。第1に、もしディスク・ド
ライブに数分より長くアクセスがなされなかった場合に
は、次にディスク・ドライブへのアクセスが必要になる
までディスク・ドライブへの全電力を遮断するステップ
に入ることができる。この電力節減モードは、ここでは
「パワーダウン」と呼ぶ。もしディスク・ドライブ(ま
たはそのコントローラ)がそれ自体の構成レジスタを含
んでいる場合には、CPUはドライブをパワーダウンす
る前に構成を読み出し、記憶しなければならない。一般
的には、従来、パワーダウンは、構成データを維持して
いて、CPUによって読み戻され得ない周辺装置にとっ
て不可能であった。その代わりにあるいはそれに加え
て、ディスク・ドライブは、それに接続したI/Oバス
を横切って発行されるコマンドによって呼び出され得る
低減電力モードを行うように設計することができる。こ
のようなモードが呼び出されたとき、特定のディスク・
ドライブの特徴に依存して、ドライブはそのモータを停
止させ、その回路の大部分への電力を減らし、内部コマ
ンドまたは構成レジスタに内容を保持し、また、I/O
バスを通して発行された別のコマンドを受けたときに全
電力供給を再開できるだけの回路のみを生かしておくよ
うにすることができる。この電力節減もどは、ここで
は、「低減電力」モードと呼ぶ。ConnerPeri
pheralsによって製造されたCP3044IDE
ディスク・ドライブが、低減電力モードを持つドライブ
の一例である。Connerドライブは、さらになお、
ビルトイン・オートパワーダウン・モードを有し、これ
は、I/Oバスを横切って発行されたコマンドによって
プログラムされてそれ自体へのアクセスをモニタし、プ
ログラム可能な分単位の数内でアクセスがなかった場合
には低減電力モードを起動することができることを意味
する。Conner Peripherals CP3
044およびConner Peripherals,
「CP3044 Product/Manual」,R
evision VI.1をここに参考資料として援用
する。他の電力節減モードを或る特定の周辺装置に設け
てもよい。特定の周辺装置によっては異なるが、バスを
横切ってこの周辺装置へ発行される「コマンド」は、こ
の周辺装置に割り当てられたアドレスへの単一の読み出
しあるいは書き込みアクセスのように単純なものであっ
てもよいし、あるいは、かなり複雑なシリーズのアクセ
スであってもよい。特定の周辺装置を電力節減モードに
すべき時点を決定するには種々の方法が用いられる。た
とえば、いくつかのI/Oバス・インタフェース・チッ
プセットでI/Oバス・アドレス・ラインをモニタして
おき、所定のI/Oアドレス領域内、たとえば、ディス
ク・ドライブに割り当てられたI/Oアドレスの領域内
のどのアドレスも少なくとも所定の数分間にアクセスさ
れなかった場合にはそれを表示する信号を発生させる。
別の例としては、多くのシステムが、バッテリ電圧が低
くなったときにそのことを表示し、電力節減モードを起
動すべきであることを表示する回路を含む。また、多く
のシステムでは、外部スイッチを設け、コンピュータの
ユーザがそれを作動させて電力節減モードを呼び出せる
ようにしているし、また、CPU上で稼働しているホス
ト・ソフトウェアが電力節減モードを起動すべきである
ことを知らせることもできる。従来、周辺装置が電力節
減モードに入るべきである場合、このような情報は、も
しその信号がCPUの外部で発したものであるならば、
NMI割り込みピンまたはIRQ割り込みピンのいずれ
かを経てCPUに知らされるし、信号がソフトウェア・
アルゴリズムから発したものであるならば、ソフトウェ
ア割り込みによってCPUに知らされていた。いずれの
場合にも、ホスト・プロセッサは、割り込みハンドラに
ベクタリングされ、パワーダウン割り込みのソースを決
定し、次いで、呼び出すべき電力節減モードを決定す
る。所望の電力節減モードが呼びされ、周辺装置への電
力遮断を行ったならば、割り込みハンドラの制御の下に
稼働しているCPUは、装置コマンドおよび構成レジス
タを読み出し、保存し、次に、CPUそれ自体あるいは
外部チップのいずれかについてのポートピンを付勢し、
この周辺装置への電力を遮断する。周辺装置それ自体の
低減電力モードが呼び出されるべきであるならば、CP
Uは、ドライバの制御の下に、インタフェース回路およ
びI/Oバスを経てそれを行わせるに適切なコマンドを
発行することになる。このような電力管理のためのCP
U割り込み作動技術を用いるチップセットの一例は、カ
リフォルニア州アービン市のWestern Digi
talCorporationnの製造するWD760
0チップセットである。電力管理のためのCPU割り込
み駆動法の問題の1つは、CPUの介入を必要とし、よ
り有効に実施できるかも知れない他のタスクから離れた
時間を取るということにある。CPU割り込み駆動法に
伴う別の問題は、コンピュータが稼働しているソフトウ
ェアによって異なるが、ROM BIOS内の或る特定
の周辺装置のための電力管理ドライバを設置するのが不
可能であるか、あるいは、不便であるということにあ
る。コンピュータ製造業者がエンドユーザにコンピュー
タを輸送するとき、コンピュータに含まれる各特定の周
辺装置にとって唯一の基本制御コードをすべてROMあ
るいはEPROMに予め記憶させておくことが望まし
い。これには、特定の周辺装置によって要求される初期
化ルーチンと共に、携帯コンピュータの場合には、電力
管理ルーチンも含まれる。このようなルーチンについて
のROMあるいはEPROMの記憶は、そのコンピュー
タがMicrosoft Corporationの販
売するDOSオペレーティング・システムの下で作動す
るソフトウェアを走らせるようになっているならば可能
である。たとえば、ここでは、割り込みベクトルが常に
メモリの既知のアドレス(00H−3FFH)に留まる
ことになっているからである。しかしながら、他のソフ
トウェア・パッケージでは、メモリの他のどこかに割り
込みベクトル・テーブルを移動させる可能性がある。た
とえば、Microsoft Coporationか
ら入手できる「ウィンドウズ」として知られるプログラ
ムはこれを行う。オペレーティング・システムOS/2
も同様にこれを行う。これらのプログラムは、また、そ
れ自体の割り込みドライバをセットアップし、ROM
BIOSに既に存在するかも知れないIRQまたはMN
Iドライバを無視する。したがって、製造業者がIR
Q、MNIのROMベース割り込みハンドラに含ませた
いかなり電力管理コードも、これらのプログラムが起動
すると無視されることになる。ウインドウズおよびOS
/2共に、起動後にユーザが新しい割り込みハンドラに
ロードするのが可能とするが、それでも電力管理特徴を
含ませたい製造業者は、代替ドライバをディスクで配布
しなければならず、これらのプログラムのそれぞれにつ
いて異なったドライバを配布しなければならない。電力
管理するためのCPU割り込み駆動法に伴うまた別の問
題は、マスク可能な割り込みレべルを1つ以上消費する
か、あるいは、NMIに取り付けた場合、付加的なサー
ビス・コードをハンドルする必要があるということであ
る。いずれにしても、すべてのIRQ割り込みレベルが
予め標準のIBM PC AT互換コンピュータに対し
て定義されているので、電力管理のためにIRQを使用
すると、システムの標準IBM PC AT互換コンピ
ュータとの互換性が低下することになる。上記の問題へ
の1つの可能性のある解決策は、既にシステム内に存在
するきいぼおど・コントローラに電力管理機能をオフロ
ードすることにある。キーボード・コントローラは、I
ntel Corp.から入手できる8042マイクロ
コントローラで処理され、I/Oバスに接続されている
ことが多い。8042は、コマンドあるいはデータをI
/Oバスから受け取ったり、I/Oバスへ送ったりする
ことのできる汎用周辺インタフェース・コントローラで
ある。この8042は、また、周辺装置に接続するため
の複数のボートと共に、内部バスを通して内部コマンド
・プロセッサに接続した内部ROMプログラム・メモリ
も包含する。代表的には、システム内で8042は、キ
ーボード操作のみを実施するようにプログラムされる。
しかしながら、それは、また、種々のパワーダウン信号
のソースに応答し、キーボード・コントローラの目的の
ために使用されていないポートピンを用いて、ディスク
・ドライブのような別体の周辺装置の低減電力特性を制
御するようにもプログラムされているかも知れないので
ある。さらに、8042がI/Oバスに取り付けられて
いる場合には、さらに、ディスク・ドライブへのアクセ
スをモニタし、所定時間内になんらアクセスが行われな
かったときにはそれ自体のルーチンを呼び出してディス
ク・ドライブを電力節減モードにするように用いられる
かも知れない。ディスク・ドライブその他の周辺装置の
電力消費を管理するためにキーボード・コントローラを
使用することは、電力管理のためのCPU割り込み駆動
法について上述した問題の多くを回避することになろ
う。しかしながら、8042は普通はI/Oバスのすべ
てのアドレス・ラインに接続されていない(チップセッ
トおよびSA(1:0)によって発生したチップ選択信
号KBDC#のみを受け取る)ので、アドレス・ライン
および8042に対する信号関連アドレス指定事象をモ
ニタするのに拡張外部ロジックが必要になる。8042
がI/Oバスを横切って周辺装置へコマンドを発行する
には、また別の拡張ロジックが必要となろう。電力管理
制御のためにキーボード・コントローラを用いること
は、各個別式のディスク・ドライブその他の周辺装置が
それ自体の独特の電力管理コードを必要とするためにも
不適切である。システム内での各置換毎に、それぞれそ
れ自体の独特にプログラムされたROMを備えた別の8
042キーボード・コントローラが必要となる。多数の
異なったタイプのディスク・ドライブその他の周辺装置
の任意のものが任意の所与のシステムに挿入されるかも
知れないので、任意所与の8042がこのようなROM
ベースのマイクロコントローラを経済的に製造するのに
必要な巨大な生産量を達成するのは難しいし、あるい
は、不可能であろう。8042の或るバージョン(87
42)が、ROMの代わりにEPROMと一緒に利用で
きるが、この装置は高価であり、使用されることは少な
い。
(Description of Related Art) A typical IBM PC AT compatible microcomputer is Intel Corporation.
80286, 80386, 80486 manufactured by Ion
And so on. The CPU is connected to a local bus capable of memory access and data transfers at high speeds (ie, on the order of 10-50 MHz according to today's technology). The local bus contains 16 or 32 data lines, multiple memory address lines, and various control lines. A typical IBM PC AT compatible platform also includes a DRAM main memory, a timer, a real time clock, and possibly a cache.
And memory, all of which are connected to the local bus. A typical IBM PC AT compatible computer also includes a local bus and a separate I / O bus. The I / O bus (sometimes referred to as the AT bus, ISA bus, or EISA bus in these systems) is connected to the local bus via some type of interface circuit. The I / O bus contains 16 or 32 data lines, a plurality of I / O address lines, and control lines. The I / O address space is logically separate from the memory address space, and if the CPU wants to access a certain I / O address, some special I / O instruction Do it by running. I / O like this
The instruction issues a memory access signal on the local bus, but also an MIO # signal to indicate that this is an access to the I / O address space. MIO
The # line is often considered simply another address line. The interface circuit recognizes such CPU generated I / O signals, performs the desired operation over the I / O bus, and returns the result, if appropriate, back to the CPU over the local bus. In fact, some I / O addresses may physically reside on the local bus and some memory addresses may physically reside on the I / O bus. The interface circuit can respond to recognize that one memory access or I / O address access should be emulated by an access to another bus, and also perform this emulation. You can respond to do so. For example, a ROM (or EPROM) BIOS may physically be on the I / O bus, but actually forms part of the local memory address space. C during system boot
Non-I / O where PU is physically present in ROM BIOS
When an address is sent, the interface circuit recognizes it, enables the buffer that sends the address to the I / O bus, and activates the chip select for the ROM. The interface circuit then uses the data returned by the ROM to transfer the data of the size expected by the CPU.
Assemble the word, send it to the local bus, and the CPU receives it. In many systems, at some point during the ROM-based bootup procedure, the ROM BIOS is copied to an equivalent location in DRAM main memory and then accessed directly. The portion of DRAM that receives this portion of BIOS is sometimes referred to as "shadow RAM". More specifically, in the standard architecture, the logical main memory address space is the low memory area (0h-9FF
FFh), reserved memory area (A0000h-FFFFF
h), extended memory area (100000h-FFFFFF
h). In a typical system, the system ROM BIOS is logically address F0000.
It is located at h-FFFFFh and is physically located on the I / O bus. Address C0000h-EFFFFh contains the ROM BIOS portion for the special add-on card and is physically located on each card of the I / O bus. Address A0000h-BFFFFh is I /
It contains a video buffer physically located on the video controller card of the O-bus. Typically D on the local bus for address C0000h-FFFFFh
Duplicate memory space is provided in RAM to allow the user of the system to select the portion of the ROM BIOS to be "shadowed" by being copied into the duplicate DRAM space during bootup. BIO
Subsequent access to the "shadowed" portion of S is DR
This is done for AM copies, which are usually much faster than accessing ROM copies. In addition to the above elements, in the case of a video display controller, a keyboard controller is also typically connected to the I / O bus. A typical IBM PC AT compatible system is
It also includes a DMA controller that allows peripherals on the I / O bus to directly read from and write to main memory, as well as an interrupt controller that transmits interrupts from various add-on cards to the CPU. Sometimes. Add-on cards are I / O
It is a card that plugs into a slot connector connected to the bus to enhance the system's capabilities. IBM in various aspects
For general information about PC AT compatible computers, see Sanchez, "IBM Microcompu.
ter: A Programmer's Handbo
ok "(McGraw-Hill: 1990) and S
olari "AT Bus Design" (San
Diego: Annabooks, 1990). In addition, "i486 Mic" published by Intel Corporation (copyright date 1990)
roprocessor Hardware Review
See also the various data books and data sheets published by Intel Corporation regarding the structure and application of the iAPX-86 series microprocessors, including "Rense Manual". All of the above materials are incorporated herein by reference. In recent years, in order to simplify the processing system of the IBM PC AT compatible computer,
Efforts have been made to integrate most or all of the resource and interface functions onto a small number of chips in a single chipset. An example of such a chipset is
OPTi, Inc. of Santa Clara, California.
There is an OPTi-386 PC / AT chipset available from Publications include OPTi, Inc. (March 28, 1991) published "OPTi-386
WB PC / AT Chipset (82C391 / 8
2C392 / 82C206) Preliminary
82C391 / 82C392 Date Book "describes this chipset, which is also incorporated herein by reference. In recent years, efforts have also been made to reduce the size of the entire IBM PC AT compatible computer to make it portable. The main limiting factors for portability were the size and weight of the battery and the duration before recharging. Therefore, it was common to include power saving features in the chipsets and other parts of the computer described above. Some peripheral device manufacturers also include power saving features in their products to facilitate power savings in portable computers. For example, some disk drives are made to save power in one of two ways. First, if the disk drive has not been accessed for more than a few minutes, a step can be taken to shut down all power to the disk drive until the next time the disk drive needs access. it can. This power saving mode is referred to herein as "power down." If the disk drive (or its controller) contains its own configuration register, the CPU must read and store the configuration before powering down the drive. Generally, power down has traditionally been impossible for peripherals that maintain configuration data and cannot be read back by the CPU. Alternatively or additionally, the disk drive can be designed to have a reduced power mode that can be invoked by commands issued across the I / O bus connected to it. When such a mode is called
Depending on the characteristics of the drive, the drive will stop its motor, reduce power to most of its circuitry, retain content in internal commands or configuration registers, and also I / O.
It is possible to keep only the circuits available to resume full power supply when receiving another command issued through the bus. This power saving mode is referred to herein as the "reduced power" mode. ConnerPeri
CP3044IDE manufactured by Pherals
A disk drive is an example of a drive that has a reduced power mode. The Conner drive is even more
Has a built-in auto power-down mode, which is programmed by commands issued across the I / O bus to monitor access to itself and no access within a programmable number of minutes Means that the reduced power mode can be activated. Conner Peripherals CP3
044 and Conner Peripherals,
"CP3044 Product / Manual", R
Evision VI. 1 is incorporated herein by reference. Other power saving modes may be provided for a particular peripheral device. Depending on the particular peripheral, a "command" issued to this peripheral across the bus is as simple as a single read or write access to the address assigned to this peripheral. Or it may be a fairly complex series of accesses. Various methods are used to determine when a particular peripheral should be placed in a power saving mode. For example, some I / O bus interface chipsets monitor the I / O bus address lines to keep the I / O bus within a given I / O address area, for example, an I / O assigned to a disk drive If no address within the address area has been accessed for at least a predetermined number of minutes, a signal is generated indicating it.
As another example, many systems include circuitry that indicates when the battery voltage is low and indicates that power saving mode should be activated. Many systems also have an external switch that allows the computer user to activate it to invoke the power saving mode, and the host software running on the CPU activates the power saving mode. You can also let them know that you should. Conventionally, if a peripheral device should enter a power saving mode, such information would be provided if the signal originated external to the CPU.
The CPU is notified via either the NMI interrupt pin or the IRQ interrupt pin and the signal is
If it came from an algorithm, it was informed to the CPU by a software interrupt. In either case, the host processor is vectored to the interrupt handler to determine the source of the power down interrupt and then the power saving mode to call. Once the desired power saving mode has been called and the peripheral device has been powered down, the CPU running under the control of the interrupt handler reads and saves the device command and configuration registers, then the CPU Activating the port pin either on itself or on an external chip,
Power to this peripheral is shut off. If the reduced power mode of the peripheral itself should be invoked, then CP
Under the control of the driver, U will issue the appropriate command to do so via the interface circuit and I / O bus. CP for power management
An example of a chipset that uses U interrupt activation technology is Western Digi, Irvine, California.
WD760 manufactured by tal Corporation
It is a 0 chipset. One of the problems with CPU interrupt driven methods for power management is that they require CPU intervention and take time away from other tasks that may be more effectively performed. Another problem with the CPU interrupt driven method, depending on the software the computer is running on, is that it is impossible to install a power management driver for a particular peripheral in the ROM BIOS, or It is inconvenient. When a computer manufacturer ships a computer to an end user, it is desirable to have all the basic control codes unique to each particular peripheral included in the computer pre-stored in ROM or EPROM. This includes initialization routines required by specific peripherals as well as power management routines in the case of portable computers. ROM or EPROM storage for such routines is possible if the computer is adapted to run software running under the DOS operating system sold by Microsoft Corporation. For example, here the interrupt vector is supposed to always stay at the known address of the memory (00H-3FFH). However, other software packages may move the interrupt vector table to somewhere else in memory. For example, the program known as "Windows" available from Microsoft Corporation does this. Operating system OS / 2
Does this as well. These programs also set up their own interrupt driver, ROM
IRQ or MN that may already exist in BIOS
Ignore the I driver. Therefore, the manufacturer
Q, any power management code that you want to include in the MNI's ROM-based interrupt handler will also be ignored when these programs are started. Windows and OS
/ 2 allows the user to load a new interrupt handler after startup, but manufacturers wishing to include the power management feature must distribute an alternate driver on disk, each of these programs About different drivers have to be distributed. Another problem with the CPU interrupt driven method for power management is that it consumes one or more maskable interrupt levels or, if attached to the NMI, needs to handle additional service codes. Is that there is. In any case, all IRQ interrupt levels are pre-defined for standard IBM PC AT compatible computers, so using IRQs for power management will make your system compatible with standard IBM PC AT compatible computers. Will be reduced. One possible solution to the above problem consists in offloading power management functions to the KiboDodo controller already present in the system. The keyboard controller is I
ntel Corp. They are often processed by an 8042 microcontroller, available from Microsoft Corp., and connected to the I / O bus. 8042 is a command or data I
A general-purpose peripheral interface controller that can receive from the I / O bus and send to the I / O bus. The 8042 also includes internal ROM program memory connected to an internal command processor through an internal bus, as well as a plurality of ports for connecting to peripheral devices. Typically, 8042 within the system is programmed to perform only keyboard operations.
However, it also responds to various sources of power-down signals and uses the port pins that are not used for the purpose of the keyboard controller to reduce the reduced power characteristics of separate peripheral devices such as disk drives. It may also be programmed to control. In addition, if the 8042 is attached to the I / O bus, it also monitors access to the disk drive and calls its own routine to call the disk drive if no access is made within a predetermined time. It may be used to put the drive into a power saving mode. Using a keyboard controller to manage the power consumption of disk drives and other peripherals will avoid many of the problems described above for CPU interrupt driven methods for power management. However, since the 8042 is not normally connected to all address lines of the I / O bus (it only receives the chip select signal KBDC # generated by the chipset and SA (1: 0)), Extended external logic is required to monitor signal-related addressing events for. 8042
Issued commands across the I / O bus to peripherals would require additional expansion logic. The use of a keyboard controller for power management control is also inadequate because each individual disk drive or other peripheral device requires its own unique power management code. For each replacement in the system, another 8 each with its own uniquely programmed ROM
A 042 keyboard controller is required. Since any of a number of different types of disk drives or other peripheral devices may be inserted into any given system, any given 8042 may be such a ROM.
It would be difficult or impossible to achieve the huge output needed to economically manufacture a base microcontroller. A version of 8042 (87
42) can be used with EPROM instead of ROM, but this device is expensive and rarely used.

(発明の概要)本発明によれば、電力管理装置は、CP
U命令シーケンサとは別個に、I/Oバスを通して得ら
れる電力管理命令を順序付けする電力管理シーケンサを
包含する。これらの命令は、BIOS EPROM内に
記憶され、したがって、システム内に含まれる各周辺装
置のために必要な特定の電力管理命令を含むように製造
業者によってカスタマイズすることができる。BIOS
および取り付けた各特定の周辺装置の組み合わせについ
ての適当な初期化ルーチンを含む以外に目的がない場合
には、このようなBIOS EPROMを必要に応じて
どこにも含めることができるので、コンピュータ製造業
者にはなんら付加的なコストがかからない。さらに、こ
の電力管理シーケンサは、1つのチップセット内のチッ
プのうちの1つに一体化することができ、したがって、
システムに組み込むのに必要な集積回路チップの数を増
やす必要がない。この概念は、電力に無関係な周辺管理
機能にも敷衍できる。
(Summary of the Invention) According to the present invention, a power management device is a CP
Separate from the U instruction sequencer, it includes a power management sequencer that orders the power management instructions available through the I / O bus. These instructions are stored in the BIOS EPROM and thus can be customized by the manufacturer to include the specific power management instructions required for each peripheral included in the system. BIOS
And a computer manufacturer, because such a BIOS EPROM can be included anywhere as needed, except for the purpose of including an appropriate initialization routine for each particular peripheral combination installed. Has no additional cost. Furthermore, this power management sequencer can be integrated into one of the chips in a chipset, thus:
There is no need to increase the number of integrated circuit chips required to be incorporated into the system. This concept can be extended to peripheral management functions that are independent of power.

(実施例)図1は、本発明の一実施例を備え得る或るタ
イプのIBM PC AT互換コンピュータにおける種
々の機能を示すブロック図である。これは、CPU10
(たとえば、Intel 80386)を包含する。こ
のCPU10は、ローカル・バス12に接続してあり、
このローカル・バスは、32本のデータ・ライン(CD
(31:0))と、24本のアドレス・ライン(CA
(25:2))と、4本のバイトイネーブル・ライン
(BE(3:0))とを包含する。ローカル・バスを通
して直接アドレス指定できるアドレス・スペースは長さ
64MBである。上位24ビット(4バイトのワードを
特定するには充分である)はラインCA(25:2)に
存在し、指定されたワード内の或る特定のバイトは4バ
イトイネーブル・ラインBE(3:0)を用いて識別さ
れる。ローカル・バスは、また、付加的な制御ラインも
包含している。この制御ラインの大部分は簡略化のため
に図1では省略している。図1のコンピュータは、ロー
カル・バス12とCPU10に接続したコプロセッサ1
4(たとえば、Intel 80387)を包含してい
てもよい。図1のコンピュータは、さらに、ローカル・
バス12とI/Oバス22の間に接続したI/Oインタ
フェース回路20も包含する。このインタフェース回路
20は、実際に、1つのチップセットのうち2つ以上の
チップに分割されていてもよいが、このようなチップセ
ットにおける種々のチップ間の機能の分割は本発明にと
って重要ではない。ローカル・バスとI/Oバスの間を
インタフェース接続する回路構成に加えて、インタフェ
ース回路20は、14.3MHzクロックと、リセット
制御ユニットと、リアルタイム・クロック(RTC)へ
のインタフェースと、キーボード・コントローラへのイ
ンタフェースと、コプロセッサ14へのインタフェース
と、DRAMメインメモリへのインタフェースと、キャ
ッシュへのインタフェースとを包含する。キャッシュ・
インタフェースは、ライン32を通してキャッシュ・メ
モリ・ユニット34に接続している。キャッシュ・メモ
リ・ユニットはローカル・バス12のデータ・ラインC
D(31:0)に接続している。インタフェース回路2
0は、ローカル・バス12のデータ・ラインCD(3
1:0)、アドレス・ラインCA(25:2)およびバ
イトイネーブル・ラインBE(3:0)に双方向接続し
ており、また、種々の制御ライン24を経てCPU10
にも接続している。インタフェース回路20は、種々の
制御ライン26を経てコプロセッサ14にも接続してい
る。後に明らかにするが、インタフェース回路20は本
発明による電力管理ユニット(PMU)も包含する。イ
ンタフェース回路20のDRAMメインメモリ・インタ
フェース部分は、64メガバイト長までであり得るDR
AMメインメモリ30のバンクへライン28を通してア
ドレス指定情報を与える。DRAMメインメモリ30
は、ローカル・バス12のデータ・ラインCD(31:
0)へ双方向接続している。I/Oバス22は、アドレ
ス・ラインSA(19:0)、LA(23:17)(こ
こではまとめてSA(23:0)とする)と、データ・
ラインSD(15:0)とを包含する。ローカル・バス
12と同様に、I/Oバス22上には多くの制御ライン
が存在するが、簡略化のために図1では省略している。
インタフェース回路20はアドレス・ライン、データ・
ライン(SA、SD)の両方に接続している。いくつか
の周辺装置がI/Oバス22に接続してあってもよい。
たとえば、40で示すようなIDEディスク・ドライブ
・コントローラを、LCDディスプレイ・コントローラ
42と同様に、I/Oバス22のアドレス・ライン、デ
ータ・ラインに接続し得る。これら2つの特別の周辺装
置は、電力管理の有用な主題であるために、図1に示し
てある。I/Oバス22には、キーボード・コントロー
ラ44も接続してあり、これはプログラムされたInt
el 8024であってもよい。このような装置は、マ
サチュセッツ州ノーウッドのPhoenix Tech
nologiesのような企業から、キーボード・コン
トローラとして作用するようにプログラム済みの形態で
購入することができる。リアルタイム・クロック(RT
C)は、EPROM48およびSYSRAM50と同様
に、I/Oバス22の下位8本のデータ・ラインSD
(7:0)にも接続してある。EPROM48は、I/
Oバス22の下位17本のアドレス・ビットSA(1
6:0)も受け取り、システムBIOSを含む。後に明
らかになるように、EPROM48は本発明による電力
管理コードも含み得る。EPROM48は、論理的に
は、アドレスE0000−FFFFFのメインメモリ・
アドレス・スペース内にあり、先に述べたように、EP
ROM BIOSは、ブート手続きの一部としてDRA
Mメインメモリ30にコピーされ得る。SYSRAN5
0は32Kバイトまでのバッテリ・バックアップ式SR
AMアレイである。図1の例では、8KバイトのSYS
RAMのみを含んでおり、I/Oバス22の下位13の
アドレス・ビットSA(12:0)のみを受け取る。S
YSRAM50は、論理的に、C0000h−FFFF
Fhからの任意のユーザ選択可能32Kバイト・ブロッ
クのメインメモリ・アドレス・スペース内に位置する。
I/Oスペース・イメージ・バッファ機能が使用可能と
された場合(インタフェース回路20のインデックス・
レジスタの1つにおいて1ビットを設定することによ
る)、SYSRAM50の最下位1Kバイトは、書き込
みのみの場合、I/Oアドレス・ロケーション000
h.3FFhに存在し、ここにおいて、I/O周辺装置
のコマンド、制御レジスタを含めてAT I/Oアドレ
ス・スペース全体を複写する。このI/Oアドレス・ス
ペースにおける任意のコマンド、制御レジスタへの書き
込みアクセスは、すべて、SYSRAM50の対応した
ロケーションにも書き込まれることになる。こうして、
停電または電力管理のために故意に周辺装置の1つまた
はそれ以上のものへの電力が遮断された場合には、シス
テムは、これらのレジスタの状態をSYSRAM50か
ら読み出し、周辺装置に書き戻すことによってレジスタ
の状態を常に再開することができる。別のシステムで
は、I/Oスペース・イメージ・バッファは、論理的に
(読み出しアクセスの場合)、排他的にI/Oアドレス
・スペースに位置するか、あるいは、選択可能にI/O
アドレス・スペースまたはメインメモリ・アドレス・ス
ペースに位置し得る。インタフェース回路20は、ま
た、SYSRAM50にチップ・せれくと信号SYSR
AMCS#を与え、EPROM48にチップ・セレクト
信号ROMCS#を与え、キーボード・コントローラ4
4にチップ・セレクト信号KBDCS#を与える。ここ
で、或る種のシステムでは、上記の周辺装置の或るもの
(たとえば、IDEコントローラ40とLCDディスプ
レイ・コントローラ42)はバッファによって他の周辺
装置から隔離されていることに注目されたい。このバッ
ファは、2つのコントローラ(およびアドイン・スロッ
トに挿入された任意他の周辺装置)のための充分な駆動
電流を確保するために設けたものであり、「I/Oバス
上にある」ようなこれらの周辺装置の特性を変更するこ
とはない。作動にあたって、電源投入あるいはリセット
直後に、CPU10はメインメモリの最上位アドレスの
下方15バイトのところに位置する命令のためのフェッ
チを発行する。インタフェース回路20は、このアクセ
スを復号し、EPROM48のベース・アドレスへジャ
ンプ命令を戻す。CPU10は、次に、EPROM48
から実行し、種々の自己テスト、初期化のルーチンを実
施する。これらすべてのアクセスのために、CPUは、
その命令フェッチ・アドレスをローカル・バス上に置
き、インタフェース回路20はCPUのフェッチ・アド
レスを復号してそれをEPROM48に書き込むことを
決める。インタフェース回路20は、次に、I/Oバス
22のアドレス・ラインSA(23:0)上にアドレス
を送り、ROMCS#を付勢する。インタフェース回路
20は、また、I/Oバス22のデータ・ラインSD
(7:0)上にEPROM48から戻った1バイト・ワ
イド命令およびデータを32ビット・ワードにアッセン
ブルし、それらをローカル・バス12のデータ・ライン
CD(31:0)上へ駆動する。また、EPROM48
から実行している初期化シーケンス中の或る時点で、E
PROMコードは、CPU10に、I/OバスからのB
IOSルーチンをDRAMメインメモリ30の対応する
シャドウ・メモリ・ロケーションにコピーさせる。こう
して、これらBIOSルーチンへのオペレーティング・
システムによる呼び出しが、I/Oバス上のROM内に
のみルーチンが留まる場合よりも迅速に進行することが
できる。電力管理ルーチンは、後述するように、EPR
OM48内に含まれ得るが、メインメモリ30にコピー
する必要はない。初期化シーケンス中の或る時点で、オ
ペレーティング・システムはメインメモリ30内にロー
ドされる。初期化シーケンスが完了したときに制御がオ
ペレーティング・システムに転送される。電力管理に関
連した初期化シーケンスの他の部分は後に説明する。図
2、図3は、インタフェース回路20(図1)に含まれ
るチップセット内の2つのチップ100、200の主要
内部機能ユニットを示している。また、図2、図3に
は、これらのチップの種々のピンの識別も示してある。
これらの図において、チップ100、200のそれぞれ
に向かっている矢印の内部に記載してあるピン名は、そ
のチップへの入力であり、チップ100、200のそれ
ぞれから離れる方向に向いている矢印の内部に記載して
あるピン名はそのチップからの出力である。チップ10
0、200のそれぞれについて両方向を指している矢印
の内部に記載してあるピン名はそのチップについての双
方向ピンである。図2でわかるように、チップ100
は、CPUインタフェース、コプロセッサ・インタフェ
ース、チップ200に通じるインタフェース、キャッシ
ュ・インタフェース、DRAMインタフェース、I/O
バス・インタフェースおよび電力管理ユニット(PM
U)110を包含する。同様に、図3に示すように、チ
ップ200は、CPUインタフェース、チップ100に
通じるインタフェース、14.3MHzクロック、リセ
ット制御ユニット、I/Oバス・インタフェース、マイ
クロプロセッサD(31:0)とSD(15:0)の間
のデータ・バッファ、2つの8ビットDMAコントロー
ラ、2つの割り込みコントローラ、タイマ/カウンタ、
リアルタイム・クロック(RTC)インタフェースおよ
びキーボード・インタフェースを包含する。2つのチッ
プ100、200上の各ピンの機能を以下に表I、II
を参照しながら簡単に説明する。個々の機能ユニットに
ついての詳しい説明は、本発明を理解する上で不要であ
り、簡略化のために省略する。本発明に最も関係のある
機能ユニットの特徴のみを以下に詳しく説明する。
DETAILED DESCRIPTION FIG. 1 is a block diagram illustrating various functions of an IBM PC AT compatible computer of a type that may include an embodiment of the present invention. This is CPU10
(Eg, Intel 80386). This CPU 10 is connected to the local bus 12,
This local bus has 32 data lines (CD
(31: 0)) and 24 address lines (CA
(25: 2)) and four byte enable lines (BE (3: 0)). The address space directly addressable through the local bus is 64 MB long. The upper 24 bits (sufficient to identify a 4-byte word) are present on line CA (25: 2), and a particular byte within the specified word is a 4-byte enable line BE (3: 0) is used for identification. The local bus also contains additional control lines. Most of this control line is omitted in FIG. 1 for simplicity. The computer of FIG. 1 has a coprocessor 1 connected to a local bus 12 and a CPU 10.
4 (eg, Intel 80387). The computer of FIG.
It also includes an I / O interface circuit 20 connected between the bus 12 and the I / O bus 22. The interface circuit 20 may actually be divided into two or more chips in a chipset, but the division of functions between the various chips in such a chipset is not critical to the invention. .. In addition to the circuit configuration for interfacing between the local bus and the I / O bus, the interface circuit 20 includes a 14.3 MHz clock, a reset control unit, an interface to a real time clock (RTC), and a keyboard controller. To the coprocessor 14, the interface to the DRAM main memory, and the interface to the cache. cache·
The interface connects to cache memory unit 34 through line 32. The cache memory unit is the data line C on the local bus 12.
It is connected to D (31: 0). Interface circuit 2
0 is the data line CD (3
1: 0), the address lines CA (25: 2) and the byte enable lines BE (3: 0), and the CPU 10 via various control lines 24.
Is also connected to. The interface circuit 20 is also connected to the coprocessor 14 via various control lines 26. As will be apparent later, the interface circuit 20 also includes a power management unit (PMU) according to the present invention. The DRAM main memory interface portion of interface circuit 20 may be up to 64 megabytes long DR
Addressing information is provided through lines 28 to banks of AM main memory 30. DRAM main memory 30
Is a data line CD (31:
Bidirectional connection to 0). The I / O bus 22 includes address lines SA (19: 0), LA (23:17) (herein collectively referred to as SA (23: 0)) and data lines.
Line SD (15: 0). Like the local bus 12, there are many control lines on the I / O bus 22, which are omitted in FIG. 1 for simplicity.
The interface circuit 20 includes address lines, data
It is connected to both lines (SA, SD). Some peripheral devices may be connected to the I / O bus 22.
For example, an IDE disk drive controller, such as 40, may be connected to the address and data lines of I / O bus 22, similar to LCD display controller 42. These two special peripherals are shown in FIG. 1 because they are useful subjects of power management. Also connected to the I / O bus 22 is a keyboard controller 44, which is a programmed Int.
el 8024. Such a device is commercially available from Phoenix Tech, Norwood, Massachusetts.
It can be purchased from companies such as Nologies in a pre-programmed form to act as a keyboard controller. Real-time clock (RT
C) is the lower eight data lines SD of the I / O bus 22, similar to the EPROM 48 and the SYSRAM 50.
It is also connected to (7: 0). EPROM 48 is I /
Lower 17 address bits SA (1
6: 0) and also includes the system BIOS. As will become apparent, EPROM 48 may also include power management code according to the present invention. The EPROM 48 is logically a main memory at addresses E0000-FFFFF.
It is in the address space and, as mentioned earlier, the EP
ROM BIOS is DRA as part of the boot procedure.
M main memory 30 may be copied. SYSRAN5
0 is a battery-backed SR up to 32 Kbytes
It is an AM array. In the example of FIG. 1, 8 Kbytes of SYS
It contains only RAM and receives only the lower 13 address bits SA (12: 0) of the I / O bus 22. S
The YSRAM 50 is logically C0000h-FFFF.
It is located in the main memory address space of any user-selectable 32 Kbyte block from Fh.
When the I / O space image buffer function is enabled (index of interface circuit 20
(By setting 1 bit in one of the registers), the least significant 1 Kbyte of SYSRAM 50 is the I / O address location 000 if it is write only.
h. 3FFh, where the entire AT I / O address space is copied, including the I / O peripheral command and control registers. Any command, write access to the control register in this I / O address space will also be written to the corresponding location in SYSRAM 50. Thus
If the power to one or more of the peripherals is intentionally cut off due to a power outage or power management, the system reads the state of these registers from SYSRAM50 and writes them back to the peripherals. The register state can always be resumed. In other systems, the I / O space image buffer is located logically (for read access), exclusively in the I / O address space, or selectively I / O.
It may be located in the address space or the main memory address space. The interface circuit 20 also sends a signal SYSR
AMCS # is given, EPROM 48 is given a chip select signal ROMCS #, and keyboard controller 4
4 to the chip select signal KBDCS #. Note that in some systems, some of the above peripherals (eg, IDE controller 40 and LCD display controller 42) are isolated from other peripherals by buffers. This buffer is provided to ensure sufficient drive current for the two controllers (and any other peripherals inserted in the add-in slots) and is "on the I / O bus". It does not change the characteristics of these peripherals. In operation, immediately after power-on or reset, the CPU 10 issues a fetch for an instruction located at the lower 15 bytes of the uppermost address of the main memory. Interface circuit 20 decodes this access and returns a jump instruction to the base address of EPROM 48. The CPU 10 then proceeds to the EPROM 48
And perform various self-test and initialization routines. For all these accesses, the CPU
The instruction fetch address is placed on the local bus and interface circuit 20 decides to decode the CPU fetch address and write it to EPROM 48. Interface circuit 20 then sends the address onto address lines SA (23: 0) of I / O bus 22 to energize ROMCS #. The interface circuit 20 also includes the data lines SD of the I / O bus 22.
Assemble the 1-byte wide instructions and data returned from EPROM 48 on (7: 0) into a 32-bit word and drive them onto local bus 12 data lines CD (31: 0). Also, EPROM 48
At some point during the initialization sequence running from
The PROM code is sent to the CPU 10 by the B from the I / O bus.
Causes the IOS routine to be copied to the corresponding shadow memory location in DRAM main memory 30. Thus, the operating system for these BIOS routines
Calls by the system can proceed faster than if the routine stays only in ROM on the I / O bus. The power management routine, as described below,
It may be included in the OM 48 but need not be copied to the main memory 30. At some point during the initialization sequence, the operating system is loaded into main memory 30. Control is transferred to the operating system when the initialization sequence is complete. Other parts of the initialization sequence related to power management will be described later. 2 and 3 show main internal functional units of the two chips 100 and 200 in the chipset included in the interface circuit 20 (FIG. 1). The identification of the various pins of these chips is also shown in FIGS.
In these figures, the pin names written inside the arrows pointing to the chips 100 and 200 are the inputs to the chips, and the names of the arrows pointing away from the chips 100 and 200, respectively. The pin name written inside is the output from the chip. Chip 10
The pin names inside the arrows pointing in both directions for each of 0 and 200 are bidirectional pins for the chip. As can be seen in FIG.
Is a CPU interface, a coprocessor interface, an interface leading to the chip 200, a cache interface, a DRAM interface, an I / O
Bus interface and power management unit (PM
U) 110. Similarly, as shown in FIG. 3, the chip 200 has a CPU interface, an interface leading to the chip 100, a 14.3 MHz clock, a reset control unit, an I / O bus interface, microprocessors D (31: 0) and SD ( 15: 0) data buffer, two 8-bit DMA controllers, two interrupt controllers, timer / counters,
It includes a real time clock (RTC) interface and a keyboard interface. The function of each pin on the two chips 100, 200 is shown in Tables I and II below.
A brief explanation will be given with reference to. Detailed descriptions of individual functional units are not necessary for an understanding of the present invention and are omitted for simplification. Only the features of the functional units that are most relevant to the invention will be described in detail below.

チップ100、200は、CPUへ書き込み、あるい
は、CPUから読みだされ得る複数のコマンドおよび構
成レジスタに応答して作動する。インデックス・レジス
タにアクセスするためには、CPUは、まず、インデッ
クス番号をI/Oポートに、たとえば、22hに書き込
み、次いで、データを別のI/Oポート、たとえば、2
4hから読み出すか、あるいは、そこへ書き込まなけれ
ばならない。レジスタのフィールドが図6〜図9に示し
てあり、本発明に最も関係のあるインデックス・レジス
タのみを以下に詳しく説明する。図6〜図9において、
フィールド内の二重ハイフンは反転ビットを示す。イン
デックス・レジスタは次の通りである。
Chips 100, 200 operate in response to a number of commands and configuration registers that can be written to or read from the CPU. To access the index register, the CPU first writes the index number to the I / O port, eg, 22h, then the data to another I / O port, eg, 2h.
Must be read from or written to 4h. The fields of the register are shown in FIGS. 6-9, and only the index register most relevant to the present invention is described in detail below. 6 to 9,
Double hyphens in the field indicate an inverted bit. The index registers are as follows:

30(7:6) チップ100改訂番号 30(5:3) ATバス・クロック・セレクト 0 0 0 8 MHz 0 0 1 6 MHz 0 1 0 4 MHz 0 1 1 10MHz 1 x x 5 MHz 30(2) ′1′=ATサイクルにおける特殊ウェイ
ト状態。′0′=非特殊ウェイト状態。
30 (7: 6) Chip 100 Rev. No. 30 (5: 3) AT Bus Clock Select 0 0 0 8 MHz 0 0 1 6 MHz 0 1 0 4 MHz 0 1 1 1 10 MHz 1 x x 5 MHz 30 (2) '1' = Special wait state in AT cycle. '0' = non-special wait state.

30(1) キーボード・リセット制御。′1′は、C
PURST発生前に停止命令を必要とする。′0′は、
停止命令を必要としない。
30 (1) Keyboard reset control. '1' is C
A stop command is required before PURST occurs. '0' is
Does not require a stop order.

30(0) 代替急速リセット。′1′はイネーブ
ル。′0′はディスエーブル。
30 (0) Alternative rapid reset. '1' is enabled. '0' is disabled.

31(7) ′1′はイネーブルPMU。′0′はディ
スエーブル。′1′に維持しなければならない。
31 (7) '1' is an enable PMU. '0' is disabled. Must be maintained at '1'.

31(6) ′1′はイネーブル ROMCS#におい
てC0000−C7FFF。′0′はディスエーブル。
31 (6) '1' is enable ROMCS # C0000-C7FFF. '0' is disabled.

31(5) ′0′はパリティチェックをイネーブ
ル。′1′ディスエーブル。
31 (5) '0' enables parity check. '1' disabled.

31(4) ′1′はキャッシュをイネーブル。′0′
はディスエーブル。31(3:2) キャッシュ・サイ
ズ 0 0 32K 0 1 64K 1 0 128K 1 1 256K 31(1:0) キャッシュ・ウェイト状態 0 0 予約済み 0 1 0 ws 1 0 1 ws 1 1 0 wsで、立ち下がり遅延を持つ。
31 (4) '1' enables cache. '0'
Is disabled. 31 (3: 2) cache size 0 0 32K 0 1 64K 1 0 128K 1 1 256K 31 (1: 0) cache wait state 0 0 reserved 0 1 0 ws 1 0 1 ws 1 1 0 ws standing Has a falling delay.

32(7) Fブロック制御。′1′はROMCS#か
らの読出し、DRAMへの書き込み。′0′は読み出し
専用。書き込み不可。
32 (7) F block control. '1' is for reading from ROMCS # and writing to DRAM. '0' is read-only. Not writable.

32(6) Dブロック制御。′1′はシャドウイネー
ブル。′0′はシャドウディスエーブル。
32 (6) D block control. '1' is shadow enable. '0' is shadow disable.

32(5) Eブロック制御。′1′はシャドウイネー
ブルで、ROMCS#ディスエーブル。′0′はROM
CS#イネーブル。
32 (5) E block control. '1' is shadow enable and ROMCS # is disabled. '0' is ROM
CS # enable.

32(4) Dブロック・シャドウ書き込み制御。′
1′は書き込み不可、′0′は書き込み可。
32 (4) D block shadow write control. ′
1'is not writable, '0' is writable.

32(3) Eブロック・シャドウ書き込み制御。′
l′は書き込み不可、′0′は書き込み可。
32 (3) E block shadow write control. ′
l'is not writable, '0' is writable.

32(1) 代替急速GateA20。′1′はイネー
ブル、′0′はディスエーブル。
32 (1) Alternative rapid Gate A20. '1' is enabled and '0' is disabled.

32(0) ′1′バス変換中の単一ALE、′0′は
多重ALE。
32 (0) '1' Single ALE during bus conversion, '0' is multiple ALE.

支援結合システムおよびビデオBIOS、ROMCS#
は、Fブロックのみ、または、FとEブロック、また
は、FとE8000−EFFFFとC0000−C7F
FFにセットできる。
Support coupling system and video BIOS, ROMCS #
Are F blocks only, F and E blocks, or F and E8000-EFFFF and C0000-C7F.
Can be set to FF.

Fブロックのみにセット: 32(7)=1、32
(5)=1 31(6)=0 F、Eブロックへセット: 32(7)=1、32
(5)=0、31(6)=0 F、E、Cブロックへセット:32(7)=1、32
(5)=0、31(6)=1 33(7)シャドウEC000−EFFFF,′1′イ
ネーブル、′0′ディスエーブル 33(6) シャドウE8000−EBFFF′1′イ
ネーブル、′0′ディスエーブル 33(5) シャドウE4000−E7FFF′1′イ
ネーブル、 ′0′ディスエーブル 33(4) シャドウE0000−E3FFF′1′イ
ネーブル、′0′ディスエーブル 33(3) シャドウDC000−DFFFF′1′イ
ネーブル、 ′0′ディスエーブル 33(2) シャドウD8000−DBFFF′1′イ
ネーブル、 ′0′ディスエーブル 33(1) シャドウD4000−D7FFF′1′イ
ネーブル、 ′0′ディスエーブル 33(0) シャドウD0000−D3FFF′1′イ
ネーブル、 ′0′ディスエーブル 34(3) 予約済み 34(7:4) DRAM構成、バンク0、1 34(2:0) DRAM構成、バンク2、3 35(7:6) DRAM読み出しウェイト状態 0 0 予約済み 0 1 1付加ws、(ベースwsは3である) 1 0 1付加ws 1 1 2付加ws 35(5:4) DRAM書き込みウェイト状態 0 0 0付加ws、(ベースwsは2である) 0 1 1付加ws 1 0 2付加ws 1 1 3付加ws 35(3) 予約済み 35(2) 予約済み 35(1) ′0′はDRAMキャッシュ可能領域を使
用可とする。′1′はDRAM全体をキャッシュ不可と
する。
Set to F block only: 32 (7) = 1, 32
(5) = 1 31 (6) = 0 Set to F and E blocks: 32 (7) = 1, 32
(5) = 0, 31 (6) = 0 Set to F, E, C blocks: 32 (7) = 1, 32
(5) = 0, 31 (6) = 1 33 (7) Shadow EC000-EFFFF, '1' enable, '0' disable 33 (6) Shadow E8000-EBFFF'1 'enable,' 0 'disable 33 (5) Shadow E4000-E7FFF'1 'enable,' 0 'disable 33 (4) Shadow E0000-E3FFF'1' enable, '0' disable 33 (3) Shadow DC000-DFFFF'1 'enable,' 0 'Disable 33 (2) Shadow D8000-DBFFF'1' Enable, '0' Disable 33 (1) Shadow D4000-D7FFF'1 'Enable,' 0 'Disable 33 (0) Shadow D0000-D3FFF'1' Enable, '0' disabled 4 (3) reserved 34 (7: 4) DRAM configuration, banks 0 and 1 34 (2: 0) DRAM configuration, banks 2, 3 35 (7: 6) DRAM read wait state 0 0 Reserved 0 1 1 additional ws, (base ws is 3) 1 0 1 additional ws 1 1 2 additional ws 35 (5: 4) DRAM write wait state 0 0 0 addition ws, (base ws is 2) 0 1 1 addition ws 1 0 2 addition ws 1 1 3 addition ws 35 (3) reserved 35 (2) reserved 35 (1) '0' can be DRAM cached Area can be used. "1" makes the entire DRAM non-cacheable.

35(0) C0000−C7FFFキャッシュ可。′
0′キャッシュ可、′1′キャッシュ不可。
35 (0) C0000-C7FFF cache available. ′
0'cache enabled, '1' cache disabled.

36(7) Fブロック書き込み制御。′1′書き込み
可、′0′書き込み不可。このビットは、32(7)
が′0′の場合、ドントケアである。
36 (7) F block write control. '1' write enabled, '0' write disabled. This bit is 32 (7)
Is 0, it means don't care.

36(6) C0000−EFFFF制御。′0′AT
バスでR/W、′1′ATから読み出し、DRAMへ書
き込み。
36 (6) C0000-EFFFF control. '0'AT
Read from R / W and '1'AT by bus and write to DRAM.

36(5) Cブロック・シャドウ書き込み制御。′
1′書き込み不可、′0′書き込み可。
36 (5) C block shadow write control. ′
1'writable, '0' writable.

36(4) Cブロック制御。′1′シャドウ・イネー
ブル、′0′シャドウ・ディスエーブル。
36 (4) C block control. '1' shadow enable, '0' shadow disable.

36(3)シャドウCC000−CFFFF,′1′イ
ネーブル、′0′ディスエーブル 36(2)シャドウC8000−CBFFF,′1′イ
ネーブル、′0′ディスエーブル 36(1)シャドウC4000−C7FFF,′1′イ
ネーブル、′0′ディスエーブル 36(0) シャドウC0000−C3FFF′1′イ
ネーブル、′0′ディスエーブル 37(7:6) 予約済み 37(5:4) 予約済み 37(3:0) キャッシュ可のアドレス領域: 0000 0−64 Mb 0001 0−4 Mb 0010 0−8 Mb 0011 0−12 Mb 0100 0−16 Mb 0101 0−20 Mb 0110 0−24 Mb 0111 0−28 Mb 1000 0−32 Mb 1001 0−36 Mb 1010 0−40 Mb 1011 0−44 Mb 1100 0−48 Mb 1101 0−52 Mb 1110 0−56 Mb 1111 0−60 Mb 注意: 1.32Kキャッシュの場合、最大キャッシュ可能アド
レス領域は0−8Mbである。
36 (3) Shadow CC000-CFFFF, '1' enable, '0' disable 36 (2) Shadow C8000-CBFFF, '1' enable, '0' disable 36 (1) Shadow C4000-C7FFF, '1' Enable, '0' Disable 36 (0) Shadow C0000-C3FFF '1' Enable, '0' Disable 37 (7: 6) Reserved 37 (5: 4) Reserved 37 (3: 0) Cache enabled Address area: 0000 0-64 Mb 0001 0-4 Mb 0010 0-8 Mb 0011 0-12 Mb 0100 0-16 Mb 0101 0-20 Mb 0110 0-24 Mb 0111 0-28 Mb 1000 0-32 Mb 1001 0 -36 Mb 1010 0-40 Mb 1011 0-44 Mb 1100 0-48 Mb 1101 0-52 Mb 1110 0-56 Mb 1111 0-60 Mb Note: For a 1.32K cache, the maximum cacheable address area is 0-8Mb.

2.64Kキャッシュの場合、最大キャッシュ可能アド
レス領域は0−16Mbである。
In the case of a 2.64K cache, the maximum cacheable address area is 0-16Mb.

3.128Kキャッシュの場合、最大キャッシュ可能ア
ドレス領域は0−32Mbである。
For a 3.128K cache, the maximum cacheable address area is 0-32Mb.

4.256Kキャッシュの場合、最大キャッシュ可能ア
ドレス領域は0−64Mbである。
For a 4.256K cache, the maximum cacheable address area is 0-64Mb.

5.640K−1Mのメモリ領域は、別のレジスタ・ビ
ットによって制御されるC0000h−C8000hを
除いてキャッシュ不可である。
The 5.640K-1M memory area is non-cacheable except C0000h-C8000h which is controlled by another register bit.

インデックス・レジスタ38(キャッシュ不可ブロック
1)は、インデックス39と一緒に用いてキャッシュ不
可ブロックを構成する。キャッシュ不可ブロックの出発
アドレスは、ブロック・サイズと同じ細分性を持たなけ
ればならない。たとえば、512Kbキャッシュ不可ブ
ロックが選ばれた場合、その出発アドレスは512Kの
倍数である。その結果、A19−A23のアドレス・ビ
ットのみが意味を有し、A16−A18は「ドントケ
ア」である。
Index register 38 (non-cacheable block 1) is used with index 39 to form a non-cacheable block. The non-cacheable block's starting address must have the same granularity as the block size. For example, if a 512Kb non-cacheable block is chosen, its starting address is a multiple of 512K. As a result, only the A19-A23 address bits have meaning and A16-A18 are "don't cares."

38(7:5) キャッシュ不可メモリ・ブロック1の
サイズ (64K、128K、256K、512Kまたは使用不
可) デフォルト=使用不可 38(4:2) 未使用 38(1:0) キャッシュ不可メモリ・ブロック1の
A25、A24のアドレス・ビット。デフォルト=00 39(7:0) キャッシュ不可ブロック1インデック
ス39 インデックス・レジスタ3A、3Bはn.c.b.2の
場合インデックス38、39に類似している。電力管理レジスタ インデックス・レジスタ44−47は、4つの周辺装置
のためのタイマを包含する。ひとたび始動すると、各タ
イマは、対応する周辺装置に割り当てられたアドレスに
アクセスがあるといつでも再始動することになる。pw
r−1cdタイマおよびポート・ピンはLCDバックラ
イトおよびLCDパネルへの電力を制御し、メモリ・ア
ドレスA0000−BFFFF(ディスプレイ・メモ
リ)あるいはI/Oアドレス3B0.3dF(コマンド
および構成レジスタ)へのアクセス毎にタイマは再起動
される。pwr_drVタイマおよびポート・ピンはフ
ロッピー、IDEドライブへの電力を制御し、このタイ
マは、I/OアドレスlFX−3FXへのアクセス毎に
再起動される。これらのアドレスのうち、実際に意味の
あるアドレスは、フロッピー・ドライブ・アクセスのた
めのI/Oアドレス3F0−3F5hまたは3F7、I
DEドライブ・アクセスのための3F6または3F7h
であるが、復号の容易さのためにはより大きい領域が使
用される。pwr_kbdタイマおよびポート・ピン
は、キーボードへの電力を制御する。このタイマは、I
/Oアドレス60−67hへのアクセス毎に再起動され
る。pwr_gnrタイマおよびポート・ピンはゆうざ
定義の周辺装置への電力を制御する。ユーザ定義のアド
レス領域(以下に説明する)がアクセスの有無について
もにたされる。PWR_XXXピン手段は、インデック
ス・レジスタ41、43hを用いてビット毎にオフされ
得る。各タイマのためのクロック・ソースは、それぞれ
異なった領域をカバーするいくつかの周波数SQW
(3:0)から選ばれ得る。タイマがタイムアウトした
とき、普通の電力管理法(CPU割り込み駆動法)が選
ばれているならば、SNMIが発生させられ、CPUの
ソフトウェアがピンをオフにすることができる。その装
置に次にアクセスがあった場合、インタフェース回路2
0が再びSNMIを発生し、ソフトウェアにそのピンを
オンにさせる。インデックス41は、CPUがPWR_
xxxポート・ピンに直接書き込みするのを許す。41
(7:4)へ書き込まれたビットは、41(3:0)の
対応するビットのための書き込みマスクとして作用す
る。41(7:4)の「1」は、対応する41(3:
0)のポート・ピンへの書き込みを可能とする。
38 (7: 5) Size of non-cacheable memory block 1 (64K, 128K, 256K, 512K or unusable) Default = unusable 38 (4: 2) Unused 38 (1: 0) Non-cacheable memory block 1 A25 and A24 address bits. Default = 00 39 (7: 0) Non-cacheable block 1 index 39 Index registers 3A, 3B are n. c. b. The case of 2 is similar to the indexes 38 and 39. Power management register index registers 44-47 contain timers for four peripherals. Once started, each timer will restart whenever there is access to the address assigned to the corresponding peripheral. pw
The r-1cd timer and port pins control the power to the LCD backlight and LCD panel and access to memory address A0000-BFFFF (display memory) or I / O address 3B0.3dF (command and configuration register). The timer is restarted every time. The pwr_drV timer and port pin control the power to the floppy, IDE drive and this timer is restarted on every access to the I / O address 1FX-3FX. Of these addresses, the actually meaningful address is the I / O address 3F0-3F5h or 3F7, I for accessing the floppy drive.
3F6 or 3F7h for DE drive access
However, a larger area is used for ease of decoding. The pwr_kbd timer and port pin control the power to the keyboard. This timer is
It is restarted each time the / O address 60-67h is accessed. The pwr_gnr timer and port pin control the power to the peripheral defined device. A user-defined address area (described below) is also checked for access. The PWR_XXX pin means can be turned off bit by bit using the index registers 41, 43h. The clock source for each timer has several frequencies SQW covering different areas.
It can be selected from (3: 0). If the normal power management method (CPU interrupt driven method) is selected when the timer times out, an SNMI is generated and the CPU software can turn off the pin. When the device is next accessed, the interface circuit 2
A 0 again generates an SNMI, causing the software to turn on the pin. The CPU of the index 41 is PWR_
Allow writing directly to the xxxport pins. 41
The bits written to (7: 4) act as a write mask for the corresponding bits of 41 (3: 0). "1" of 41 (7: 4) corresponds to 41 (3:
Enables writing to port pin 0).

41(3:0):読み出し/書き込みデータ、 PWR_LCDピンのためにビット0 PWR_DRVピンのためにビット1 PWR_KBDピンのためのビット2 PWR_GNRピンのためのビット3 インデックス42は4つのタイマのそれぞれに対してク
ロック・ソースを選択する SQW(3:0)から選ば
れたすべてのタイマクロックは: 42(7:6):pwr_gnrタイマ用のタイマ・ク
ロック・ソース 42(5:4):pwr_kbdタイマ用のタイマ・ク
ロック・ソース 42(3:2):pwr_drvタイマ用のタイマ・ク
ロック・ソース 42(1:0):pwr_lcdタイマ用のタイマ・ク
ロック・ソース インデックス43は、対応するタイマのタイムアウト時
に各ピンに書き込みすべきかどうかを表示する。はいビ
ット「1」は、ピンへのロービット書き込みを意味し、
ハイビット「0」はピンへのアクセス禁止を意味する。
41 (3: 0): read / write data, bit 0 for PWR_LCD pin bit 1 for PWR_DRV pin bit 2 for PWR_KBD pin bit 3 for PWR_GNR pin index 42 for each of the 4 timers All timer clocks selected from SQW (3: 0) are: 42 (7: 6): Timer clock source for pwr_gnr timer 42 (5: 4): For pwr_kbd timer Timer clock source 42 (3: 2): Timer clock source for pwr_drv timer 42 (1: 0): Timer clock source for pwr_lcd timer Index 43 is assigned to each pin when the corresponding timer times out. Display whether to write. Yes bit "1" means a low bit write to the pin,
The high bit “0” means that access to the pin is prohibited.

43(7:6):pwr_gnrピンへのタイムアウト
時自動書き込み 43(5:4):pwr_kbdピンへのタイムアウト
時自動書き込み 43(3:2):pwr_drvピンへのタイムアウト
時自動書き込み 43(1:0):pwr_lcdピンへのタイムアウト
時自動書き込み これらのタイマはインデックス・レジスタ44−47に
含まれる。
43 (7: 6): Automatic write to pwr_gnr pin upon timeout 43 (5: 4): Automatic write to pwr_kbd pin upon timeout 43 (3: 2): Automatic write to pwr_drv pin upon timeout 43 (1: 0) ): Auto write on pwr_lcd pin on timeout These timers are contained in index registers 44-47.

44(7:0):pwr_lcd用タイマ 45(7:0):pwr_drv用タイマ 46(7:0):pwr_kbd用タイマ 47(7:0):pwr_gnr用タイマ タイムアウトが使用可能とされたタイマのために生じた
とき、そのタイマのためのポート・ピンはインタフェー
ス回路20によってセットされる。従来の(CPU割り
込み駆動式)電力管理法の場合には、インタフェース回
路20はCPUに対するSNMIを発生する。(このシ
ーケンサ法では、CPUに割り込みすることなくコード
・シーケンスがPMUそれ自体によって処理される。)
サービス・ルーチンは、対応するPWR_XXXピンを
オフしたり、対応する周辺装置へコマンドを送って電力
節減モードを起動したりすることができる。その装置へ
次にI/Oがアクセスすると、インタフェース回路がそ
れを認識し、対応するATバス・サイクルを発生させる
ことなくREADYを戻す。代わりに、I/Oアドレ
ス、データ、m/io、r/wおよびバイト・イネーブ
ル情報がインデックス・レジスタ60−66hにラッチ
され、別のSNMIがCPU用に発生させられる。CP
Uサービス・ルーチンが、次に、適切なコマンドを発行
して、周辺装置電力をオフとし、その装置がいつ起動す
るようになっているかに応じて所定時間待機させ、イン
デックス・レジスタ60−66hからラッチされた情報
を読み出し、ラッチされた情報に基づいてI/Oバス・
サイクルを再実行させる。データの16ビットまたは3
2ビットをラッチするために、インタフェース回路20
はバス変換サイクルを実施する。これは、電力管理装置
にデータ・ビット7:0のみが与えられるからである。
インデックス・レジスタ48、49は、PWR_GNR
タイマおよびポート・ピンの動作を定める。PWR_G
NRピンは、所定期間プログラマブルI/Oスペースに
なんら活動がないときに状態を変えることになる。動作
は、PWR_XXXピンに類似したものであるが、モニ
タすることになっているプログラマブルI/Oスペース
を有する。インデックス・レジスタ48、49のフィー
ルドは次のように定義される。
44 (7: 0): timer for pwr_lcd 45 (7: 0): timer for pwr_drv 46 (7: 0): timer for pwr_kbd 47 (7: 0): timer for pwr_gnr Timeout is enabled , The port pin for that timer is set by the interface circuit 20. In the conventional (CPU interrupt driven) power management method, the interface circuit 20 generates an SNMI for the CPU. (In this sequencer method, code sequences are processed by the PMU itself without interrupting the CPU.)
The service routine can turn off the corresponding PWR_XXX pin or send a command to the corresponding peripheral to activate the power saving mode. The next time the I / O accesses the device, the interface circuit recognizes it and returns READY without causing the corresponding AT bus cycle. Instead, the I / O address, data, m / io, r / w and byte enable information are latched in index registers 60-66h and another SNMI is generated for the CPU. CP
The U service routine then issues the appropriate command to turn off the peripheral power and wait a predetermined amount of time depending on when the device is supposed to power up, and then from index registers 60-66h. Reads the latched information, and based on the latched information, I / O bus
Re-execute the cycle. 16 bits of data or 3
In order to latch 2 bits, the interface circuit 20
Performs a bus conversion cycle. This is because the power management device is provided with only data bits 7: 0.
Index registers 48, 49 are PWR_GNR
Defines timer and port pin behavior. PWR_G
The NR pin will change state when there is no activity in the programmable I / O space for a period of time. The operation is similar to the PWR_XXX pin, but with programmable I / O space that is to be monitored. The fields of index registers 48, 49 are defined as follows.

48(7:0)はモニタすべきI/Oベース・アドレス
A(8:1)を定める。A(O)がモニタされることは
ない。
48 (7: 0) defines the I / O base address A (8: 1) to be monitored. A (O) is never monitored.

49(7) I/Oベース・アドレスA(9) 49(6) ′1′はWRITEサイクルでの比較を可
能とし、′0′はWRITEサイクルでの比較を禁止す
る。
49 (7) I / O base address A (9) 49 (6) '1' enables comparison in WRITE cycle and '0' inhibits comparison in WRITE cycle.

49(5) ′1′はREADサイクルでの比較を可能
とし、′0′はREADサイクルでの比較を禁止する。
49 (5) '1' enables comparison in READ cycle and '0' prohibits comparison in READ cycle.

49(4:0) I/OアドレスA(5:1)マスク・
ビット。′1′のとき、48(4:0)の対応するビッ
トは比較されない。これはモニタすべきI/Oアドレス
・ブロック・サイズを定めるのに用いられる。
49 (4: 0) I / O address A (5: 1) mask
bit. When it is '1', 48 (4: 0) corresponding bits are not compared. This is used to define the I / O address block size to monitor.

さらに、インタフェース回路20は、周辺装置への電力
供給量を制御する8つの周辺装置電力(PPWR)ピン
を持つ。各ピンは、インデックス・レジスタ54または
55のレジスタ・ビットと組み合わせてある。これらの
レジスタの他のビットは、書き込みマスクとして次のよ
うに作用する。
In addition, the interface circuit 20 has eight peripheral device power (PPWR) pins that control the power supply to the peripheral device. Each pin is associated with a register bit in index register 54 or 55. The other bits in these registers act as write masks as follows.

54(7:4):PPWR(3:0)への書き込みのた
めの書き込みマスク。「1」は54(3:0)の対応す
るビットへの書き込みを可能とする。
54 (7: 4): write mask for writing to PPWR (3: 0). "1" enables writing to the corresponding bits of 54 (3: 0).

54(3:0):PPWR(3:0)に対する読み出し
/書き込みデータ・ビット。
54 (3: 0): Read / write data bits for PPWR (3: 0).

55(7:4):PPWR(7:4)への書き込み用の
書き込みマスク。「1」は55(3:0)の対応するビ
ットへの書き込みを可能とする。
55 (7: 4): Write mask for writing to PPWR (7: 4). "1" enables writing to the corresponding bits of 55 (3: 0).

55(3:0):PPWR(7:4)読み出し/書き込
みデータ・ビット。
55 (3: 0): PPWR (7: 4) read / write data bits.

種々の電力付加管理割り込みはインデックス・レジスタ
40のビットを通して制御される。
The various power add management interrupts are controlled through the bits of index register 40.

40(7) このビットは読み出し専用である。′1′
はアドレスXXXFFFF0からの最後の読み出しある
いは取り出しがSMIADS#サイクルであったことを
示し、′0′はそれが正規のADS#サイクルであった
ことを意味する。
40 (7) This bit is read only. '1'
Indicates that the last read or fetch from address XXXFFFF0 was an SMIADS # cycle, and '0' means it was a normal ADS # cycle.

40(6) 予約済み 40(5:4) low_batおよびllow_ba
t電力管理入力ピンのためのピン極性。′0′はハイア
クティブ、′1′はローアクティブ。
40 (6) Reserved 40 (5: 4) low_bat and low_ba
t Pin polarity for power management input pin. '0' is high active and '1' is low active.

40(3) このビットに′0′を書き込んで、SQW
INピンが8khzクロックであることを表示する。′
1′は32khzクロックを意味する。
40 (3) Write "0" to this bit to set SQW
Indicate that the IN pin is the 8khz clock. ′
1'means 32 khz clock.

40(2:0) NMI(2:0)入力のためのピン極
性。′0′はハイアクティブ、′1′はローアクティ
ブ。
40 (2: 0) NMI (2: 0) pin polarity for input. '0' is high active and '1' is low active.

以下により詳しく説明するように、PMU110におけ
る電力管理シーケンサで使用するためのシーケンス・コ
ードはROMまたはSYSRAMの1kバイト・セグメ
ントに格納されている。このコードについてのベース・
アドレスはチップ200の書き込み専用レジスタに格納
されている。シーケンス・ベース・アドレスのビットは
17:10のみが格納される。これは、1kバイト・セ
グメントを定めるのに必要にして充分だからである。
As described in more detail below, the sequence code for use with the power management sequencer in PMU 110 is stored in a 1 kbyte segment in ROM or SYSRAM. The base for this code
The address is stored in the write-only register of the chip 200. Only 17:10 is stored as the bits of the sequence base address. This is necessary and sufficient to define a 1 kbyte segment.

51(7:0):シーケンス・コード・ベース・アドレ
スA(17:10)。シーケンスは、ROMまたはバッ
テリ・バックアップSYSRAMのいずれかにおいてC
−Fブロックの任意の1Kバイト・セグメントにあり得
る。
51 (7: 0): Sequence code base address A (17:10). The sequence is C in either ROM or battery-backed SYSRAM.
It can be in any 1 Kbyte segment of the F block.

52(7) これはコードがROM、SYSRAMのど
ちらにあるかを示す。′1′はSYSRAMを示し、′
0′はROMを示す。
52 (7) This indicates whether the code is in ROM or SYSRAM. '1' indicates SYSRAM, and '
0'indicates ROM.

52(6) 予約済み 52(5) ′0′は、SYSRAMの32Kバイトま
でのうち下の1KがI/Oスペース・イメージ・バッフ
ァとして作用するのを可能とする。可能とされたとき、
I/Oアドレスへのすべての書き込みは、SYSRAM
の下1Kにある対応するロケーションに複写される。S
YSRAMは、次に、通常の要領で、メインメモリ・ア
ドレス・スペースに読み出され得る。これにより、シス
テムが周辺装置への電力を一時的にオフとして電力を節
約することができる。これは、たとえこの周辺装置が内
容を失うことになる読み出し不可のコマンドまたは構成
レジスタを持っていても可能である。この周辺装置への
電力供給の再開に際して、システム(電力管理シーケン
スの制御の下で作動するCPUまたはPMUのいずれ
か)は、単に、SYSRAMから失われた情報を読み出
し、それを装置に再書き込みするだけである。
52 (6) Reserved 52 (5) '0' allows the lower 1K of up to 32K bytes of SYSRAM to act as an I / O space image buffer. When possible,
All writes to I / O address are in SYSRAM
Is copied to the corresponding location at 1K below. S
The YSRAM can then be read into the main memory address space in the usual manner. This allows the system to temporarily turn off power to peripheral devices to save power. This is possible even if this peripheral has an unreadable command or configuration register that will lose its contents. Upon resumption of power to this peripheral, the system (either the CPU or PMU operating under control of the power management sequence) simply reads the lost information from SYSRAM and rewrites it to the device. Only.

52(4) ′0′SYSRAMが書き込み可。′1′
SYSRAMが書き込み不可。
52 (4) '0' SYSRAM is writable. '1'
SYSRAM is not writable.

52(3) 予約済み 52(2:0) SYSRAMベース・アドレス。SY
SRAMメモリは、以下に示すように、レジスタ選択下
のC0XXX−FFXXXに置かれた32Kバイトまで
にある。
52 (3) Reserved 52 (2: 0) SYSRAM base address. SY
The SRAM memory is up to 32K bytes located in C0XXX-FFXXX under register selection, as shown below.

000 C0XXX 001 C8XXX 010 D0XXX 011 D8XXX 100 E0XXX 101 E8XXX 110 F0XXX 111 F8XXX 2つの汎用プログラマブルI/Oチップ−セレクトCS
G(1:0)#出力ピンは、次のように、レジスタ4A
−4Dhによって制御される。
000 C0XXX 001 C8XXX 010 D0XXX 011 D8XXX 100 E0XXX 101 E8XXX 110 F0XXX 111 F8XXX Two general-purpose programmable I / O chip-select CS
The G (1: 0) # output pins are registered in register 4A as follows.
Controlled by -4Dh.

4A(7:0) CSG0のためにベースI/Oアドレ
スを定める。アドレス・ビット0がテストされていない
ので、アドレス・ビット8:1を含む。
4A (7: 0) Define base I / O address for CSG0. Since address bit 0 has not been tested, it contains address bits 8: 1.

4B(7) CSG0ベース・アドレスA(9)。4B (7) CSG0 base address A (9).

4B(6) ′1′はWRITEアクセスでの比較を可
能とする。′0′はWRITEアクセスでの比較を禁止
する。
4B (6) '1' enables comparison in WRITE access. '0' prohibits comparison by WRITE access.

4B(5) ′1′はREADアクセスでの比較を可能
とする。′0′はREADアクセスでの比較を禁止す
る。
4B (5) '1' enables comparison by READ access. '0' prohibits comparison by READ access.

4B(4) CSG0#出力のためのタイミングを特定
する。′0′はALE前にアクティブ。′1′はI/O
コマンド・パルスとそっくりにアクティブとなる。
4B (4) Specifies timing for CSG0 # output. '0' is active before ALE. '1' is I / O
It becomes active just like a command pulse.

4B(3:0) A(4:1)のCSGOI/Oアドレ
ス比較のためのマスク・ビット。「1」のとき、4A
(4:0)の対応するビットは比較されない。これは、
I/Oアドレス・ブロック・サイズを定めるのに用いら
れる。
4B (3: 0) A (4: 1) CSGO I / O address compare mask bits. When "1", 4A
Corresponding bits of (4: 0) are not compared. this is,
Used to define I / O address block size.

4C − 4Aと同じ。Same as 4C-4A.

4D − 4Bと同じ。Same as 4D-4B.

メモリ・カード・チップ・セレクトCSM(1:0)#
はインデックス・レジスタ53によって制御される。R
G(1:0)ビットが′1 0′または′10′である
とき、メモリのA、B、C、Dのブロックへのアクセス
はCSML#(ローバイト)またはCSMH#(ハイバ
イト)を付勢することになる。また、正規のATバス・
コマンドMRD#、MWR#が発生することはない。チ
ップ100が、代わりに、MCDRD#とMCDWR#
を発生する。
Memory Card Chip Select CSM (1: 0) #
Are controlled by the index register 53. R
When the G (1: 0) bit is '10' or '10', access to blocks A, B, C, D of memory is marked with CSML # (low byte) or CSMH # (high byte). Will be energized. Also, a regular AT bus
The commands MRD # and MWR # are never generated. Chip 100 is replaced by MCDRD # and MCDWR #
To occur.

53(5:0) メモリ・カード・ブロック・アドレ
ス。各ブロックは256Kバイトである。
53 (5: 0) Memory card block address. Each block is 256 Kbytes.

インタフェース回路20は、4つの双方向並列ポート.
ピンPIO(3:0)を包含する。これらのポート・ピ
ンには、電力管理シーケンス・コードによるか、あるい
は、レジスタ56−57を経てCPUによって書き込み
を行える。
The interface circuit 20 includes four bidirectional parallel ports.
Includes pins PIO (3: 0). These port pins can be written by the power management sequence code or by the CPU via registers 56-57.

56(7:4) PIO(3:0)のための書き込みマ
スク。′1′は56(3:0)からの対応するビットの
ポートへの書き込みを意味し、′0′は書き込み禁止を
意味する。
56 (7: 4) Write mask for PIO (3: 0). "1" means writing of the corresponding bits from 56 (3: 0) to the port, and "0" means writing prohibition.

56(3:0) 読み出し/書き込みデータ。読み出し
時、インタフェース回路20はピンからのデータをラッ
チする。書き込み時、対応する方向のビットが「1」
(出力)にセットされている場合には、サイクルの終わ
りにデータがピン上に現れる。
56 (3: 0) Read / write data. When reading, the interface circuit 20 latches the data from the pin. When writing, the bit in the corresponding direction is "1"
If set to (output), data will appear on the pin at the end of the cycle.

57(7):′1′はリフレッシュ可能とする。′0′
はリフレッシュ不可とする。
57 (7): '1' can be refreshed. '0'
Cannot be refreshed.

57(6:4):予約済み 57(3:0):PIO(3:0)のピン方向。′1′
は出力を意味し、′0′は入力を意味する。
57 (6: 4): Reserved 57 (3: 0): PIO (3: 0) pin direction. '1'
Means output and '0' means input.

PMU110へのRI入力ピンは、モデム・リング起動
機能を可能とする。RIピン変化がカウンタにプログラ
ムした数よりも長い時間続くと、PMIがスリープまた
は中断モードから起動させるように発生させられる。R
I入力は、次のように、インデックス・レジスタ5Fに
よって制御される。
The RI input pin to PMU 110 enables the modem ring activation function. If the RI pin change lasts longer than the number programmed in the counter, the PMI is triggered to wake up from sleep or suspend mode. R
The I input is controlled by the index register 5F as follows.

5F(7) ピンから直接の読み出し専用ビット。AC
電力がオンであるかどうかを示す(HIGHによって表
示)。
Read-only bit directly from the 5F (7) pin. AC
Indicates whether power is on (displayed by HIGH).

5F(6) 「1」イネーブル。ACPWRがHigh
のとき、チップ100は中断PMIを発生せず、ACP
WRがLowのとき、チップ100は電力節減モード移
行中断を発生することができる。「0」はディスエーブ
ルであり、常に、電力節減モードへの移行を可能とす
る。
5F (6) Enable "1". ACPWR is High
, The chip 100 does not generate the interrupted PMI and the ACP
When WR is low, the chip 100 can generate a power saving mode transition interruption. "0" is disabled and always allows a transition to power saving mode.

5F(5) 「1」イネーブルであり、INTRピンが
Highになり、チップ100が中断モードにある場合
にはレジュームを開始する。「0」はINTRが中断状
況に影響するのを防ぐ。
5F (5) "1" enabled, INTR pin goes high and resumes if chip 100 is in suspend mode. A "0" prevents INTR from affecting the break situation.

5F(4) 「1」PIカウンタ・カウントアウトがレ
ジュームを発生することになる。「0」RIカウンタが
中断状況に影響することがない。
5F (4) "1" PI counter countout will cause resume. The "0" RI counter does not affect the suspend situation.

5F(3:0)RIカウント数 チップ100は、また、Idleタイマも包含し、これ
は、システム全体が所定の時間にわたってアイドル状態
にあった場合にそれを決定するのに用いることができ
る。このタイマがタイムアウトすると、PMIが発生す
る。IdleタイマはPWR_XXXタイマに組み合わ
せてあり、各組み合わせが個々にオン/オフされる。I
dleタイマはインデックス・レジスタ4E−4Fによ
って制御される。
5F (3: 0) RI Count Number Chip 100 also includes an idle timer, which can be used to determine if the entire system has been idle for a predetermined amount of time. When this timer times out, a PMI occurs. The idle timer is combined with the PWR_XXX timer, and each combination is turned on / off individually. I
The dle timer is controlled by index registers 4E-4F.

4E(7:6) タイマ・クロック・ソース。SQW
(3:0)から選ばれる。
4E (7: 6) Timer clock source. SQW
It is selected from (3: 0).

4E(5:4) 1ptポートおよびcomポートをモ
ニタすべきかどうかを示す。「1」は1pt/comへ
のアクセスがアイドルタイマを再始動することを意昧し
ており、「0」はアクセスがアイドルタイマに影響する
ことがないことを意味する。1ptアドレスは378−
37Fまたは278−27Fである。comアドレスは
3F8−3FFまたは2F8−2FFである。
4E (5: 4) Indicates whether 1pt and com ports should be monitored. A "1" means that an access to 1 pt / com will restart the idle timer, and a "0" means that the access will not affect the idle timer. 1pt address is 378-
37F or 278-27F. The com address is 3F8-3FF or 2F8-2FF.

4E(3:0):pwr_xxxポートをモニタすべき
かどうかを示す。′1′はそのポートへのアクセスがI
dleタイマを再始動することを意味し、′0′はId
leタイマにアクセスが影響することがないことを意味
する。
4E (3: 0): Indicates whether the pwr_xxx port should be monitored. '1' means that access to the port is I
It means restart the dle timer, and '0' means Id
This means that access does not affect the le timer.

4F(7:0):Idleタイマ 後に明らかになるように、CPUは、シーケンス・コー
ドの任意の16バイト・コード・ブロックで電力管理シ
ーケンスを開始し得る。これは、そのブロック・アドレ
スを次のようにインデックス・レジスタ5Eに書き込む
ことによって行われる。
4F (7: 0): Idle Timer As will become apparent, the CPU may initiate a power management sequence with any 16 byte code block of sequence code. This is done by writing the block address to index register 5E as follows.

5E(7) このビットは書き込み専用であり、′1′
を書き込んだとき、シーケンスが始動する。
5E (7) This bit is write-only and is '1'
When is written, the sequence starts.

5E(6) 予約済み 5E(5:0) 64個のコード・ブロックの1つを指
定するスタート・アドレスA(9:4)。各ブロックが
16バイト長であるため、A(3:0)は常に0で始動
する。
5E (6) Reserved 5E (5: 0) Start address A (9: 4) that specifies one of 64 code blocks. Since each block is 16 bytes long, A (3: 0) always starts at 0.

レジスタ50は種々の機能を有する。The register 50 has various functions.

50(7:6) チップ200改訂番号 50(5) IRQ8のための極性選択。′0′はロー
アクティブ、′1′はハイアクティブ。
50 (7: 6) Chip 200 revision number 50 (5) Polarity selection for IRQ8. '0' is low active and '1' is high active.

50(4:3) 14.3MHzクロックをオン、オフ
する。電力節減のためにはこのクロックをオフとする。
このクロックがオンのとき、ビット4が′1′にまずセ
ットされなければならない。このクロックをオフとした
とき、次のサイクルでビット3を′0′にセットし、ビ
ット4を′0′にセットする。
50 (4: 3) Turns on and off the 14.3 MHz clock. This clock is turned off to save power.
When this clock is on, bit 4 must first be set to '1'. When this clock is turned off, bit 3 is set to "0" and bit 4 is set to "0" in the next cycle.

50(2) レジューム準備完了(RTR)ビット。こ
のビットは、レジュームの冒頭で′1′に自動的にセッ
トされ、レジューム・プログラムを実施する準備が完了
したことを示す。
50 (2) Resume Ready (RTR) bit. This bit is automatically set to '1' at the beginning of resume, indicating that the resume program is ready to execute.

50(1) PMUモード。この読み出し専用ビット
は、中断モードで、′0′であるならば、′1′を含
む。
50 (1) PMU mode. This read-only bit contains '1' if it is '0' in suspend mode.

50(0) このビットの′1′への書き込みで、中断
モードが始動。′0′の書き込みではなにも起こらな
い。常に′0′を読み出す。
50 (0) Interrupt mode is started by writing this bit to '1'. Nothing happens with writing '0'. Always read '0'.

上述したように、インデックス・レジスタ60−66h
は、電力節減モードにある周辺装置にアクセスしようと
する試みがあるときに最終I/Oアクセスからの情報を
ラッチする。この情報は次のように含まれる。
As mentioned above, index registers 60-66h
Latches information from the last I / O access when there is an attempt to access the peripheral in power save mode. This information is included as follows:

60(7:0) ラッチドD(7:0) 61(7:0) ラッチドD(15:8) 62(7:0) ラッチドD(23:16) 63(7:0) ラッチドD(31:24) 64(7:2) ラッチドA(7:2) 64(1) ラッチドMIO 64(0) ラッチドwr/rd 65(7:0) ラッチドA(15:8) 66(7:4) ラッチドA(19:16) 66(3:0) ラッチドBE(3:0) インデックス・レジスタ67hは或る種のシステム条件
を記述する。
60 (7: 0) Latched D (7: 0) 61 (7: 0) Latched D (15: 8) 62 (7: 0) Latched D (23:16) 63 (7: 0) Latched D (31: 24) 64 (7: 2) Latched A (7: 2) 64 (1) Latched MIO 64 (0) Latched wr / rd 65 (7: 0) Latched A (15: 8) 66 (7: 4) Latched A (19:16) 66 (3: 0) Latched BE (3: 0) The index register 67h describes some system condition.

67(7) ′0′は静特性CPUであることを意味す
る。中断モードで、チップ100はCPUクロックを停
止させる。′1′は、それが動特性CPUであることを
意味する。中断モードにおいては、CPUクロックは1
0によって割られる。
67 (7) '0' means a static characteristic CPU. In suspend mode, chip 100 stops the CPU clock. '1' means it is a dynamic CPU. In suspend mode, CPU clock is 1
Divided by zero.

67(6) ′1′すロー・リフレッシュ、′0′正規
リフレッシュ。
67 (6) "1" row refresh, "0" regular refresh.

67(5:2) 予約済み 67(1:0) CPUクロック周波数セレクト 0 0 /1 0 1 ATバス・クロック 1 0 /10 1 1 ストップ 後に明らかにするように、PMU110は、16個の電
力管理割り込み(PMI)ソースを収容している。これ
らのソースは以下のように定義される。ここで、NMI
OがCPUへのNMIしか発生させることができず、シ
ーケンスを開始させることができないことに注意された
い。
67 (5: 2) Reserved 67 (1: 0) CPU Clock Frequency Select 0 0/1 0 1 AT Bus Clock 1 0/10 1 1 Stop As revealed after, PMU 110 has 16 power managements. It contains an interrupt (PMI) source. These sources are defined as follows. Where NMI
Note that O can only generate NMI to the CPU and cannot start the sequence.

#0 − LLOW_BATピン #1 − NMI1ピン #2 − NMI2ピン #3 − LOW_BATピン #4 − IDLE_TMRタイムアウト #5 − RIピンまたはINTRピン。INTRピ
ンは中断モードでのみ使用可とされる。その場合、IN
TRピンは、レジューム・アクションを開始するRIピ
ンと同じである。
# 0-LLOW_BAT pin # 1-NMI1 pin # 2-NMI2 pin # 3-LOW_BAT pin # 4-IDLE_TMR timeout # 5-RI or INTR pin. The INTR pin is only enabled in suspend mode. In that case, IN
The TR pin is the same as the RI pin that initiates the resume action.

#6 − RESUME #7 − SUSPEND #8 − LCD_TIMER #9 − DSK_TIMER #10 − KBD_TIMER #11 − GNR_TIMER #12 − LCD_ACCESS #13 − DSK_ACCESS #14 − KBD_ACCSESS #15 − GNR_ACCESS 電力管理割り込みは、インデックス・レジスタ58−5
Bhを経て構成される。
# 6-RESUME # 7-SUSPEND # 8-LCD_TIMER # 9-DSK_TIMER # 10-KBD_TIMER # 11-GNR_TIMER # 12-LCD_ACCESS # 13-DSK_ACCESS_15-ESK_CS-CSK_AC-CS ## 5
It is configured through Bh.

58(7:6) PMIソース#3(LOW_TATピ
ン)のための構成 0 0 このPMIを使用禁止とする。
58 (7: 6) Configuration for PMI Source # 3 (LOW_TAT Pin) 0 0 Disable this PMI.

0 1 このPMIが付勢されるとシーケンスを開始
する。
0 1 Starts the sequence when this PMI is activated.

1 0 このPMIが付勢されるとSMIピンを付勢
する。
1 0 Activates the SMI pin when this PMI is activated.

1 1 SMIにマスクをかける。1 1 Mask the SMI.

58(5:4) PMIソース#2のための構成 58(3:2) PMIソース#1のための構成 58(1:0) PMIソース#0のための構成 59(7:6) 未使用(中断およびレジュームを同じ
方法で構成しなければならないため) 59(5:4) PMIソース#6のための構成 59(3:2) PMIソース#5のための構成 59(1:0) PMIソース#4のための構成 5A(7:6) PMIソース#11のための構成 5A(5:4) PMIソース#10のための構成 5A(3:2) PMIソース#9のための構成 5A(1:0) PMIソース#8のための構成 5B(3) PMIソース#5を使用可とし、その
とき、ソース#11の構成をたどる。
58 (5: 4) Configuration for PMI Source # 2 58 (3: 2) Configuration for PMI Source # 1 58 (1: 0) Configuration for PMI Source # 0 59 (7: 6) Unused 59 (5: 4) Configuration for PMI Source # 6 59 (3: 2) Configuration for PMI Source # 5 59 (1: 0) PMI (Because Suspend and Resume must be configured the same way) Configuration for Source # 4 5A (7: 6) Configuration for PMI Source # 11 5A (5: 4) Configuration for PMI Source # 10 5A (3: 2) Configuration for PMI Source # 9 5A (1: 0) Configuration for PMI Source # 8 5B (3) PMI Source # 5 is enabled and then the configuration of Source # 11 is followed.

5B(2) PMIソース#14を使用可とし、そ
のとき、ソース#10の構成をたどる。
5B (2) Enable PMI source # 14, then follow configuration of source # 10.

5B(1) PMIソース#13を使用可とし、そ
のとき、ソース#9の構成をたどる。
5B (1) PMI source # 13 is enabled, at which time the configuration of source # 9 is followed.

5B(0) PMIソース#12を使用可とし、そ
のとき、ソース#8の構成をたどる。
5B (0) PMI source # 12 is enabled, at which time the source # 8 configuration is followed.

5B(4) 予約済み 5B(5) ′1′はシーケンスを使用可とし、′
0′はすべてのシーケンスを使用不可とする。
5B (4) Reserved 5B (5) '1' enables sequences and '
0'disables all sequences.

5B(6) ′1′すべてのSMIにマスクをかけ
る。′0′はSMIを使用可とする。
5B (6) '1' Mask all SMIs. '0' enables SMI.

5B(7) ′1′SNMIピンがCPU NMI
に移行。′0′SNMIピンがCPU SMIに移行。
5B (7) '1' SNMI pin is CPU NMI
Moved to. '0' SNMI pin is changed to CPU SMI.

インデックス・レジスタ5C、5Dは、PMIのどれが
CPUに割り込みをされたかを示す。NMI/SMIサ
ービス・ルーチンはこれらのレジスタを読み出してどの
電力管理割り込みハンドラへ行くべきかを決定する。こ
れらのビットの1つに′1′を書き込むと、アクティブ
であったならば、クリヤされる。′0′の書き込みでは
なんの影響もない。これらのビットのうちの1つだけが
一度にクリヤされ得る。PMU110(図2)におい
て、EPROMまたはSYSRAMからの電力管理シー
ケンスを実行するのに用いられる内部データ、制御経路
を、以下に、図4を参照しながら説明する。この図は、
本発明をより良く理解して貰うために簡略化してある。
PMU110は、以下により詳しく説明するように、1
6バイト・シーケンス・コード・コマンド群のうちの種
々のバイトを受け取り、ラッチするようになっている複
数の8ビット・レジスタを包含する。特に、チップ10
0のSD(7:0)ピンから由来するSDIN(7:
0)データ・バスは、オペランド(OP)レジスタ31
0、セレクト(SEL)レジスタ312、第1I/Oコ
マンド・レジスタ314、第2I/Oコマンド・レジス
タ316、I/Oコマンド・データ・レジスタ318、
タイマ・レジスタ320、インデックス・レジスタ32
2、3つの4ビット・ポート・レジスタ324、32
6、328ならびに図示しない他のレジスタの入力部に
接続してある。ポート.レジスタ324、326、32
8のそれぞれの4つのビットは、以下に述べる理由のた
めに、個々に計時され、それぞれがデータとしてSDI
N(6、4、2、0)のみを受け取る。後に明らかにす
るように、5バイト・シーケンサ・コード・コマンドの
第2、第3、第4のバイトからのデータが、選択的に、
I/Oコマンド、データ・レジスタ314、316、3
18に、あるいは、ポート・レジスタ324、326、
328へ書き込まれる。OPレジスタ310の下位6ビ
ット(OP(5:0)で示す)は、3入力6ビット・ワ
イド・マルチプレクサ330の第1入力ポートに接続し
ている。マルチプレクサ330の第2入力ポートは、5
E(5:0)で示すインデックス・レジスタ5Ehの6
つの下位出力ビットを受け取るように接続してある。マ
ルチプレクサ330の第3入力ポートのビット3:0
は、16ライン対4ライン割り込みエンコーダ332の
出力を受け取るように接続してある。マルチプレクサ3
30の第3入力ポートの上位2ビットは、ロジック・ゼ
ロに接続している。マルチプレクサ330の6ビット出
力は4ビット・カウンタ331の4ビット出力上方の上
位ビットと連結し、10ビットの結果が10ビット・ワ
イド2入力マルチプレクサ333の第1入力ポートに送
られる。同様に、第1I/Oコマンド・レジスタ314
の下位2ビットは第2のI/Oコマンド・レジスタ31
6の8出力ビット上方の高位ビットと接続され、10ビ
ット結果はマルチプレクサ333の第2入力ポートに送
られる。マルチプレクサ333の10ビット出力は、シ
ーケンス・ベース・アドレス・レジスタ335(インデ
ックス・レジスタ51hである)の8ビット下方の下位
ビットに送られる。18ビット結果は、I/Oバス22
のSAラインに加えるためのSAOUT(17:0)シ
ーケンス・アドレスを構成する。エンコーダ322は、
16個の利用できるPMU割り込みソースからPMI
(15:0)を受け取るように接続した16本の入力ラ
インを有する。これらの割り込みソースは、2つの低バ
ッテリ・ピン(LLOW_BAT、LOW_BAT)
と、2つのNMIピン(NMI1、NMI2)[NMI
0はCPUへのNMI出力を生じさせることはできる
が、PMUシーケンスを始動させることはできない]
と、アイドル・タイマ・タイムアウト(IDEL_TM
R)と、モデム・リング入力部(RIピン)[これも、
システムが中断モードにあるときにはINTRピン上の
信号によって付勢される]と、SUSPEND、REJ
UME入力部(共にキーボード中断/レジューム・スイ
ッチから由来する)と、4つの装置特定タイマからのタ
イムアウト・インジケータ(LCD_TIMER、DS
K_TIMER、KBD_TIMERおよびGNR_T
IMER)と、同じ4つの装置へのアクセス・リクエス
とを示すそれぞれ4つの信号(LCD_ACCESS、
DSK_ACCESS、KBD_ACCESS、GNR
_ACCESS)とを含む。I/Oコマンド・データ・
レジスタ318の8ビット出力部は、8進2入力AND
ゲート334の8つの反転入力部に接続され、他の8つ
の入力部は、非反転であり、SDIN(7:0)に接続
されている。8つのANDゲート334の出力部は4デ
ィープ一時レジスタ・ファイル336のデータ入力部に
接続されている。この一時レジスタ・ファイル336の
2ビット・セレクト入力部は、第1のI/Oコマンド・
レジスタ314のTMPSELフィールド(ビット3:
2)を受け取るように接続されている。一時レジスタ・
ファイル336の8つの出力部は、8つのORゲート3
38のそれぞれの1入力部に接続されており、他の入力
部は、I/Oコマンド・データ・レジスタ318の出力
を受けるように接続してある。ORゲート338の8つ
の出力部は、2入力8ビット・ワイド・マルチプレクサ
340の1つの入力ポートに接続されている。マルチプ
レクサ340の第2入力ポートは、8つのI/Oコマン
ド・データ・レジスタ318出力ビットを受け取るよう
に接続してある。上述したSAOUT(17:0)信号
は、順次に、他のソースと多重化され(図示しない手段
によって)、出力としてチップ100のSA(17:
0)ピンに与えられる。同様に、マルチプレクサ340
の出力SDOUT(7:0)は、さらに、(図示しない
手段によって)他のソースと多重化され、出力としてチ
ップ100のSD(7:0)ピンへ与えられる。オペラ
ンド・レジスタ310およびSELレジスタ312のた
めのクロック入力部は、それぞれ、ラッチ0信号、ラッ
チ1信号を受け取る。これらのラッチ0、ラッチ1の信
号は、PMU110の制御ユニット350によって発生
させられ、EPROMまたはSYSRAMから取り出さ
れつつあるPMUシーケンスの或るバイトでラッチを行
う(シーケンス・ベース・アドレス・レジスタ・インデ
ックス51hに依存)。制御ユニット350は、また、
ラッチ2、ラッチ3、ラッチ4、ラッチ5の信号も発生
する。ラッチ2信号は、ANDゲート352の1つの入
力部に送られ、その出力は、第1I/Oコマンド・レジ
スタ314のクロック入力部に送られる。ラッチ3信号
は、ANDゲート354の1つの入力部に送られ、その
出力は第2I/Oコマンド・レジスタ316のクロック
入力部に送られる。ラッチ4信号はANDゲート354
の1つの入力部に送られ、その出力はI/Oコマンド・
データ・レジスタ318のクロック入力部に送られる。
ANDゲート352、354、356のそれぞれの第2
入力部はすべて相互に接続され ある。ラッチ2信号は、また、全体的に362で示す4
つのANDゲートのそれぞれの1入力部に送られ、その
出力は、ポート・レジスタ324の個々に計時されるビ
ットのそれぞれのクロック入力部に送られる。同様に、
ラッチ3信号は全体的に364で示される4つのAND
ゲートのそれぞれに送られ、その出力はポート・レジス
タ326の個々に計時されるビットのそれぞれのクロッ
ク入力部に送られる。ラッチ4信号は、全体的に366
で示される4つのANDゲートのそれぞれに送られ、そ
の出力はポート・レジスタ328の個々に計時されるビ
ットのそれぞれのクロック入力部に送られる。4つのA
NDゲート362のそれぞれの第2入力部はデータライ
ンSD(7、5、3、1)のそれぞれを受け取るように
接続してある。したがって、SD(6、4、2、0)
の、SD(7、5、3、1)の対応するビットがハイで
あるもののみが、ラッチ2にパルスが現れたときに、P
PWR(3:0)に計時されることになる。同様に、4
つのANDゲート364のそれぞれの第2入力部は、デ
ータラインSD(7、5、3、1)のそれぞれを受け取
るように接続してあり、4つのANDゲート366のそ
れぞれへの第2入力部は、データラインSD(7、5、
3、1)のそれぞれを受け取るように接続してある。A
NDゲート362、364、366のそれぞれの第3入
力部は、すべて、相互に接続してあり、また、インバ 信号を受け取る。制御ユニット350で発生したラッチ
5信号は、タイマ・レジスタ320のクロック入力部に
送られる。制御ユニット350は、また、カウンタ33
1を制御する信号と、PMU110を制御するための種
々の信号(図示せず)を発生する。Wdekode5E
信号が、図示しない手段によって他のどこかで発生させ
られる。インデックス・レジスタ5Ehは、任意所望の
シーケンス・コード・ブロックの冒頭で1つのシーケン
スをCPU10に開始させる機構となる。図4のPMU
の動作を説明する前に、PMUシーケンス・コード・フ
ォーマットを理解すると役に立とう。シーケンサ法を用
いて電力管理ユニットを作動させるためのシーケンス・
コードは、EPROM48またはSYSRAM50のい
ずれかで1Kバイト・ブロックを構成する(図1)。こ
の1Kバイト・ブロックのためのベース・アドレスは、
初期化中、インタフェース回路20のシーケンサ・ベー
ス・アドレス・レジスタ(インデックス51h)にセッ
トされる。特に、このレジスタは、チップ200に設け
てあり(図3)、ピンSA(17:10)を経てSAに
出力し、また、チップ100のピンSA(9:0)を経
てSAバスに出力されるシーケンサ・アドレスSAOU
T(9:0)の下位10ビットと連結される。図5は、
シーケンス・コードの1Kバイトのフォーマットのブレ
ークダウンを示している。図5に示すバイト・アドレス
はシーケンサ・ベース・アドレスに対するものである。
シーケンス・コードの1Kバイト領域は、64個の16
バイト・シーケンス・コード・ブロックに分割されてい
る。これらのブロックのうち最初の16個(アドレス0
0−F0で始まる)は、16の利用可能な電力管理割り
込みのためのエントリ・ポイントを構成する。各電力管
理割り込みは、最初の16個のシーケンス・クロックの
それぞれに行われる。明らかなように、1つの電力管理
割り込みを処理するのに17バイト以上が必要である場
合、シーケンスはブロック10−3Fのうちの任意のブ
ロックで継続し得る。図5に示すように、1つのシーケ
ンス・ブロックは、1つのオプコードと、それに続く3
つの5バイト・コマンドとからなる。5バイト・コマン
ドの各々は、セレクト・バイトS、3つのコマンドまた
はポート・バイトC/Pと、タイマ・バイトTとを包
含する。シーケンス・ブロック内の種々のバイトの解釈
を、以下の表IIIに概略的に示す。
The index registers 5C, 5D indicate which of the PMIs was interrupted by the CPU. The NMI / SMI service routine reads these registers to determine which power management interrupt handler to go to. Writing a '1' to one of these bits will clear it if it was active. Writing '0' has no effect. Only one of these bits can be cleared at a time. Internal data and control paths used to execute the power management sequence from the EPROM or SYSRAM in the PMU 110 (FIG. 2) are described below with reference to FIG. This figure is
It has been simplified for better understanding of the invention.
The PMU 110, as described in more detail below,
It includes a plurality of 8-bit registers adapted to receive and latch various bytes of a 6-byte sequence code command group. In particular, the chip 10
0 SD (7: 0) pin derived SDIN (7:
0) The data bus is the operand (OP) register 31
0, select (SEL) register 312, first I / O command register 314, second I / O command register 316, I / O command data register 318,
Timer register 320, index register 32
A few, three 4-bit port registers 324, 32
6, 328 and other registers (not shown). port. Registers 324, 326, 32
Each of the 4 bits of 8 is clocked individually for each of the reasons described below, each of which is the SDI as data.
Receive only N (6, 4, 2, 0). As will be seen later, the data from the second, third and fourth bytes of the 5 byte sequencer code command is selectively
I / O command, data registers 314, 316, 3
18 or port registers 324, 326,
Is written to 328. The lower 6 bits (indicated by OP (5: 0)) of the OP register 310 are connected to the first input port of the 3-input 6-bit wide multiplexer 330. The second input port of the multiplexer 330 is 5
6 of index register 5Eh indicated by E (5: 0)
Connected to receive the two lower output bits. Bits 3: 0 of the third input port of the multiplexer 330
Are connected to receive the output of the 16-line to 4-line interrupt encoder 332. Multiplexer 3
The upper two bits of the third input port of 30 are connected to logic zero. The 6-bit output of multiplexer 330 is concatenated with the upper bits above the 4-bit output of 4-bit counter 331 and the 10-bit result is sent to the first input port of 10-bit wide 2-input multiplexer 333. Similarly, the first I / O command register 314
Lower two bits of the second I / O command register 31
Connected to the high order bits above 6 output bits of 6, the 10 bit result is sent to the second input port of multiplexer 333. The 10-bit output of multiplexer 333 is sent to the lower bits 8 bits below the sequence base address register 335 (which is the index register 51h). 18-bit result is I / O bus 22
Configure the SAOUT (17: 0) sequence address to be added to the SA line. The encoder 322 is
PMI from 16 available PMU interrupt sources
It has 16 input lines connected to receive (15: 0). These interrupt sources are two low battery pins (LLOW_BAT, LOW_BAT).
And two NMI pins (NMI1, NMI2) [NMI
0 can cause an NMI output to the CPU, but cannot initiate a PMU sequence]
And idle timer timeout (IDEL_TM
R) and the modem ring input (RI pin) [also this
Energized by a signal on the INTR pin when the system is in suspend mode], SUSPEND, REJ
UME inputs (both from keyboard suspend / resume switch) and timeout indicators (LCD_TIMER, DS) from four device specific timers
K_TIMER, KBD_TIMER and GNR_T
IMER) and four signals (LCD_ACCESS, each indicating access request to the same four devices).
DSK_ACCESS, KBD_ACCESS, GNR
_ACCESS). I / O command data
The 8-bit output part of the register 318 is an octal 2-input AND
Connected to the eight inverting inputs of gate 334, the other eight inputs are non-inverting and connected to SDIN (7: 0). The outputs of the eight AND gates 334 are connected to the data inputs of the 4 deep temporary register file 336. The 2-bit select input portion of this temporary register file 336 is the first I / O command
TMPSEL field of register 314 (bit 3:
2) is connected to receive. Temporary register
The eight outputs of the file 336 are the eight OR gates 3.
One input of each of the 38 is connected to the other input, and the other input is connected to receive the output of the I / O command data register 318. The eight outputs of the OR gate 338 are connected to one input port of the 2-input 8-bit wide multiplexer 340. The second input port of multiplexer 340 is connected to receive eight I / O command data register 318 output bits. The SAOUT (17: 0) signals described above are sequentially multiplexed with other sources (by means not shown) and SA (17 :) of the chip 100 as an output.
0) given to pin. Similarly, multiplexer 340
Output SDOUT (7: 0) of is further multiplexed with other sources (by means not shown) and provided as output to the SD (7: 0) pins of chip 100. The clock inputs for operand register 310 and SEL register 312 receive the Latch0 and Latch1 signals, respectively. These Latch 0, Latch 1 signals are generated by the control unit 350 of the PMU 110 and latch on a byte of the PMU sequence being retrieved from the EPROM or SYSRAM (sequence base address register index 51h). dependent upon). The control unit 350 also
Latch 2, latch 3, latch 4, and latch 5 signals are also generated. The Latch 2 signal is sent to one input of AND gate 352 and its output is sent to the clock input of the first I / O command register 314. The latch 3 signal is sent to one input of AND gate 354 and its output is sent to the clock input of the second I / O command register 316. Latch 4 signal is AND gate 354
Of the I / O command.
It is sent to the clock input of the data register 318.
The second of each of the AND gates 352, 354, 356
All inputs are connected to each other is there. The Latch 2 signal is also indicated generally at 362 by 4
It is sent to one input of each of the AND gates and its output is sent to the respective clock input of the individually clocked bits of the port register 324. Similarly,
Latch 3 signal is four AND's, generally indicated at 364.
Sent to each of the gates, the output of which is sent to the respective clock input of the individually clocked bits of the port register 326. Latch 4 signal is generally 366
To each of the four AND gates, indicated by, and their outputs are sent to the respective clock inputs of the individually clocked bits of port register 328. Four A
The second input of each of the ND gates 362 is connected to receive each of the data lines SD (7, 5, 3, 1). Therefore, SD (6, 4, 2, 0)
, The corresponding bit of SD (7,5,3,1) is high only when P
It will be timed to PWR (3: 0). Similarly, 4
The second input of each of the four AND gates 364 is connected to receive each of the data lines SD (7, 5, 3, 1), and the second input of each of the four AND gates 366 is , Data lines SD (7, 5,
It is connected so as to receive each of 3, 1). A
The third inputs of each of the ND gates 362, 364, 366 are all connected together and are Receive the signal. The Latch5 signal generated by control unit 350 is sent to the clock input of timer register 320. The control unit 350 also includes a counter 33
1 and various signals (not shown) for controlling the PMU 110. Wdekode 5E
The signal is generated elsewhere by means not shown. The index register 5Eh serves as a mechanism for causing the CPU 10 to start one sequence at the beginning of any desired sequence code block. PMU in Figure 4
Before explaining the operation of the above, it is helpful to understand the PMU sequence code format. Sequence for operating the power management unit using the sequencer method
The code makes up a 1 Kbyte block in either EPROM 48 or SYSRAM 50 (FIG. 1). The base address for this 1 Kbyte block is
During initialization, it is set in the sequencer base address register (index 51h) of the interface circuit 20. In particular, this register is provided in the chip 200 (FIG. 3) and outputs to SA via pins SA (17:10) and to the SA bus via pins SA (9: 0) of chip 100. Sequencer address SAOU
It is connected to the lower 10 bits of T (9: 0). Figure 5
Figure 2 shows a 1 Kbyte format breakdown of sequence code. The byte addresses shown in FIG. 5 are for the sequencer base address.
The 1 Kbyte area of the sequence code consists of 64 16
It is divided into byte sequence code blocks. The first 16 of these blocks (address 0
0-F0) configures entry points for 16 available power management interrupts. Each power management interrupt occurs on each of the first 16 sequence clocks. As will be appreciated, if more than 17 bytes are required to handle one power management interrupt, the sequence may continue in any of blocks 10-3F. As shown in FIG. 5, one sequence block consists of one opcode followed by three opcodes.
It consists of five 5-byte commands. Each of the 5-byte commands includes a select byte S, three command or port bytes C / P 1 and a timer byte T. The interpretation of the various bytes within the sequence block is shown schematically in Table III below.

制御ユニット350は、最後のバイトがそのレジスタに
ローどされた後に各C/C/Cコマンドを実行さ
せる。
Control unit 350 causes each C 1 / C 2 / C 3 command to be executed after the last byte has been loaded into that register.

S(5)=0(ポート)であるならば: P(7、5、3、1)=PPWR(3:0)にデータ
を書き込むためのマスク P(6、4、2、0)=もし対応するマスク・ビット
が1ならばPPWR(3:0)にデータを書き込むため
のマスク P(7、5、3、1)=PPWR(7:4)にデータ
を書き込むためのマスク P(6、4、2、0)=もし対応するマスク・ビット
が1ならばPPWR(7:4)にデータを書き込むため
のマスク P(7、5、3、1)=PIO(3:0)にデータを
書き込むためのマスク P(6、4、2,0)=もし対応するマスク・ビット
が1ならばPIO(3:0)にデータを書き込むための
マスク PIO(3:0)は、それぞれのインデックス・レジス
タ57hビット3:0に依存して、入力あるいは出力と
して選択できる。T(7:0) − 8ビット・タイマ・カウンタにローど
されるべき初期値。現在の5バイト・コマンドが完了し
た後にシーケンス・コードの処理中に遅延を強制する。
すべてのゼロは遅延なしを示す。作動にあたって、CP
U10が、正規に、I/Oバス22上のIDEドライブ
の低減電力モードを呼び出すべきNMI(2)上の外部
ソース(図示せず)信号を実行していると仮定する。も
し従来の電力管理法が使用されているならば、すなわ
ち、インデックス・レジスタ58hのビット5:4が1
0を含んでいるならば、この割り込みは、チップ100
上のSNMIピンを経てCPU10NMI(またはSM
I)入力に通されるだけである。次に、CPU10は、
インデックス・レジスタ5Chおよび5Dhを読み出す
ことによって割り込みのソースを最初に決定する電力管
理ルーチンにベクタリングし、次いで、IDEドライブ
の低減電力モードを呼び出すための手続きを採る。シー
ケンサ法が選択されているならば(インデックス・レジ
スタ58hのビット5:4が01に等しい)、CPU1
0は割り込まれることはない。代わりに、NMI(2)
がPMI(15:0)の1つをエンコーダ332(図
4)に対して起動し、それによって、4ビット値に符号
化する。ゼロの2つのビットが4ビット・コードの上位
端に付属し、O−Fの範囲の数を生じる。制御ユニット
350からの信号(図示せず)に応答して、マルチプレ
クサ330が、この数を、カウンタ331の4ビット出
力より上の上位ビットにつながるところへ出力し、最初
にゼロにセットする。マルチプレクサ333は、図示し
ない手段によってシーケンス・ベース・アドレス・レジ
スタと組み合わせるための組み合わせ10ビット・アド
レスを選択し、I/Oバス22のSAラインに出力し
て、インタフェース回路20をして指定アドレスから読
み出された1バイトを実行させる。データがSD(7:
0)上で準備完了となっているとき、それはSDIN
(7:0)(図4)上に現れ、制御ユニット350がラ
ッチ・ゼロ・ラインにばるすを発行し、それをOPレジ
スタ310にロードする。明らかに、外部電力管理割り
込みによるシーケンスは、1Kバイト・シーケンス・コ
ード・アドレス・スペース内の16個の最下位16バイ
ト・シーケンス・ブロックのうちの任意のものの冒頭で
開始する。第1の16バイト・シーケンス・コード・ブ
ロックの最初のバイトがOPレジスタ310にラッチさ
れた後、カウンタ331は繰り返し増分し、それで生じ
たアドレスがSAバスの外に置かれ、第1の5バイトコ
マンドを読み込む。最初のバイト(「S」バイト、16
バイト・ブロックのバイト1)はSELレジスタ312
内にラッチされる。表IIIに示すように、このこのバ
イトのビツ卜5は、次の3つのバイトがポート・レジス
タ用かコマンド・レジスタ用かを識別する。I/Oバス
から読み出されるべき次のバイトはC/Pである。バ
イト2、3、4がポートに書き込まれるべきであること
をS(5)ビツ卜が示したときには、C/P(7、
5、3、1)はPPWR(3:0)にC/P(6、
4、2、0)の書込を行うためのマスクとなる。これ
は、図4を参照すれば明らかであり、図4は、SDIN
(6、4、2、0)ビットがポート・レジスタ324の
別個に計時されるレジスタにデータ入力として与えら
れ、SDIN(7、5、3、1)ビットがラッチ2クロ
ック・パルスがポート・レジスタ324の各ビットに達
するのを阻止するのに用いられることを示している。S
DIN(7、5、3、1)のマスク・ビットが1に等し
い場合、SDIN(6、4、2、0)の対応するデータ
・ビットはレジスタの対応するビットに書き込まれる。
さもなければ、レジスタのビットは変化しないままに留
まることになる。さらに、ここで、セレク レジスタ324に達するのを阻止し、S(5)ビットが
ゼロにセットされた場合にのみそれを行えるようにして
いることに注目されたい。S(5)=1の場合、すべて
のゲート362、364、366はそれぞれのラッチ・
パルス、ラッチ2、ラッチ3、ラッチ4を阻止し、代わ
りに、コマンド・レジスタ314、316、318のク
ロック入力部に与える。ポート・レジスタの出力部は、
それぞれの周辺装置への電力を制御する外部電力トラン
ジスタに接続してある。C/Pがポート・レジスタ3
24に書き込まれた後、カウンタ331は再び進めら
れ、C/Pが同じ要領で読み出され、ポート・レジス
タ326に書き込まれる。次に、カウンタ331が再び
前進し、C/PがI/Oバスを横切って読み出され、
同じ要領でポート・レジスタ328に書き込まれる。S
(5)=1ならば、3つのC/Pバイトは、ポート・レ
ジスタ324、326、328の代わりにコマンド・レ
ジスタ314、316、318のために用いることが意
図されている。これらのバイトは、また、ポートについ
て意図した場合とは異なるフィールド定義を有する。こ
れらのフィールド定義は表IIIに示してある。第3C
/Pバイトが読み込まれた後、カウンタ331が再び増
分され、シーケンス・メモリからTバイトが読み出さ
れ、タイマ・レジスタ320に書き込まれる。最初の5
バイト・コマンドが実行された後、カウンタ331が再
び増分され、次の5バイト・コマンドをレジスタに読み
込み、それを実行する。これは、その後、第3の5バイ
ト・コマンドにも行われる。第3の5バイト・コマンド
がシーケンス・コードの16バイト・ブロックを完了
し、OP(7)=0ならば、シーケンスが完了する。O
P(7)=1ならば、シーケンスは別のブロックのとこ
ろで継続し、OP(5:0)がそれと一緒に継続すべき
ブロック・アドレスを含む。これらのビットは、マルチ
プレクサ330によって選ばれ、6個の上位ビットとし
てマルチプレクサ333によって選ばれたカウンタ33
1の4ビット出力(今や0である)と連結され、再び、
10個の下位ビットとしてインデックス・レジスタ51
hのシーケンス・ベース・アドレスと結合される。こう
して、シーケンス・コードの次のブロックは、シーケン
ス・コードについて利用できる1Kバイトの64個のブ
ロックのうちの任意のブロックとなり得る。このコード
を通じての順序付けは、OP(7)=0を含むブロック
が完了するまで継続する。シーケンスの進行中に、制御
ユニット350はSEQACC信号を発生し、インタフ
ェース回路20の、シーケンスが進行中の回路部分にそ
れを示す。SEQACC信号は、また、チップ100か
らのSEQU出力を発生するのにも用いられる(図
2)。シーケンスが実際に始まる前に、PMU110
は、インタフェース回路20のCPUインタフェース制
御ブロックに、現在I/Oバスになんらかの活動がある
かどうかを問い合わせる。バスがクリヤであるとき、C
PUインタフェース制御ブロックは、PMU110に肯
定信号を戻し、その結果、シーケンスが開始できる。シ
ーケンスの進行中、CPUがI/Oアクセスを実行する
試みをしたならば、インタフェース回路20は、CPU
のI/Oバスへのアクセスを実行する前にシーケンスが
完了するまでそのアクセスを遅らせる。CPUがそのア
ドレスを維持することになるので、READY信号がイ
ンタフェース回路20からCPUへ戻されるまでデータ
および制御信号はローカル・バス上で有効であり、電力
管理シーケンス活動がCPU10に対して完全に透明と
なり得る。以下のものは、上記のConner Per
ipherals CP3044IDEディスクドライ
ブの「待機」電力節減モードを呼び出すためのサンプル
・コード・セグメントである。このドライブの待機モー
ドでは、ドライブは速度を落とし、大部分のアナログ回
路が使用不可とされるが、ドライブはインタフェースか
らのコマンドは受け入れる。ドライブを待機モードに置
くためには、普通のシステムでは、CPUは、割り込ま
れた後に、IDEコマンド・レジスタ1F7hへコマン
ドE0hを書き込むことになる。ドライブへの全電力を
回復するためには、CPUは、割り込み後、同じコマン
ド・レジスタ・アドレス1F7hへ値E1hを書き込む
ことになる。初期化中、次のステップが実施される。
If S (5) = 0 (port): P 1 (7,5,3,1) = mask for writing data to PPWR (3: 0) P 1 (6,4,2,0) = Mask for writing data to PPWR (3: 0) if corresponding mask bit is 1 P 2 (7, 5, 3, 1) = Mask P for writing data to PPWR (7: 4) 2 (6,4,2,0) = mask for writing data to PPWR (7: 4) if the corresponding mask bit is 1 P 3 (7,5,3,1) = PIO (3: Mask for writing data to 0) P 3 (6, 4, 2, 0) = Mask for writing data to PIO (3: 0) if the corresponding mask bit is 1 PIO (3: 0) Depends on the respective index register 57h bits 3: 0, Can be selected as input or output. T (7: 0) -Initial value to be loaded into the 8-bit timer counter. Force a delay while processing the sequence code after the current 5-byte command is completed.
All zeros indicate no delay. In operation, CP
Suppose U10 is legitimately executing an external source (not shown) signal on NMI (2) to invoke the reduced power mode of the IDE drive on I / O bus 22. If conventional power management methods are used, that is, bits 5: 4 of index register 58h is 1
If it contains 0, this interrupt is
CPU10 NMI (or SM via the above SNMI pin
I) It is only passed through the inputs. Next, the CPU 10
A procedure is taken to read the index registers 5Ch and 5Dh to vector the power management routine which first determines the source of the interrupt, and then invoke the reduced power mode of the IDE drive. CPU1 if the sequencer method is selected (bits 5: 4 of index register 58h equal to 01)
Zero is never interrupted. Instead, NMI (2)
Fires one of the PMIs (15: 0) to the encoder 332 (FIG. 4), thereby encoding a 4-bit value. Two bits of zeros are attached to the high end of the 4-bit code, resulting in a number in the OF range. In response to a signal (not shown) from the control unit 350, the multiplexer 330 outputs this number to the upper bit above the 4-bit output of the counter 331, initially setting it to zero. The multiplexer 333 selects a combination 10-bit address to be combined with the sequence base address register by means (not shown), outputs it to the SA line of the I / O bus 22, and causes the interface circuit 20 to start from the specified address. Execute the read 1 byte. The data is SD (7:
0) When it's ready above, it's SDIN
Appearing on (7: 0) (FIG. 4), control unit 350 issues a crow on the latch zero line, loading it into OP register 310. Obviously, the sequence with the external power management interrupt starts at the beginning of any of the 16 least significant 16 byte sequence blocks in the 1 Kbyte sequence code address space. After the first byte of the first 16-byte sequence code block is latched in the OP register 310, the counter 331 is repeatedly incremented, the resulting address is placed outside the SA bus and the first 5 bytes Read the command. First byte ("S" byte, 16
Byte block byte 1) is SEL register 312
Latched in. As shown in Table III, this byte bit 5 identifies whether the next three bytes are for a port register or a command register. The next byte to be read from the I / O bus is C / P 1 . When the S (5) bits indicate that bytes 2, 3, 4 should be written to the port, C / P 1 (7,
5, 3, 1) is PPWR (3: 0) and C / P 1 (6,
It becomes a mask for writing (4, 2, 0). This is apparent with reference to FIG. 4, which shows SDIN
The (6,4,2,0) bits are provided as data inputs to the separately clocked registers of the port register 324 and the SDIN (7,5,3,1) bits are the latch 2 clock pulses. It is used to prevent reaching each bit of 324. S
If the mask bit in DIN (7,5,3,1) equals 1, the corresponding data bit in SDIN (6,4,2,0) is written to the corresponding bit in the register.
Otherwise, the bits in the register will remain unchanged. In addition, here Note that it prevents reaching register 324 and allows it only if the S (5) bit is set to zero. When S (5) = 1, all gates 362, 364, 366 have their respective latch
Block pulse, Latch 2, Latch 3, Latch 4, and instead feed the clock inputs of command registers 314, 316, 318. The output of the port register is
It is connected to an external power transistor that controls the power to each peripheral. C / P 1 is port register 3
After being written to 24, the counter 331 is advanced again and C / P 2 is read in the same way and written to the port register 326. Then the counter 331 advances again and the C / P 3 is read across the I / O bus,
It is written to the port register 328 in the same manner. S
If (5) = 1, then the three C / P bytes are intended to be used for command registers 314, 316, 318 instead of port registers 324, 326, 328. These bytes also have different field definitions than intended for the port. These field definitions are shown in Table III. Third C
After the / P bytes have been read, the counter 331 is incremented again and the T bytes are read from the sequence memory and written to the timer register 320. First 5
After the byte command is executed, the counter 331 is again incremented to read the next 5 byte command into the register and execute it. This is then done for the third 5-byte command. The third 5-byte command completes the 16-byte block of sequence code, and if OP (7) = 0, the sequence is complete. O
If P (7) = 1, the sequence continues at another block and OP (5: 0) contains the block address with which it should continue. These bits are selected by multiplexer 330 and counter 33 selected by multiplexer 333 as the six upper bits.
Concatenated with the 4-bit output of 1 (now 0), again
Index register 51 as the 10 lower bits
Combined with the sequence base address of h. Thus, the next block of sequence code can be any of the 64 blocks of 1 Kbyte available for the sequence code. Ordering through this code continues until the block containing OP (7) = 0 is complete. While the sequence is in progress, the control unit 350 generates the SEQACC signal, which is indicated to the portion of the interface circuit 20 where the sequence is in progress. The SEQACC signal is also used to generate the SEQU output from chip 100 (FIG. 2). Before the sequence actually begins, PMU110
Inquires of the CPU interface control block of the interface circuit 20 if there is currently any activity on the I / O bus. C when the bus is clear
The PU interface control block returns a positive signal to the PMU 110 so that the sequence can begin. If the CPU attempts to perform an I / O access while the sequence is in progress, the interface circuit 20
Delay access to the I / O bus until the sequence is complete before performing the access. Since the CPU will maintain its address, the data and control signals are valid on the local bus until the READY signal is returned from the interface circuit 20 to the CPU, and power management sequence activity is completely transparent to the CPU 10. Can be. The following is the above Conner Per
A sample code segment for invoking the "standby" power saving mode of the ipherals CP3044 IDE disk drive. In this drive's standby mode, the drive slows down and most of the analog circuitry is disabled, but the drive accepts commands from the interface. To put the drive in standby mode, in a typical system, the CPU would write the command E0h to the IDE command register 1F7h after it was interrupted. To restore full power to the drive, the CPU would write the value E1h to the same command register address 1F7h after the interrupt. The following steps are performed during initialization.

− インデックス51にBFを書き込み、インデックス
はシーケンス・コード・ベース・アドレスをEFCOO
にセットし、したがって、シーケンス・コードはEFC
OO−EFFFFhを占有する。
-Write BF to the index 51, and the index uses the sequence code base address as EFCOO.
Set to, and therefore the sequence code is EFC
Occupy OO-EFFFFh.

− 0をインデックス52ビット7へ書き込み、シーケ
ンス・コード・ロケーションをROMにセットする。
Write 0 to index 52 bit 7 and set the sequence code location in ROM.

− 80hをインデックス45に書き込み、′1 0′
をインデックス42(3:2)に書き込み、IDE活動
タイマを30秒にセットする。
-Write 80h to index 45 and write '10'
Is written into index 42 (3: 2) and the IDE activity timer is set to 30 seconds.

− ′1 0′をインデックス5A(3:2)に書き込
み、タイマがタイムアウトしたときにシーケンス法(S
NMI法の代わり)を用いるようにIDE活動タイマを
構成する。
-Write '10' into index 5A (3: 2) and use the sequence method (S
Configure IDE activity timer to use (instead of NMI method).

− ′1′をインデックス31(7)に書き込み、PM
U110をほぼ使用可とする。
-Write "1" to the index 31 (7), PM
U110 is almost usable.

− ′1′をインデックス5B(5)に書き込み、シー
ケンス法をほぼ使用可とする。
-'1 'is written in the index 5B (5), and the sequence method is almost usable.

以下のコードがシーケンス・コード・ブロック9(RO
MアドレスEFC09)に置かれる。このコードは、地
域コマンドをIDEに送り、2秒間待機させることにな
る。
The following code is sequence code block 9 (RO
M address EFC09). This code will send a regional command to the IDE and make it wait for 2 seconds.

「コード #9」−16バイト 0 00 − 最後のブロックであることを示す。"Code # 9" -16 bytes 00-Indicates the last block.

1 60 − コマンド書き込みにセットし、128
Hzクロック・ソース(SQW1)を選定する。
160-Set to write command, 128
Select the Hz clock source (SQW1).

2 21 − データE0をI/Oアドレス1F7h
に書き込む。
221-Data E0 is transferred to I / O address 1F7h
Write in.

3 F7 4 F0 5 FF − タイマを2秒にセット。3 F7 4 F0 5 FF-Set the timer to 2 seconds.

6 00 − すべて0に続くものはもうそれ以上の
アクションがないことを意味する。
600-Everything following 0 means that there are no more actions.

7 00 8 00 9 00 10 00 11 00 12 00 13 00 14 00 15 00 以下のコードは、シーケンス・コード・ブロック13
(ROMアドレスEFCOC)に置かれる。このコード
は、レジューム全電力コマンドをIDEドライブに送
り、ディスクが回転し始めるまで7秒待機させる。
7 00 8 00 9 00 10 00 11 11 00 12 00 13 00 14 14 00 15 00 The following code is the sequence code block 13
(ROM address EFCOC). This code sends a Resume Full Power command to the IDE drive, waiting 7 seconds for the disk to start spinning.

「コード #13」−16バイト 0 00 − 最後のブロックであることを示す。"Code # 13" -16 bytes 00-Indicates the last block.

1 A0 − コマンド書き込みにセットし、4Hz
クロック・ソース(SQW2)を選択する。
1 A0-Set to command writing, 4Hz
Select the clock source (SQW2).

2 21 − データE1をI/Oアドレス1F7h
に書き込む。
221-Data E1 is transferred to I / O address 1F7h
Write in.

3 F7 4 E1 5 1C − タイマを7秒にセットする。3 F7 4 E1 5 1C-Set timer to 7 seconds.

6 00 − すべての0に続くものはそれ以上のア
クションがないことを意味する。
600-Everything following a 0 means that there is no further action.

7 00 8 00 9 00 10 00 11 00 12 00 13 00 14 00 15 00 正規の動作中、30秒間、IDEドライブに活動がない
とき、IDE活動タイマがタイムアウトし、PMI#9
電力管理割り込みを発生する。I/Oバスがアイドルで
あることをチェックした後、PMU110は、コード・
ブロック9のところで、I/Oバスを通してシーケンス
・コードの取り込みを開始する。PMUはそのコードを
実行し、それによって、IDEを待機モードに置くこと
になる。次のIDEアクセスで、PMU110は同様の
要領でシーケンス#3を開始し、IDEを通常モードに
戻す。本発明をその特定の実施例について説明してきた
が、上記の実施例に対して数多くの修正、変更を、発明
の範囲内で、行えることは了解されたい。特に、本概念
が汎用スマート周辺コントローラやスマートI/Oバス
・コントローラも包括するように拡張可能であることに
注目されたい。
7 00 8 00 9 00 10 00 11 00 12 00 13 00 14 00 15 00 During normal operation, when there is no activity on the IDE drive for 30 seconds, the IDE activity timer times out and PMI # 9.
Generate a power management interrupt. After checking that the I / O bus is idle, the PMU 110
At block 9, the acquisition of sequence code through the I / O bus begins. The PMU will execute that code, which will put the IDE in standby mode. On the next IDE access, PMU 110 begins sequence # 3 in a similar fashion, returning IDE to normal mode. Although the invention has been described with respect to specific embodiments thereof, it should be understood that numerous modifications and changes can be made to the embodiments described above without departing from the scope of the invention. In particular, note that the concept can be extended to include general purpose smart peripheral controllers and smart I / O bus controllers.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明を具体化したコンピュータ・アーキテク
チャの機能ブロック図である。
FIG. 1 is a functional block diagram of a computer architecture embodying the present invention.

【図2】図1のインタフェース回路20においてチップ
セットの2つのチップに対する主要機能ブロックおよび
外部ピン接続を説明する図である。
FIG. 2 is a diagram illustrating main functional blocks and external pin connections for two chips of a chipset in an interface circuit 20 of FIG.

【図3】図1のインタフェース回路20においてチップ
セットの2つのチップに対する主要機能ブロックおよび
外部ピン接続を説明する図である。
FIG. 3 is a diagram illustrating main functional blocks and external pin connections for two chips of a chip set in the interface circuit 20 of FIG.

【図4】図2に示すような電力管理装置の重要部分のブ
ロック図である。
FIG. 4 is a block diagram of an important part of the power management apparatus as shown in FIG.

【図5】図4の電力管理装置と一緒に用いる命令用メモ
リ・マップを示す図である。
5 illustrates a memory map for instructions used with the power management system of FIG.

【図6】図1のインタフェース回路20におけるコマン
ド、制御レジスタのフィールド定義を示す図である。
6 is a diagram showing command and field definitions of a control register in the interface circuit 20 of FIG.

【図7】図1のインタフェース回路20におけるコマン
ド、制御レジスタのフィールド定義を示す図である。
7 is a diagram showing the field definitions of commands and control registers in the interface circuit 20 of FIG.

【図8】図1のインタフェース回路20におけるコマン
ド、制御レジスタのフィールド定義を示す図である。
8 is a diagram showing command and control register field definitions in the interface circuit 20 of FIG. 1. FIG.

【図9】図1のインタフェース回路20におけるコマン
ド、制御レジスタのフィールド定義を示す図である。
9 is a diagram showing command and control register field definitions in the interface circuit 20 of FIG. 1. FIG.

【符号の説明】[Explanation of symbols]

10 CPU 12 ローカル・バス 14 コプロセッサ 20 インタフェース回路 22 I/Oバス 24 制御ライン 26 制御ライン 30 DRAMメインメモリ 44 キーボード・コントローラ 46 リアルタイム・クロック 48 EPROM 50 SYSRAM 100 チップ 110 電力管理ユニット 200 チップ 310 オペランド・レジスタ 312 セレクト・レジスタ 314 第1I/Oコマンド・レジスタ 316 第2I/Oコマンド・レジスタ 318 I/Oコマンド・データ・レジスタ 320 タイマ・レジスタ 322 インデックス・レジスタ 324 4ビット・ポート・レジスタ 326 4ビット・ポート・レジスタ 328 4ビット・ポート・レジスタ 330 マルチプレクサ 333 マルチプレクサ 334 8進2入力ANDゲート 336 4ディープ一時レジスタ・ファイル 338 ORゲート 340 2入力8ビット・ワイド・マルチプレクサ 350 制御ユニット 352 ANDゲート 354 ANDゲート 10 CPU 12 Local Bus 14 Coprocessor 20 Interface Circuit 22 I / O Bus 24 Control Line 26 Control Line 30 DRAM Main Memory 44 Keyboard Controller 46 Real Time Clock 48 EPROM 50 SYSRAM 100 Chip 110 Power Management Unit 200 Chip 310 Operands Registers 312 Select Registers 314 First I / O Command Registers 316 Second I / O Command Registers 318 I / O Command Data Registers 320 Timer Registers 322 Index Registers 324 4 Bit Port Registers 326 4 Bit Ports Register 328 4-bit port register 330 Multiplexer 333 Multiplexer 334 Octal 2-input AND gate To 336 4 Deep temporary register file 338 OR gate 340 2 input 8-bit wide multiplexer 350 Control unit 352 AND gate 354 AND gate

─────────────────────────────────────────────────────
─────────────────────────────────────────────────── ───

【手続補正書】[Procedure amendment]

【提出日】平成5年1月14日[Submission date] January 14, 1993

【手続補正1】[Procedure Amendment 1]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】特許請求の範囲[Name of item to be amended] Claims

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【特許請求の範囲】[Claims]

───────────────────────────────────────────────────── フロントページの続き (72)発明者 ディヴィッド リン アメリカ合衆国 カリフォルニア州 95117 サン ホセ ウッドクリーク レ ーン 3922 ─────────────────────────────────────────────────── ─── Continued Front Page (72) Inventor David Lynn California 95117 San Jose Wood Creek Lane 3922

Claims (16)

【特許請求の範囲】[Claims] 【請求項1】 ホストCPUと、周辺バスと、周辺装置
とを包含するシステムで使用するための装置であって、
前記ホストCPUの発行したコマンドを前記周辺バスを
通して前記周辺装置に伝送する手段と、前記ホストCP
Uと別体であって、所定の条件を検知し、この条件に応
答して前記周辺バスを通して前記周辺装置に所定のコマ
ンドを発行する外部手段とを包含することを特徴とする
装置。
1. A device for use in a system including a host CPU, a peripheral bus, and a peripheral device,
Means for transmitting a command issued by the host CPU to the peripheral device through the peripheral bus; and the host CP
A device separate from U and including external means for detecting a predetermined condition and issuing a predetermined command to the peripheral device through the peripheral bus in response to the predetermined condition.
【請求項2】 請求項1記載の装置において、前記伝送
手段が前記周辺バスとは別個のローカル・バスを包含す
ることを特徴とする装置。
2. The apparatus of claim 1, wherein said means for transmitting comprises a local bus separate from said peripheral bus.
【請求項3】 請求項1記載の装置において、前記伝送
手段が、前記ホストCPUに接続したローカル・バス
と、前記周辺バスを通してのみ到達可能なアドレスにつ
いて前記ローカル・バスにアクセス・リクエストがあっ
たときにそれを検知し、前記周辺バスについての相当す
るアクセス・リクエストを発行するインタフェース手段
とを包含することを特徴とする装置。
3. The apparatus according to claim 1, wherein the transmission means makes an access request to the local bus for an address reachable only through the local bus connected to the host CPU and the peripheral bus. Interface means for sometimes detecting it and issuing a corresponding access request for said peripheral bus.
【請求項4】 請求項3記載の装置において、前記外部
手段が、さらに、それが前記周辺バスを通して発行した
コマンドの進行中に前記インタフェース手段に信号を発
する信号手段を包含することを特徴とする装置。
4. The apparatus of claim 3, wherein said external means further comprises signaling means for signaling said interface means during the progress of the command it issued through said peripheral bus. apparatus.
【請求項5】 請求項1記載の装置において、前記周辺
装置が電力節減モードを有し、前記周辺バスを通して発
行された前記所定のコマンドが前記電力節減モードを起
動するコマンドとして前記周辺装置によって認識される
ことを特徴とする装置。
5. The apparatus according to claim 1, wherein the peripheral device has a power saving mode, and the predetermined command issued through the peripheral bus is recognized by the peripheral device as a command to activate the power saving mode. A device characterized by being performed.
【請求項6】 請求項1記載の装置において、前記周辺
装置が、前記周辺バスを通して発行された前記所定のコ
マンドに応答して電力節減モードを起動する手段を包含
することを特徴とする装置。
6. The apparatus of claim 1, wherein the peripheral device includes means for activating a power saving mode in response to the predetermined command issued through the peripheral bus.
【請求項7】 請求項1記載の装置において、さらに、
前記所定のコマンドをどのように発行すべきかを指示す
るコードを記憶したメモリ手段を包含し、前記外部手段
が、前記所定の条件に応答して前記周辺バスを通して前
記コードを読み出し、前記コードに従って前記周辺バス
を通して前記所定のコマンドを発行する手段を包含する
ことを特徴とする装置。
7. The apparatus according to claim 1, further comprising:
The external means includes a memory means for storing a code indicating how to issue the predetermined command, the external means reads the code through the peripheral bus in response to the predetermined condition, and the external means reads the code according to the code. A device comprising means for issuing the predetermined command through a peripheral bus.
【請求項8】 請求項1記載の装置において、前記外部
手段が、さらに、付加的条件を検知し、この付加的条件
に応答して前記周辺バスを通して付加的コマンドを発行
するようになっており、さらに、前記所定のコマンドを
どのように発行すべきかを表示する第1コードと、前記
付加的コマンドをどのようにして発行すべきかを表示す
る第2コードとを記憶したメモリ手段を包含し、前記外
部手段が、前記所定の条件に応答して前記第1コードを
読み出し、前記付加的条件に応答して前記第2コードを
読み出し、そしてまた、前記第1、第2のコードのどれ
が読み出されたかに応じて前記周辺バスを通してコマン
ドを発行する手段を包含することを特徴とする装置。
8. The apparatus according to claim 1, wherein said external means further detects an additional condition and issues an additional command through said peripheral bus in response to this additional condition. Further comprising a memory means storing a first code indicating how the predetermined command should be issued and a second code indicating how the additional command should be issued, The external means reads the first code in response to the predetermined condition, reads the second code in response to the additional condition, and again which of the first and second codes is read. An apparatus comprising means for issuing a command through the peripheral bus in response to being issued.
【請求項9】 ホストCPUと、このホストCPUに接
続したローカル・バスと、複数の装置と、これらの装置
に接続した周辺バスを包含するシステムで使用するため
の装置であって、前記周辺バスを通してアクセスできる
ようになっており、それぞれ前記装置のうちの1つにコ
マンドをどのように発行すべきかを表示する複数のコー
ドを記憶したメモリ手段と、前記ホストCPUと別体と
なっており、複数の所定の条件を検知し、これら所定の
条件に応答して前記コードのうちの対応したものを前記
周辺バスを通して読み出し、前記コードのうち前記対応
したコードに応じて前記装置のうち対応したものへ周辺
バスを通してコマンドを発行する外部手段とを包含する
ことを特徴とする装置。
9. A device for use in a system including a host CPU, a local bus connected to the host CPU, a plurality of devices, and a peripheral bus connected to the devices, the peripheral bus Through a memory means storing a plurality of codes each indicating how to issue a command to one of the devices, and separate from the host CPU. A plurality of predetermined conditions are detected, corresponding ones of the codes are read out through the peripheral bus in response to the predetermined conditions, and one of the devices corresponding to the corresponding one of the codes is read. An external means for issuing commands through the peripheral bus.
【請求項10】 請求項9記載の装置において、前記所
定の条件のうちの1つが、或る所定の時間にわたって、
前記装置のうちの1つに割り当てられた所定のアドレス
領域への前記周辺バスを通してのアクセスがなんらない
状態であることを特徴とする装置。
10. The apparatus of claim 9, wherein one of the predetermined conditions is for a predetermined time.
A device characterized in that there is no access through the peripheral bus to a predetermined address area assigned to one of the devices.
【請求項11】 請求項9記載の装置において、前記外
部手段が、再起動可能なタイマと、前記装置のうち或る
特定の装置に割り当てられた所定のアドレス領域への前
記周辺バスを通してのアクセスに応答して前記タイマを
再起動する手段と、前記タイマのタイムアウトに応答し
て前記特定の装置に対応する第1コードを前記周辺バス
を通して前記メモリ手段から読み出し、この第1コード
に従って前記特定の装置へ前記周辺バスを通してコマン
ドを発行する手段を包含することを特徴とする装置。
11. The device according to claim 9, wherein the external means accesses a restartable timer and a predetermined address area assigned to a specific one of the devices through the peripheral bus. Means for restarting the timer in response to the timer, and for reading the first code corresponding to the specific device from the memory means through the peripheral bus in response to the timer timing out. A device comprising means for issuing commands to the device through the peripheral bus.
【請求項12】 請求項11記載の装置において、前記
外部手段が、さらに、前記周辺バスを通しての前記所定
のアドレス領域へのアクセスに応答して前記特定の装置
に対応する第2コードを前記周辺バスを通して前記メモ
リから読み出し、この第2コードに応答して前記特定の
装置へ前記周辺バスを通して別のコマンドを発行する手
段を包含することを特徴とする装置。
12. The apparatus according to claim 11, wherein said external means further responds to an access to said predetermined address area through said peripheral bus with said second code corresponding to said specific apparatus. A device comprising means for reading from the memory over the bus and issuing another command over the peripheral bus to the particular device in response to the second code.
【請求項13】 別体のCPUと、バスと、それぞれが
電力節減モードを有する複数の周辺装置とを有するシス
テムで使用するための電力管理装置であって、所定の電
力管理状態を検知する手段と、この状態に応答して前記
周辺装置のうちの1つの電力節減モードを呼び出す手段
とを包含することを特徴とする電力管理装置。
13. A power management device for use in a system having a separate CPU, a bus, and a plurality of peripheral devices each having a power saving mode, said means for detecting a predetermined power management state. And a means for invoking a power saving mode of one of the peripherals in response to this condition.
【請求項14】 請求項13記載の電力管理装置におい
て、前記呼び出し手段が前記周辺装置のうちの前記1つ
の周辺装置への電力を遮断する手段を包含することを特
徴とする電力管理装置。
14. The power management apparatus according to claim 13, wherein the calling means includes means for cutting off power to the one peripheral device among the peripheral devices.
【請求項15】 請求項13記載の電力管理装置におい
て、前記周辺装置のうちの前記1つの周辺装置が、前記
バスを通して受け取った電力低減コマンドによって付勢
され得る低減電力モードを有し、前記呼び出し手段が、
前記周辺装置のうちの前記1つの周辺装置へ前記バスを
通して前記電力低減コマンドを発行する手段を包含する
ことを特徴とする電力管理装置。
15. The power management apparatus of claim 13, wherein the one of the peripherals has a reduced power mode that can be activated by a power reduction command received through the bus, Means
A power management device comprising means for issuing the power reduction command to the one of the peripheral devices via the bus.
【請求項16】 CPU命令シーケンサを有するホスト
CPUと、このホストCPUに接続したローカル・バス
と、このローカル・バスに接続したインタフェース装置
と、このインタフェース装置に接続したI/Oバスと、
このI/Oバスに接続した周辺装置とを包含し、この周
辺装置が前記I/Oバスを通して発行されるコマンドに
よって呼び出され得る低減電力モードを有するシステム
で用いるための電力管理装置であり、さらに、パワーダ
ウン表示器信号と一緒に使用するようになっている電力
管理装置において、前記CPU命令シーケンサとは別体
であり、前記パワーダウン表示器信号に応答して電力管
理命令を通して順序制御し、前記電力管理命令に応答し
て前記I/Oバスを通してコマンドを発行して前記周辺
装置の前記低減電力モードを呼び出す電力管理プロセッ
サと、前記電力管理命令を前記I/Oバスを通して前記
電力管理プロセッサに送る手段とを包含することを特徴
とする電力管理装置。
16. A host CPU having a CPU instruction sequencer, a local bus connected to the host CPU, an interface device connected to the local bus, and an I / O bus connected to the interface device.
A power management device for use in a system including a peripheral device connected to the I / O bus, the peripheral device having a reduced power mode that can be invoked by a command issued through the I / O bus, and A power management device adapted for use with a power down indicator signal, separate from said CPU instruction sequencer and sequenced through power management instructions in response to said power down indicator signal, A power management processor for issuing a command through the I / O bus in response to the power management command to invoke the reduced power mode of the peripheral device; and the power management command for the power management processor through the I / O bus. A power management device including a sending means.
JP4121002A 1991-10-18 1992-03-30 Electric power management sequencer Pending JPH05204502A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US77937191A 1991-10-18 1991-10-18
US07/779371 1991-10-18

Publications (1)

Publication Number Publication Date
JPH05204502A true JPH05204502A (en) 1993-08-13

Family

ID=25116237

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4121002A Pending JPH05204502A (en) 1991-10-18 1992-03-30 Electric power management sequencer

Country Status (1)

Country Link
JP (1) JPH05204502A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07230347A (en) * 1994-01-28 1995-08-29 Compaq Computer Corp Power-down device for scsi-disk-drive

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5723162A (en) * 1980-07-17 1982-02-06 Toshiba Corp Magnetic disk controller
JPS5847940A (en) * 1981-09-16 1983-03-19 Matsushita Electric Ind Co Ltd Variable system for cooling and heating capability
JPS58106622A (en) * 1981-12-18 1983-06-25 Casio Comput Co Ltd Power supply control system
JPS58182736A (en) * 1982-04-19 1983-10-25 Fujitsu Ltd Bus controlling system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5723162A (en) * 1980-07-17 1982-02-06 Toshiba Corp Magnetic disk controller
JPS5847940A (en) * 1981-09-16 1983-03-19 Matsushita Electric Ind Co Ltd Variable system for cooling and heating capability
JPS58106622A (en) * 1981-12-18 1983-06-25 Casio Comput Co Ltd Power supply control system
JPS58182736A (en) * 1982-04-19 1983-10-25 Fujitsu Ltd Bus controlling system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07230347A (en) * 1994-01-28 1995-08-29 Compaq Computer Corp Power-down device for scsi-disk-drive

Similar Documents

Publication Publication Date Title
US6832311B2 (en) Information processing system and resume processing method used in the system
JP2880863B2 (en) Suspend control method and system
US5867703A (en) Common reset ROM
JP2986299B2 (en) Peripheral device connection detection system
US6457069B1 (en) Method and apparatus for providing support for dynamic resource assignment and configuration of peripheral devices when enabling or disabling plug-and-play aware operating systems
KR100990188B1 (en) A method for booting a host device from an MMC/SD device, a host device bootable from an MMC/SD device and an MMC/SD device method a host device may be booted from
US5021983A (en) Suspend/resume apparatus and method for reducing power consumption in battery powered computers
US6732280B1 (en) Computer system performing machine specific tasks before going to a low power state
US6158000A (en) Shared memory initialization method for system having multiple processor capability
JP3437587B2 (en) Resume processing method for operating system
US4782439A (en) Direct memory access system for microcontroller
US6055643A (en) System management method and apparatus for supporting non-dedicated event detection
US5680620A (en) System and method for detecting access to a peripheral device using a debug register
JP3869049B2 (en) Method for preventing loss of device configuration during standby in computer system and controller circuit for capturing device configuration
EP0468625A2 (en) Personal computer system with protected storage for interface and system utility programs
JP3136257B2 (en) Computer memory interface device
JP2002215597A (en) Multiprocessor device
JPH08202563A (en) Computer system
US6681336B1 (en) System and method for implementing a user specified processing speed in a computer system and for overriding the user specified processing speed during a startup and shutdown process
US6154846A (en) System for controlling a power saving mode in a computer system
US6895517B2 (en) Method of synchronizing operation frequencies of CPU and system RAM in power management process
JPH08171500A (en) Computer system
US5509139A (en) Circuit for disabling an address masking control signal using OR gate when a microprocessor is in a system management mode
US5963738A (en) Computer system for reading/writing system configuration using I/O instruction
US6282645B1 (en) Computer system for reading/writing system configuration using I/O instruction