JPH05163573A - Device and method for thin film formation - Google Patents

Device and method for thin film formation

Info

Publication number
JPH05163573A
JPH05163573A JP3329018A JP32901891A JPH05163573A JP H05163573 A JPH05163573 A JP H05163573A JP 3329018 A JP3329018 A JP 3329018A JP 32901891 A JP32901891 A JP 32901891A JP H05163573 A JPH05163573 A JP H05163573A
Authority
JP
Japan
Prior art keywords
chamber
gas
substrate
thin film
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3329018A
Other languages
Japanese (ja)
Other versions
JP2989063B2 (en
Inventor
Nobumasa Suzuki
伸昌 鈴木
Senichi Hayashi
専一 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP3329018A priority Critical patent/JP2989063B2/en
Priority to US07/987,786 priority patent/US5433787A/en
Publication of JPH05163573A publication Critical patent/JPH05163573A/en
Priority to US08/417,908 priority patent/US5585148A/en
Application granted granted Critical
Publication of JP2989063B2 publication Critical patent/JP2989063B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515

Abstract

PURPOSE:To uniformly form a film on a substrate in the CVD method using light. CONSTITUTION:A plasma generation chamber 4 and a reaction chamber 1 are divided by a perforated diffusion plate 12 with <=3mm diameter of through- hole and 1-5% rate of perforation where at least the surface on the reaction chamber 1 side is a diffusion one or a means for supplying gas tending to deposit to the reaction chamber is installed inside. Means for introducing gas tending to deposit are independently installed in the positions corresponding to the central part and the peripheral part of the substrate. And when a film to be formed is a SiO2 film, oxidizing gas, such as O2, O3, and N2O and silanol having at least one hydroxide group combined with a silicon atom are reacted to form the film.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、薄膜形成装置および薄
膜形成方法に関し、特に、良質であって均一なSiO2
膜やSiN膜を基体上に形成することのできる薄膜形成
装置および薄膜形成方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a thin film forming apparatus and a thin film forming method, and particularly to a high quality and uniform SiO 2 film.
The present invention relates to a thin film forming apparatus and a thin film forming method capable of forming a film or a SiN film on a substrate.

【0002】[0002]

【従来の技術】半導体素子や電子回路、特に超LSIの
製造工程において、薄膜形成装置は重要な位置を占めて
いる。例えば、最終保護膜に使用されるSiN膜の形成
にはプラズマCVD装置が、層間絶縁に用いられるSi
2膜の形成にはプラズマCVD装置あるいは常圧CV
D装置が、配線用のAlの薄膜形成にはスパッタリング
装置がそれぞれ用いられている。
2. Description of the Related Art A thin film forming apparatus occupies an important position in the manufacturing process of semiconductor elements and electronic circuits, especially VLSI. For example, a plasma CVD apparatus is used to form a SiN film used as a final protective film, and a SiN film used for interlayer insulation.
A plasma CVD apparatus or an atmospheric pressure CV is used for forming the O 2 film.
D apparatus is used, and sputtering apparatus is used for forming Al thin film for wiring.

【0003】ここで層間絶縁用のSiO2膜に注目する
と、素子の微細化に伴ってこの層間絶縁用SiO2膜と
して、プラズマCVD法あるいは常圧CVD法で形成さ
れるSiO2膜によって段差被覆性に優れたスピンオン
グラス(SOG)を挟んだ構成の、3層構造のものが用
いられるようになってきている。しかし、この層間絶縁
膜は、SOGの後熱処理によって収縮しクラックが発生
しやすく、クラック発生を抑えるために数回に分けて膜
形成を行なう必要があり、工程数が多くなるという問題
点がある。そこで、一工程で段差被覆性に優れたSiO
2膜を形成できる方法として、O3とテトラエチルオルソ
シリケート(Si(OC25)4;TEOS,別名、テト
ラエトキシシラン)とを原料ガスとして用いる常圧CV
D法が検討されている。しかしながら常圧CVD法は、
主として表面反応のみに依存するので全体的に反応が不
完全で、特に層間絶縁膜の形成に必要な400℃以下の
低温では、水酸基やエチル基が多量にSiO2膜中に混
入し、クラック発生やこの膜上のAl配線の腐食の原因
となる場合がある。これに対し、テトラエチルオルソシ
リケートを原料ガスとして用いたプラズマCVD法で
は、400℃以下の低温で、常圧CVD法よりも良質な
SiO2膜が形成されている。
When attention is paid to the SiO 2 film for interlayer insulation, as the element is miniaturized, the SiO 2 film for interlayer insulation is covered with a step by a SiO 2 film formed by a plasma CVD method or an atmospheric pressure CVD method. A three-layer structure having a structure in which a spin-on-glass (SOG) having excellent properties is sandwiched is being used. However, this interlayer insulating film is apt to shrink due to post-heat treatment of SOG to easily generate cracks, and it is necessary to form the film several times in order to suppress the occurrence of cracks, resulting in a large number of steps. .. Therefore, SiO which has excellent step coverage in one step
As a method for forming the 2 film, an atmospheric pressure CV using O 3 and tetraethyl orthosilicate (Si (OC 2 H 5 ) 4 ; TEOS, also known as tetraethoxysilane) as source gases
Method D is under consideration. However, the atmospheric pressure CVD method is
Since the reaction mainly depends only on the surface reaction, the reaction is incomplete as a whole, and especially at low temperatures of 400 ° C or lower required for forming an interlayer insulating film, a large amount of hydroxyl groups and ethyl groups are mixed in the SiO 2 film and cracks occur. It may also cause corrosion of Al wiring on this film. On the other hand, in the plasma CVD method using tetraethyl orthosilicate as a source gas, a SiO 2 film having a better quality than that of the atmospheric pressure CVD method is formed at a low temperature of 400 ° C. or lower.

【0004】ところで、常圧CVD法あるいはプラズマ
CVD法において、成膜時に光を照射することにより、
成膜反応が促進されることが知られている。光を用いた
薄膜形成装置は、原理的に低温かつ低損傷の処理が可能
となるため、その実用化が期待され、現在、クリーニン
グやアニーリングへの応用が開始されている。
By the way, in the atmospheric pressure CVD method or the plasma CVD method, by irradiating light at the time of film formation,
It is known that the film formation reaction is accelerated. Since a thin film forming apparatus using light can be processed at low temperature and low damage in principle, its practical application is expected, and its application to cleaning and annealing is now started.

【0005】この光を用いた方法を薄膜形成に利用する
場合、反応容器内に光を導入するための窓が設けられる
のが一般的であるが、この窓の上にも膜が形成されて曇
りとなり、反応容器内に入射する光の照度が大きく低下
してしまう。そこで、グリース塗布法や、ガスパージ法
などの窓曇り防止方法が考え出されている。図13およ
び図14は、それぞれグリース塗布法およびガスパージ
法によって窓曇りを防止した従来の薄膜形成装置の構造
を示す模式断面図である。
When this method using light is used to form a thin film, a window for introducing light is generally provided in the reaction vessel, but a film is formed on this window as well. It will become cloudy and the illuminance of the light entering the reaction container will be greatly reduced. Therefore, window fogging prevention methods such as a grease application method and a gas purging method have been devised. 13 and 14 are schematic cross-sectional views showing the structure of a conventional thin film forming apparatus in which fogging of a window is prevented by a grease coating method and a gas purging method, respectively.

【0006】図13に示した薄膜形成装置では、円筒状
の反応容器50の上面が透明な光導入窓61となってお
り、この光導入窓61の上側に接して面光源である照明
系60が設けられている。反応容器50の底面には、図
示しない排気ポンプに接続された排気口59が設けられ
ている。そして、反応容器50内の排気口59の直上に
あたる位置に支持体53が設けられ、この支持体53に
処理対象の基体52が保持されるようになっている。ま
た、反応に用いられる原料ガスは、基体52に対して斜
め上方に設けられた原料ガス導入管58から、反応容器
50内に供給されるようになっている。この場合、照明
系60からの光が原料ガス導入管58によって遮られ
ず、基体52に一様に光が照射されるようにするため、
原料ガス導入管58は基体52の側方側に設けられ、原
料ガスは基体52の周辺側から供給されることになる。
また、光導入窓61の反応容器50側の面には、透明な
グリースが塗布されている。このように構成することに
よりこの薄膜形成装置では、半導体素子に通常使用され
る無機薄膜がグリース上には付着しにくいことにより、
光導入窓61への膜形成が抑止され、光導入窓61の曇
り発生を防ぐことができる。
In the thin film forming apparatus shown in FIG. 13, the cylindrical reaction container 50 has a transparent light introducing window 61 on its upper surface, and an illumination system 60 which is a surface light source is in contact with the upper side of the light introducing window 61. Is provided. An exhaust port 59 connected to an exhaust pump (not shown) is provided on the bottom surface of the reaction container 50. A support 53 is provided at a position in the reaction container 50 immediately above the exhaust port 59, and the substrate 52 to be treated is held by the support 53. The raw material gas used for the reaction is supplied into the reaction vessel 50 from a raw material gas introduction pipe 58 provided obliquely above the substrate 52. In this case, the light from the illumination system 60 is not blocked by the source gas introduction tube 58, and the base 52 is uniformly irradiated with the light.
The source gas introduction pipe 58 is provided on the side of the base 52, and the source gas is supplied from the peripheral side of the base 52.
A transparent grease is applied to the surface of the light introducing window 61 on the reaction container 50 side. In this thin film forming apparatus configured as described above, since the inorganic thin film that is usually used for semiconductor elements does not easily adhere to grease,
Formation of a film on the light introducing window 61 is suppressed, and it is possible to prevent fogging of the light introducing window 61.

【0007】図14に示した薄膜形成装置は、上述の図
13に示した薄膜形成装置において、反応容器50を上
下に仕切る分離板62が反応容器50の中央に設けられ
た構成となっている。この分離板62は多孔性の板であ
り、この分離板62より上方の空間がパージ室54とな
り、分離板62より下方の空間が反応室51となる。し
たがって、基体52、支持体53、原料ガス導入管5
8、排気口59は、反応室51側に配置されることにな
る。一方、パージ室54には、パージガスを導入するた
めのパージガス導入管57が設けられている。パージガ
スは、反応には直接関与しないガスであって、パージ室
54の圧力を反応室51の圧力よりも高めるためのもの
である。この場合、光導入窓61にはグリースは塗布さ
れない。このように構成することにより、パージ室54
の方が反応室より圧力が高いので原料ガスがパージ室5
4側に拡散することがなく、かつ分離板62は透明なの
で照明系60の光は基体52に到達するので、光導入窓
61への膜の形成を防ぎながら基体52への膜形成を行
なうことができる。
The thin film forming apparatus shown in FIG. 14 is the same as the thin film forming apparatus shown in FIG. 13 except that a separating plate 62 for partitioning the reaction container 50 into upper and lower parts is provided in the center of the reaction container 50. .. The separation plate 62 is a porous plate, the space above the separation plate 62 serves as the purge chamber 54, and the space below the separation plate 62 serves as the reaction chamber 51. Therefore, the base body 52, the support body 53, and the source gas introduction pipe 5
8 and the exhaust port 59 are arranged on the reaction chamber 51 side. On the other hand, the purge chamber 54 is provided with a purge gas introduction pipe 57 for introducing a purge gas. The purge gas is a gas that is not directly involved in the reaction and is used to increase the pressure in the purge chamber 54 above the pressure in the reaction chamber 51. In this case, no grease is applied to the light introduction window 61. With this configuration, the purge chamber 54
Since the pressure is higher than that in the reaction chamber,
The light of the illumination system 60 reaches the base 52 because it does not diffuse to the 4 side and the separation plate 62 is transparent. Therefore, the film formation on the base 52 is performed while preventing the formation of the film on the light introduction window 61. You can

【0008】[0008]

【発明が解決しようとする課題】上述した光を利用した
薄膜形成装置では、基体に一様に光が照射されるように
基体の周辺側から原料ガスを導入するので、基体上での
原料ガスの圧力や組成が均一にはならず、成膜圧力によ
っては膜厚にムラが生じるという問題点がある。また、
光導入窓への膜の形成を防止するのにグリース塗布法を
用いた場合、光照射などによって蒸発したグリース成分
が膜中に混入しやすく、成膜速度を向上させるために光
の照度を上げるとグリース自体が曇りの原因になるとい
う問題点がある。ガスパージ法を用いた場合であって
も、圧力によってはパージガスによる原料ガスのパージ
が不十分になるため、分離板が例え透明であっても、膜
が付着し曇ってしまい、膜の不均一をもたらすという問
題点がある。
In the above-described thin film forming apparatus utilizing light, the source gas is introduced from the peripheral side of the substrate so that the substrate is uniformly irradiated with light. However, there is a problem that the pressure and composition are not uniform, and the film thickness varies depending on the film forming pressure. Also,
When a grease coating method is used to prevent the formation of a film on the light introduction window, the grease component evaporated by light irradiation easily mixes into the film, and the illuminance of light is increased to improve the film formation speed. And there is a problem that the grease itself causes fogging. Even if the gas purging method is used, the purging of the raw material gas by the purging gas becomes insufficient depending on the pressure, so even if the separation plate is transparent, the film adheres and becomes cloudy, resulting in non-uniformity of the film. There is a problem of bringing.

【0009】さらに、この種の薄膜形成装置の最大の成
膜対象である層間絶縁用のSiO2膜を形成する場合、
上述したテトラエチルオルソシリケートをプラズマCV
D法で成膜すると、段差被覆性が常圧CVD法の場合ほ
ど良くなく、またプラズマ中のイオン衝撃によりC−H
結合が解離して膜中に炭素が混入するという問題点があ
る。
Further, in the case of forming an SiO 2 film for interlayer insulation, which is the largest film forming object of this type of thin film forming apparatus,
The above-mentioned tetraethyl orthosilicate is plasma CV
When the film is formed by the D method, the step coverage is not as good as that in the atmospheric pressure CVD method, and C-H is generated by ion bombardment in plasma.
There is a problem that the bond is dissociated and carbon is mixed in the film.

【0010】本発明の目的は、上述の各問題点を解決
し、光導入窓への曇りの発生が少なく、基体上での照度
が均一であって、基体上に均一に成膜を行なうことので
きる薄膜形成装置を提供し、さらに、良質かつ良好な段
差被覆性もつ薄膜を形成できる薄膜形成方法を提供する
ことにある。
An object of the present invention is to solve the above-mentioned problems, to prevent fogging of the light introduction window, to provide uniform illuminance on the substrate, and to perform uniform film formation on the substrate. Another object of the present invention is to provide a thin film forming apparatus capable of performing the above, and further provide a thin film forming method capable of forming a thin film having good quality and good step coverage.

【0011】[0011]

【課題を解決するための手段】第1の発明の薄膜形成装
置は、反応室と、前記反応室内に設けられ基体を保持す
る支持体と、透光性の多孔拡散板を介して反応室に隣接
して設けられ少なくとも一部が透光性の部材からなるプ
ラズマ発生室と、前記プラズマ発生室内にプラズマを発
生させるプラズマ発生手段と、前記反応室にガスを導入
する第1のガス導入手段と、前記プラズマ発生室にガス
を導入する第2のガス導入手段と、前記反応室および前
記プラズマ発生室を排気する排気手段と、前記プラズマ
発生室の外部に設けられ前記プラズマ発生室および前記
多孔拡散板を介して前記支持体に保持された基体に光を
照射する光源とを有し、前記多孔拡散板の少なくとも前
記反応室側の面が光を散乱する拡散面である。
A thin film forming apparatus according to a first aspect of the invention includes a reaction chamber, a support provided in the reaction chamber for holding a substrate, and a translucent porous diffuser plate to form a reaction chamber. A plasma generating chamber which is provided adjacently and at least partially made of a translucent member, a plasma generating means for generating plasma in the plasma generating chamber, and a first gas introducing means for introducing gas into the reaction chamber Second gas introducing means for introducing gas into the plasma generating chamber, exhaust means for exhausting the reaction chamber and the plasma generating chamber, the plasma generating chamber and the porous diffusion provided outside the plasma generating chamber A light source for irradiating light to the substrate held by the support through a plate, and at least the surface of the porous diffusion plate on the side of the reaction chamber is a diffusion surface for scattering light.

【0012】第2の発明の薄膜形成装置は、反応室と、
前記反応室内に設けられ基体を保持する支持体と、透光
性であって少なくとも一方の面が光を散乱する拡散面で
ありかつ複数の貫通孔が設けられた多孔拡散板と、前記
多孔拡散板を介して前記反応室に隣接して設けられ少な
くとも一部が透光性の部材からなるパージ室と、前記多
孔拡散板内に設けられ前記反応室に堆積性のガスを導入
する第1のガス導入手段と、前記パージ室に非堆積性の
ガスを導入する第2のガス導入手段と、前記反応室およ
び前記パージ室を排気する排気手段と、前記パージ室の
外部に設けられ前記パージ室および前記多孔拡散板を介
して前記支持体に保持された基体に光を照射する光源と
を有する。
The thin film forming apparatus of the second invention comprises a reaction chamber,
A support provided in the reaction chamber for holding a substrate; a porous diffusion plate that is transparent and has at least one surface that is a diffusion surface that scatters light and that is provided with a plurality of through holes; A purge chamber which is provided adjacent to the reaction chamber via a plate and at least a part of which is a translucent member; and a first purge chamber which is provided in the porous diffusion plate and introduces a deposition gas into the reaction chamber. Gas introducing means, second gas introducing means for introducing a non-depositing gas into the purge chamber, exhaust means for exhausting the reaction chamber and the purge chamber, and the purge chamber provided outside the purge chamber And a light source for irradiating the substrate held by the support with light through the porous diffusion plate.

【0013】第3の発明の薄膜形成装置は、反応室と、
前記反応室内に設けられ基体を保持する支持体と、透光
性であって少なくとも一方の面が光を散乱する拡散面で
ありかつ複数の貫通孔が設けられた多孔拡散板と、前記
多孔拡散板を介して前記反応室に隣接して設けられ少な
くとも一部が透光性の部材からなるプラズマ発生室と、
前記プラズマ発生室内にプラズマを発生させるプラズマ
発生手段と、前記多孔拡散板内に設けられ前記反応室に
堆積性のガスを導入する第1のガス導入手段と、前記プ
ラズマ発生室に非堆積性のガスを導入する第2のガス導
入手段と、前記反応室および前記プラズマ発生室を排気
する排気手段と、前記プラズマ発生室の外部に設けられ
前記プラズマ発生室および前記多孔拡散板を介して前記
支持体に保持された基体に光を照射する光源とを有す
る。
The thin film forming apparatus of the third invention comprises a reaction chamber,
A support provided in the reaction chamber for holding a substrate; a porous diffusion plate that is transparent and has at least one surface that is a diffusion surface that scatters light and that is provided with a plurality of through holes; A plasma generation chamber which is provided adjacent to the reaction chamber via a plate and at least a part of which is made of a translucent member;
Plasma generating means for generating plasma in the plasma generating chamber, first gas introducing means provided in the porous diffusion plate for introducing a depositing gas into the reaction chamber, and non-depositing in the plasma generating chamber Second gas introducing means for introducing gas, exhaust means for exhausting the reaction chamber and the plasma generating chamber, and the support provided outside the plasma generating chamber via the plasma generating chamber and the porous diffusion plate. And a light source for irradiating the base body held by the body with light.

【0014】第4の発明の薄膜形成装置は、少なくとも
一部が透光性の部材からなる反応室と、前記反応室内に
設けられ基体を保持する支持体と、前記反応室の前記基
体の中央部に対応する位置に堆積性のガスを導入する第
1のガス導入手段と、前記第1のガス導入手段とは独立
に設けられ前記反応室の前記基体の周辺部に対応する位
置に前記堆積性のガスを導入する第2のガス導入手段
と、前記反応室を排気する排気手段と、前記反応室の外
部に設けられ前記支持体に保持された基体に光を照射す
る光源とを有する。
In the thin film forming apparatus of the fourth invention, a reaction chamber at least a part of which is made of a translucent member, a support provided in the reaction chamber for holding a substrate, and a center of the substrate in the reaction chamber. A first gas introducing means for introducing a deposition gas to a position corresponding to the portion, and the first gas introducing means is provided independently of the first gas introducing means at the position corresponding to the peripheral portion of the substrate in the reaction chamber. A second gas introducing unit for introducing a reactive gas, an exhaust unit for evacuating the reaction chamber, and a light source for irradiating the substrate, which is provided outside the reaction chamber and held by the support, with light.

【0015】第5の発明の薄膜形成装置は、反応室と、
前記反応室内に設けられ基体を保持する支持体と、透光
性であって複数の貫通孔を有する多孔拡散板と、前記多
孔拡散板を介して前記反応室に隣接して設けられ少なく
とも一部が透光性の部材からなるプラズマ発生室と、前
記プラズマ発生室内にプラズマを発生させるプラズマ発
生手段と、前記反応室の前記基体の中央部に対応する位
置に堆積性のガスを導入する第1のガス導入手段と、前
記第1のガス導入手段とは独立に設けられ前記反応室の
前記基体の周辺部に対応する位置に前記堆積性のガスを
導入する第2のガス導入手段と、前記プラズマ発生室に
非堆積性のガスを導入する第3のガス導入手段と、前記
反応室および前記プラズマ発生室を排気する排気手段
と、前記プラズマ発生室の外部に設けられ前記プラズマ
発生室および前記多孔拡散板を介して前記支持体に保持
された基体に光を照射する光源とを有する。
The thin film forming apparatus of the fifth invention comprises a reaction chamber,
A support provided in the reaction chamber for holding a substrate, a translucent porous diffusion plate having a plurality of through holes, and at least a part provided adjacent to the reaction chamber via the porous diffusion plate. A plasma generating chamber consisting of a translucent member, plasma generating means for generating plasma in the plasma generating chamber, and introducing a depositive gas into the reaction chamber at a position corresponding to the center of the substrate. And a second gas introducing unit which is provided independently of the first gas introducing unit and which introduces the sedimentary gas into a position corresponding to the peripheral portion of the substrate in the reaction chamber, Third gas introducing means for introducing a non-depositing gas into the plasma generating chamber, exhaust means for exhausting the reaction chamber and the plasma generating chamber, the plasma generating chamber and the outside of the plasma generating chamber Many The retained substrate to the support through a diffusion plate and a light source for irradiating light.

【0016】第6の発明の薄膜形成方法は、基体上にS
iO2膜を形成する薄膜形成方法であって、プラズマに
より励起された酸化性ガスと、シリコン原子に結合した
少なくとも1個の水酸基を有するシラノールとを反応さ
せ、前記基体上にSiO2膜を堆積させる反応工程を有
する。
According to the thin film forming method of the sixth invention, S is formed on the substrate.
A thin film forming method for forming an iO 2 film, comprising: reacting an oxidizing gas excited by plasma with silanol having at least one hydroxyl group bonded to a silicon atom to deposit a SiO 2 film on the substrate. It has a reaction step.

【0017】[0017]

【作用】第1の発明では、上述の従来例のガスパージ法
における分離板として、透光性であり、少なくとも反応
室側の面が光を散乱する拡散面である多孔拡散板を用い
ているので、入射光がこの多孔拡散板で拡散されること
となって基体上での照度の均一性が向上する。また、多
孔拡散板によりプラズマ発生室内と反応室内との間に差
圧が生じ、反応室側に供給される堆積性のガス(原料ガ
ス)がプラズマ発生室側に拡散することがほぼ完全に抑
えられる。このため、光入射窓などへの膜の付着を抑え
られる。さらに、多孔拡散板上に膜が付着し、膜の凹凸
による光の散乱が新たに発生しても、予め拡散面によっ
て入射光が散乱しているので、基体上での照度の変化は
少なくなる。
In the first aspect of the invention, as the separating plate in the above-mentioned conventional gas purging method, the porous diffusing plate which is transparent and at least the reaction chamber side surface is a diffusing surface for scattering light is used. The incident light is diffused by this porous diffusion plate, and the uniformity of illuminance on the substrate is improved. Further, the porous diffusion plate causes a pressure difference between the plasma generation chamber and the reaction chamber, and the deposition gas (raw material gas) supplied to the reaction chamber side is almost completely prevented from diffusing to the plasma generation chamber side. Be done. Therefore, the adhesion of the film to the light incident window or the like can be suppressed. Further, even if a film adheres to the porous diffusion plate and light scattering is newly generated due to the unevenness of the film, the incident light is scattered by the diffusion surface in advance, so that the change in illuminance on the substrate is reduced. ..

【0018】拡散多孔板に設けられる貫通孔の孔径が大
きい場合には、基体上にこの貫通孔の影ができ照度の均
一性が低下するので、孔径は3mm程度以下とすべきで
ある。また、この貫通孔の多孔拡散板全体に対する開口
率が5%を越えると、プラズマ発生室と反応室との間で
十分な差圧をとることができなくなって反応室側からの
ガスの拡散が無視できなくなり、光入射窓などへの膜の
付着を有効に防止できなくなる。一方、開口率が1%未
満である場合には、プラズマ発生室内の圧力が上昇しす
ぎ、プラズマが発生しにくくなる。したがって、開口率
は1〜5%程度とすべきである。プラズマ発生室内にプ
ラズマを発生させる方法としては、公知の高周波電力あ
るいはマイクロ波電力をプラズマ発生室内に導入する方
法がある。このとき、これらのエネルギーが効率よくプ
ラズマ発生室内に導入されるようにするため、プラズマ
発生室を円筒形状として側壁を石英管で構成するとよ
い。第2および第3の発明では、多孔拡散板内に設けら
れ反応室に堆積性のガスを導入する第1のガス導入手段
と、パージ室あるいはプラズマ発生室に非堆積性のガス
を導入する第2のガス導入手段とを設けているので、結
局、堆積性のガスも非堆積性のガスも多孔拡散板から基
体に向かう均等な流れとなり、基体上に均一に成膜を行
なうことが可能となる。このような多孔拡散板は、例え
ば、透明な2枚の板を間隔をあけて配置したものを用
い、下側の板に多数の孔をあけ2枚の板の間を堆積性の
ガスが流れるようにして第1のガス導入手段とし、さら
に、上側の板と下側の板とを連絡する管状の貫通孔を設
けることによって達成される。この場合、貫通孔は管状
であるので、プラズマ発生室あるいはパージ室からの非
堆積性のガスが多孔拡散板の2枚の板の間に進入するこ
とはない。非堆積性のガスのための貫通孔あるいは堆積
性のガスのために下側の板にあけられた孔のいずれも、
その径は3mm以下とすることが望ましい。また、堆積
性のガスを均一に流すため、多孔拡散板の2枚の板に挟
まれた部分の断面積は、下側の板にあけられた孔の面積
に比べ、十分大きくすることが望ましい。
When the diameter of the through-hole provided in the diffusion perforated plate is large, a shadow of the through-hole is formed on the substrate and the uniformity of illuminance is deteriorated. Therefore, the hole diameter should be about 3 mm or less. If the opening ratio of the through holes to the entire porous diffusion plate exceeds 5%, a sufficient pressure difference cannot be established between the plasma generation chamber and the reaction chamber, and the gas diffusion from the reaction chamber side is impossible. It cannot be ignored, and it becomes impossible to effectively prevent the film from adhering to the light incident window. On the other hand, when the aperture ratio is less than 1%, the pressure inside the plasma generation chamber rises too much, and it becomes difficult to generate plasma. Therefore, the aperture ratio should be about 1-5%. As a method of generating plasma in the plasma generation chamber, there is a known method of introducing high frequency power or microwave power into the plasma generation chamber. At this time, in order to efficiently introduce these energies into the plasma generation chamber, it is preferable that the plasma generation chamber has a cylindrical shape and the side wall be made of a quartz tube. In the second and third inventions, a first gas introducing means provided in the porous diffusion plate for introducing a deposition gas into the reaction chamber, and a non-deposition gas introducing into the purge chamber or the plasma generation chamber Since the second gas introduction means is provided, eventually, both the deposition gas and the non-deposition gas flow uniformly from the porous diffusion plate to the substrate, and it is possible to uniformly form a film on the substrate. Become. As such a porous diffusion plate, for example, two transparent plates arranged at intervals are used, and a large number of holes are formed in the lower plate so that a sedimentary gas flows between the two plates. This is achieved by providing a first gas introducing means, and further providing a tubular through hole that connects the upper plate and the lower plate. In this case, since the through hole is tubular, the non-depositing gas from the plasma generating chamber or the purging chamber does not enter between the two porous diffusion plates. Either through-holes for non-depositing gas or holes drilled in the lower plate for depositing gas,
The diameter is preferably 3 mm or less. Further, in order to allow the deposition gas to flow uniformly, it is desirable that the cross-sectional area of the portion of the porous diffusion plate sandwiched between the two plates be sufficiently larger than the area of the holes formed in the lower plate. ..

【0019】第4および第5の発明では、反応室の基体
の中央部に対応する位置に堆積性のガスを導入する第1
のガス導入手段と、第1のガス導入手段とは独立に設け
られ反応室の基体の周辺部に対応する位置に堆積性のガ
スを導入する第2のガス導入手段とを有するので、基体
の周辺部と中央部とに供給される堆積性のガスの量を独
立に制御でき、従来の装置では不足しがちであった中央
部の膜厚を補うことができ、均一な成膜が可能となる。
この場合、第1のガス導入管は、基体に対し影を作らな
いようにするため、壁面に貫通孔を有する透明な細管で
構成することが望ましく、また、光を集光させることが
ないようにするため、断面を矩形とすることが望まし
い。
In the fourth and fifth inventions, the first aspect of introducing the deposition gas into the reaction chamber at a position corresponding to the central portion of the substrate.
And the second gas introducing means for introducing the sedimentary gas into a position corresponding to the peripheral portion of the substrate in the reaction chamber, which is provided independently of the first gas introducing means. The amount of the deposition gas supplied to the peripheral part and the central part can be controlled independently, and the film thickness in the central part, which was apt to be insufficient in the conventional device, can be compensated for, and uniform film formation is possible. Become.
In this case, it is desirable that the first gas introduction tube is formed of a transparent thin tube having a through hole in the wall surface so as not to form a shadow on the substrate, and also to prevent light from being condensed. Therefore, it is desirable that the cross section be rectangular.

【0020】以上の第1ないし第5の発明において反応
室に直接供給される堆積性のガスとしては、例えば、S
iH4、Si26、SiCl22、アルコキシシラン,シ
ロキサン,シラノールなどの有機シラン化合物、ジボラ
ン、アルシン、フォスフィン、アルカン,アルケン,アル
キン,アルコール,ベンゼンなどの有機化合物、有機アル
ミニウム化合物、有機チタン化合物、WF6、有機タン
グステン化合物、有機モリブデン化合物、有機タンタル
化合物などがある。一方、パージ室やプラズマ発生室に
供給される非堆積性のガスとして、O2、O3、N2O、
2O、N2、NH3、N24、H2、Arなどが挙げられ
る。
In the above first to fifth inventions, the deposition gas directly supplied to the reaction chamber is, for example, S
Organic silane compounds such as iH 4 , Si 2 H 6 , SiCl 2 H 2 , alkoxysilane, siloxane, silanol, etc., organic compounds such as diborane, arsine, phosphine, alkanes, alkenes, alkynes, alcohols, benzene, organic aluminum compounds, organic Titanium compounds, WF 6 , organic tungsten compounds, organic molybdenum compounds, organic tantalum compounds, and the like. On the other hand, as the non-depositing gas supplied to the purge chamber and the plasma generation chamber, O 2 , O 3 , N 2 O,
H 2 O, N 2, NH 3, N 2 H 4, H 2, Ar , and the like.

【0021】第6の発明では、プラズマにより励起され
た酸化性ガスと、シリコン原子に結合した少なくとも1
個の水酸基を有するシラノールとを反応させて基体上に
SiO2膜を堆積・形成するので、基体表面との相互作
用が減少し表面拡散が促進され、段差被覆性が向上した
SiO2膜が得られる。さらに、シラノールであるから
主として水酸基を含み、そのため膜中への炭素の混入も
減少する。なお、成膜時に基体に紫外光あるいは可視光
を照射することにより、水酸基の混入が減少し、さらに
膜質が向上する。
In the sixth aspect of the invention, the oxidizing gas excited by the plasma and at least 1 bonded to the silicon atom are used.
Since the SiO 2 film is deposited and formed on the substrate by reacting with silanol having one hydroxyl group, interaction with the substrate surface is reduced, surface diffusion is promoted, and a SiO 2 film with improved step coverage is obtained. Be done. Further, since it is silanol, it mainly contains a hydroxyl group, so that carbon contamination in the film is reduced. By irradiating the substrate with ultraviolet light or visible light during film formation, the incorporation of hydroxyl groups is reduced and the film quality is further improved.

【0022】この発明に用いられる酸化性ガスとして
は、例えば、O2,O3,N2Oなどがある。また、シラノ
ールとしては、アルキル基などを含む有機シラノールで
あっても、アルキル基などを含まない無機シラノールで
もよいが、できるだけ飽和蒸気圧が高くかつ含有炭素の
少ないものが好ましい。シラノールはその供給量を増加
させるため、基体への堆積の行なわれる直前に、有機シ
ランあるいは無機シランと水との反応で生成されるよう
にしてもよい。酸化性ガスを励起するために使用される
プラズマは、1〜300MHz程度の高周波によって生
成されたものであっても、0.9〜5GHz程度のマイ
クロ波によって生成されたものであってもよい。さら
に、電子密度を向上させるため、プラズマ生成時に磁場
を印加するようにしてもよい。
Examples of the oxidizing gas used in the present invention include O 2 , O 3 and N 2 O. The silanol may be an organic silanol containing an alkyl group or the like, or an inorganic silanol containing no alkyl group, etc., but those having a high saturated vapor pressure and a low carbon content are preferable. Since the silanol increases its supply amount, it may be produced by the reaction of the organic silane or the inorganic silane with water immediately before the deposition on the substrate. The plasma used to excite the oxidizing gas may be generated by a high frequency wave of about 1 to 300 MHz or may be generated by a microwave wave of about 0.9 to 5 GHz. Further, in order to improve the electron density, a magnetic field may be applied during plasma generation.

【0023】[0023]

【実施例】次に、本発明の実施例について図面を参照し
て説明する。
Embodiments of the present invention will now be described with reference to the drawings.

【0024】[実施例1]図1は、本発明の実施例1に
おける薄膜形成装置の構成を示す模式断面図である。こ
の薄膜形成装置は、上述の第1の発明に対応し、少なく
とも反応室側の面が光を散乱する拡散面である多孔拡散
板が用いられている。
[Embodiment 1] FIG. 1 is a schematic sectional view showing the structure of a thin film forming apparatus in Embodiment 1 of the present invention. This thin film forming apparatus corresponds to the above-mentioned first invention, and uses a porous diffusion plate in which at least the surface on the reaction chamber side is a diffusion surface that scatters light.

【0025】円筒形状の反応室1の底面には、コンダク
タンスバルブ(不図示)を介して図示しない排気ポンプ
に接続された排気口9が設けられ、排気口9の直上にあ
たる位置には支持体3が設けられている。支持体3は、
処理対象すなわち成膜対象の基体2をその上面に保持す
るためのものである。また、反応室1の内部には、堆積
性のガスを導入するための原料ガス導入管8が、基体2
の真上にはこないように、基体2に近接して斜め上方に
位置するよう設けられている。原料ガス導入管8は、図
示しない原料ガス供給源に接続されている。反応室1の
上面がすなわち多孔拡散板12であり、この多孔拡散板
12は、円筒形状のプラズマ発生室4の底面も兼ねるよ
うになっている。すなわち、プラズマ発生室4と反応室
1とは、多孔拡散板12を介して隣接し相互に接続され
ていることになる。溶融石英などの透明な材料からなる
多孔拡散板12には、直径が3mm以下の貫通孔が開口
率が1〜5%となるように多数設けられている。また、
多孔拡散板12の反応室1側の面は、スリガラス処理が
施され、光に対する拡散面となっている。
An exhaust port 9 connected to an exhaust pump (not shown) via a conductance valve (not shown) is provided on the bottom surface of the cylindrical reaction chamber 1, and the support member 3 is provided directly above the exhaust port 9. Is provided. The support 3 is
This is for holding the substrate 2 to be processed, that is, the film formation target on the upper surface thereof. Further, inside the reaction chamber 1, a raw material gas introduction pipe 8 for introducing a deposition gas is provided in the base body 2.
It is provided so as to be positioned obliquely above and close to the base body 2 so that it does not come directly above. The source gas introduction pipe 8 is connected to a source gas supply source (not shown). The upper surface of the reaction chamber 1 is the porous diffusion plate 12, and the porous diffusion plate 12 also serves as the bottom surface of the cylindrical plasma generation chamber 4. That is, the plasma generation chamber 4 and the reaction chamber 1 are adjacent to each other and connected to each other via the porous diffusion plate 12. The porous diffusion plate 12 made of a transparent material such as fused quartz is provided with a large number of through holes having a diameter of 3 mm or less so that the aperture ratio is 1 to 5%. Also,
The surface of the porous diffusion plate 12 on the side of the reaction chamber 1 is subjected to frosted glass treatment to be a diffusion surface for light.

【0026】プラズマ発生室4の側壁は石英管5で構成
され、石英管5の外側には、プラズマ発生室4内で高周
波あるいはマイクロ波プラズマ放電を発生させるための
電極6が取り付けられている。この電極6は、図示しな
い高周波電源あるいはマイクロ波電源に接続されてい
る。プラズマ発生室4の内部には、プラズマを発生する
ために用いられる非堆積性のガスをこのプラズマ発生室
4内に導入するための非堆積性ガス導入管7が、石英管
5の内面に沿って設けられている。この非堆積性ガス導
入管7は、図示しない非堆積性ガス供給源に接続されて
いる。プラズマ発生室4の上面は透明な光導入窓11と
なっており、さらに光導入窓11の上方に近接して光源
である照明系10が設けられている。
The side wall of the plasma generating chamber 4 is composed of a quartz tube 5, and an electrode 6 for generating a high frequency or microwave plasma discharge in the plasma generating chamber 4 is attached to the outside of the quartz tube 5. The electrode 6 is connected to a high frequency power source or a microwave power source (not shown). Inside the plasma generating chamber 4, a non-depositing gas introducing pipe 7 for introducing a non-depositing gas used for generating plasma into the plasma generating chamber 4 is provided along the inner surface of the quartz pipe 5. Are provided. The non-depositing gas introduction pipe 7 is connected to a non-depositing gas supply source (not shown). A transparent light introduction window 11 is provided on the upper surface of the plasma generation chamber 4, and an illumination system 10 which is a light source is provided above and adjacent to the light introduction window 11.

【0027】次に、この薄膜形成装置の動作について説
明する。
Next, the operation of this thin film forming apparatus will be described.

【0028】まず、基体2を支持体3に保持し、反応室
1とプラズマ発生室4とを排気する。多孔拡散板12に
は多数の貫通孔が設けられているので、反応室1側に設
けられた排気口9から排気することにより、反応室1の
みならずプラズマ発生室4も排気されることになる。所
定の真空度まで排気されたら、照明系10を作動させ
る。照明系10からの光は、光導入窓11、多孔拡散板
12を透過して基体2の表面に入射する。これと同時
に、プラズマ発生用のガスを非堆積性ガス導入管7から
プラズマ発生室4内に導入し、原料ガスである堆積性の
ガスを原料ガス導入管8から反応室1内に導入する。ま
た、電極6に高周波電力印加する。このとき、反応室1
側から排気しているので、プラズマ発生室4の方が反応
室1よりも圧力が高くなる。
First, the substrate 2 is held on the support 3, and the reaction chamber 1 and the plasma generation chamber 4 are evacuated. Since the porous diffusion plate 12 is provided with a large number of through holes, by exhausting from the exhaust port 9 provided on the reaction chamber 1 side, not only the reaction chamber 1 but also the plasma generation chamber 4 is exhausted. Become. When the vacuum is exhausted to a predetermined vacuum level, the illumination system 10 is activated. Light from the illumination system 10 passes through the light introduction window 11 and the porous diffusion plate 12 and enters the surface of the base 2. At the same time, a gas for plasma generation is introduced into the plasma generation chamber 4 from the non-depositing gas introduction pipe 7, and a deposition gas which is a raw material gas is introduced into the reaction chamber 1 from the raw material gas introduction pipe 8. Further, high frequency power is applied to the electrode 6. At this time, the reaction chamber 1
Since the gas is exhausted from the side, the pressure in the plasma generation chamber 4 becomes higher than that in the reaction chamber 1.

【0029】その結果、プラズマ発生室4内でプラズマ
放電が生起し、非堆積性のガスがプラズマで励起され、
励起されたガスは多孔拡散板12を通過して反応室1内
に移動する。反応室1内では、励起されたガスと堆積性
のガスとが反応し、基体2上への成膜が行なわれる。こ
のとき、反応室1側の面が光を散乱する拡散面である多
孔拡散板12を用いているので、照明系10からの光が
この多孔拡散板で拡散され、基体2上での照度が均一に
なり、基体2の上には均質に成膜が行なわれることにな
る。また、プラズマ発生室4の方が反応室1よりも圧力
が高いから、堆積性のガスがプラズマ発生室4側に拡散
することはなく、このため、光入射窓11などプラズマ
発生室4内での膜の付着が抑えられる。多孔拡散板12
上には膜が多少は付着するが、付着した膜の凹凸によっ
て光の散乱が新たに発生したとしても、予め拡散面によ
って照明系10からの光が散乱しているので、基体2上
での照度の変化は少ない。
As a result, plasma discharge occurs in the plasma generation chamber 4, and the non-depositing gas is excited by plasma,
The excited gas passes through the porous diffusion plate 12 and moves into the reaction chamber 1. In the reaction chamber 1, the excited gas reacts with the deposition gas to form a film on the substrate 2. At this time, since the porous diffusion plate 12 whose surface on the reaction chamber 1 side is a diffusion surface that scatters light is used, the light from the illumination system 10 is diffused by this porous diffusion plate, and the illuminance on the substrate 2 is increased. It becomes uniform, and the film is uniformly formed on the substrate 2. Moreover, since the pressure in the plasma generation chamber 4 is higher than that in the reaction chamber 1, the deposition gas does not diffuse to the plasma generation chamber 4 side. Therefore, in the plasma generation chamber 4 such as the light entrance window 11, The adhesion of the film is suppressed. Porous diffusion plate 12
Although the film adheres to the upper part to some extent, the light from the illumination system 10 is scattered by the diffusing surface in advance even if the scattering of the light newly occurs due to the unevenness of the adhered film. There is little change in illuminance.

【0030】所定の厚さの成膜が完了すれば、電極6へ
の高周波あるいはマイクロ波電力の印加を終らせ、堆積
性および非堆積性のガスの導入を中止し、成膜された基
体2を取り出せばよい。
When the film having the predetermined thickness is completed, the application of the high frequency or microwave power to the electrode 6 is stopped, the introduction of the depositing gas and the non-depositing gas is stopped, and the film-formed substrate 2 is formed. Just take out.

【0031】[実施例2]上述の実施例1においてプラ
ズマ発生に高周波を利用し、基体2上にSiO2膜を成
膜する例である。図2は、この実施例2に使用した薄膜
形成装置の構成を示す模式断面図である。
[Embodiment 2] This is an example of forming a SiO 2 film on the substrate 2 by utilizing high frequency for plasma generation in the above Embodiment 1. FIG. 2 is a schematic cross-sectional view showing the structure of the thin film forming apparatus used in the second embodiment.

【0032】この薄膜形成装置では、実施例1に示した
ものに加え、電界に垂直な磁界を印加してプラズマを発
生させるために、電極6の内部に磁石13がその磁極軸
が上下を向くように設けられている。また電極6は高周
波電源25に接続されている。照明系10としては、平
行光束を出射するキセノンランプとインテグレータとで
構成されたものを用いた。多孔拡散板12として、貫通
孔の直径が2mmであり、開口率が2%であり、反応室
1側の面が0.2mmの粗さでスリガラス化された溶融
石英板を用いた。基体として直径150mmのものを用
いたところ、この基体2上での照度のばらつきは±2%
であった。この照度のばらつきは、拡散面を持たない従
来の多孔透明平板を用いた場合のばらつきの値±5%に
比べ、向上している。
In this thin film forming apparatus, in addition to the one shown in the first embodiment, in order to generate a plasma by applying a magnetic field perpendicular to the electric field, the magnet 13 has its magnetic pole axis oriented vertically inside the electrode 6. Is provided. The electrode 6 is connected to the high frequency power supply 25. As the illumination system 10, a system including a xenon lamp that emits a parallel light flux and an integrator was used. As the porous diffusion plate 12, a fused silica plate having a through hole diameter of 2 mm, an opening ratio of 2%, and a surface on the reaction chamber 1 side that was frosted with a roughness of 0.2 mm was used. When a substrate having a diameter of 150 mm was used, the illuminance variation on this substrate 2 was ± 2%.
Met. This variation in illuminance is improved compared to the variation value of ± 5% when the conventional porous transparent flat plate having no diffusion surface is used.

【0033】非堆積性のガスとしてO2ガス2slm
(ただし1slm=1000sccm)をプラズマ発生
室4内に供給し、堆積性のガスとしてテトラエチルオル
ソシリケート200sccmを反応室1内に供給し、電
極6に1kWの高周波電力を加えてプラズマを発生さ
せ、成膜を行なった、各2分間の成膜を100回行なっ
た後、光導入窓11の透過率を測定したところ、成膜開
始前とほとんど変わりがなかった。また、多孔拡散板1
2の中心から50mm離れたところでの垂直透過率は6
1%であり、成膜前の値64%からの変化は小さかっ
た。
O 2 gas 2 slm as non-depositing gas
(However, 1 slm = 1000 sccm) is supplied into the plasma generation chamber 4, tetraethyl orthosilicate 200 sccm as a deposition gas is supplied into the reaction chamber 1, and high-frequency power of 1 kW is applied to the electrode 6 to generate plasma to generate plasma. The film was formed, and the film was formed 100 times for 2 minutes each. After that, the transmittance of the light introducing window 11 was measured. In addition, the porous diffusion plate 1
The vertical transmittance at a distance of 50 mm from the center of 2 is 6
The value was 1%, and the change from the value before film formation of 64% was small.

【0034】一方、多孔拡散板12の代わりに拡散面を
持たない従来の多孔透明平板を使用した場合には、成膜
前の透過率89%が成膜後には63%にまで低下し、基
体上での照度の変化が大きいことがわかった。すなわ
ち、本実施例の多孔拡散板12を用いた方が、従来の多
孔透明平板を用いた場合に比べ、絶対照度は低下する
が、照度の均一性が向上し、成膜前後での照度の変化も
少なくなり、実用的に優れていることがわかった。
On the other hand, when a conventional porous transparent flat plate having no diffusing surface is used instead of the porous diffusion plate 12, the transmittance 89% before film formation is lowered to 63% after film formation, and It was found that the change in illuminance above was large. That is, when the porous diffusion plate 12 of the present example is used, the absolute illuminance is reduced, but the uniformity of the illuminance is improved and the illuminance before and after film formation is improved compared with the case where the conventional porous transparent flat plate is used. It was found that there were few changes and that it was practically excellent.

【0035】[実施例3]次に、本発明の実施例3につ
いて説明する。この実施例は第2の発明に対応するもの
である。図3はこの実施例3における薄膜形成装置の構
成を示す模式断面図、図4は多孔拡散板の部分平面図、
図5は図4のA−A'線での部分断面図である。
[Third Embodiment] Next, a third embodiment of the present invention will be described. This embodiment corresponds to the second invention. FIG. 3 is a schematic cross-sectional view showing the structure of the thin film forming apparatus in this Embodiment 3, FIG. 4 is a partial plan view of a porous diffusion plate,
FIG. 5 is a partial cross-sectional view taken along the line AA ′ of FIG.

【0036】この薄膜形成装置は、実施例1に示したも
のと同様のものであるが、堆積性のガスを多孔拡散板1
5の内部を介して反応室1に導入させる点と、プラズマ
放電を利用しない点で、実施例1のものと異なってい
る。したがって、反応室1の内部には原料ガス導入管は
設けられておらず、また、プラズマ発生室の代わりに円
筒形状のパージ室14が設けられている。このパージ室
14は、実施例1のプラズマ発生室4(図1)と同様
に、底面が多孔拡散板15であり、上面が光導入窓11
となっており、また非堆積性ガス導入管7が内部に設け
られている。光導入窓11に近接して照明系10が設け
られていることも同様である。非堆積性ガス導入管7か
らは、いわゆるパージガスなどの非堆積性のガスがこの
パージ室14に導入されるようになっている。さらに、
反応室1内の支持体3には、基体2を加熱するためのヒ
ータ20が取り付けられている。
This thin film forming apparatus is the same as that shown in the first embodiment, but the deposition gas is added to the porous diffusion plate 1.
5 is different from that of Example 1 in that it is introduced into the reaction chamber 1 through the inside of No. 5 and that plasma discharge is not used. Therefore, a source gas introduction pipe is not provided inside the reaction chamber 1, and a cylindrical purge chamber 14 is provided instead of the plasma generation chamber. Similar to the plasma generation chamber 4 (FIG. 1) of the first embodiment, this purge chamber 14 has a porous diffuser plate 15 on the bottom surface and the light introduction window 11 on the upper surface.
In addition, the non-depositing gas introduction pipe 7 is provided inside. It is also the same that the illumination system 10 is provided close to the light introduction window 11. A non-depositing gas such as a so-called purge gas is introduced into the purge chamber 14 from the non-depositing gas introducing pipe 7. further,
A heater 20 for heating the substrate 2 is attached to the support 3 in the reaction chamber 1.

【0037】ここで、本実施例で用いられる多孔拡散板
15の詳細について説明する。この多孔拡散板15は、
図4および図5に示すように、間隔をあけて配置され光
を通す2枚の透光性板18,19からなっている。下側
すなわち反応室1側の透光性板18には多数の噴出孔1
7が設けられ、2枚の透光性板18,19の間を流れる
堆積性のガスがこの噴出孔17を通って反応室1に供給
されるようになっている。さらに、上側の透光性板19
と下側の透光性板18とを連絡する管状の貫通孔16が
設けられている。貫通孔16は管状であるので、パージ
室14からの非堆積性のガスが2枚の透光性板18,1
9の間の空間に進入することはないようになっている。
なお、貫通孔16、噴出孔17ともその内径は3mm以
下となっている。また、2枚の透光性板18,19の間
の空間は、堆積性のガスの供給源(不図示)に接続さ
れ、また、下側の透光性板18には、上述の実施例1と
同様にスリガラス処理が施されている。
The details of the porous diffusion plate 15 used in this embodiment will be described below. This porous diffusion plate 15 is
As shown in FIGS. 4 and 5, it is composed of two light-transmissive plates 18 and 19 which are arranged at intervals and allow light to pass therethrough. A large number of ejection holes 1 are formed in the translucent plate 18 on the lower side, that is, the reaction chamber 1 side.
7 is provided so that the deposition gas flowing between the two translucent plates 18 and 19 is supplied to the reaction chamber 1 through the ejection holes 17. Further, the upper transparent plate 19
A tubular through hole 16 is provided to connect the transparent plate 18 and the lower transparent plate 18 to each other. Since the through hole 16 has a tubular shape, the non-depositing gas from the purge chamber 14 has two translucent plates 18 and 1.
It is designed not to enter the space between the nine.
The inner diameter of each of the through hole 16 and the ejection hole 17 is 3 mm or less. The space between the two light-transmitting plates 18 and 19 is connected to a supply source (not shown) of the deposition gas, and the lower light-transmitting plate 18 has the above-mentioned embodiment. The same frosted glass treatment as in No. 1 is applied.

【0038】この薄膜形成装置を用い、シリコン基板を
基体2として使用し、光CVD法により、基体2上に保
護膜用のSiN膜を形成した。まず、基体2を支持体3
上に保持し、反応室1およびパージ室14内を所定の真
空度まで排気した後、基体2をヒータ20により室温か
ら数百℃の所望の温度に加熱した。続いて、非堆積性ガ
ス導入管7からNH3ガスをパージ室14に供給し、多
孔拡散板15の噴出孔17からSiH4ガスを反応室1
内に供給し、排気口9に接続されたコンダクタンスバル
ブ(不図示)を調節して反応室1内を1〜20Torrの所
望の圧力に保持した。そして、照明系10からの光が、
光導入窓11、多孔拡散板15を通して、基体2上に照
射されるようにした。
Using this thin film forming apparatus, a silicon substrate was used as the substrate 2, and a SiN film for a protective film was formed on the substrate 2 by the photo-CVD method. First, the base 2 and the support 3
After holding it above and evacuating the reaction chamber 1 and the purge chamber 14 to a predetermined vacuum degree, the substrate 2 was heated by the heater 20 from room temperature to a desired temperature of several hundreds of degrees Celsius. Then, NH 3 gas is supplied to the purge chamber 14 from the non-depositing gas introduction pipe 7, and SiH 4 gas is supplied from the ejection holes 17 of the porous diffusion plate 15 to the reaction chamber 1.
The inside of the reaction chamber 1 was maintained at a desired pressure of 1 to 20 Torr by adjusting a conductance valve (not shown) connected to the exhaust port 9 and supplied to the inside. Then, the light from the illumination system 10
The substrate 2 is irradiated with light through the light introducing window 11 and the porous diffusion plate 15.

【0039】その結果、貫通孔16からNH3ガスが、
また噴出孔17からSiH4ガスがそれぞれ基体2に向
かって均等に流れることになり、所望の膜厚が得られる
まで成膜を行なったところ、基体2の上に良質なSiN
膜が均一に成膜された。
As a result, NH 3 gas from the through hole 16
Further, SiH 4 gas uniformly flows from the ejection holes 17 toward the substrate 2, and when film formation is performed until a desired film thickness is obtained, a high-quality SiN film is formed on the substrate 2.
The film was formed uniformly.

【0040】反応室1やパージ室14に供給されるガス
を変えることにより、SiN,SiO2,Ta25,Al2
3,AlNなどの絶縁体、非晶質Si,多結晶Si,Ga
Asなどの半導体、Al,Wなどの金属の成膜が可能で
ある。
By changing the gas supplied to the reaction chamber 1 and the purge chamber 14, SiN, SiO 2 , Ta 2 O 5 , Al 2
Insulators such as O 3 and AlN, amorphous Si, polycrystalline Si, Ga
It is possible to deposit a semiconductor such as As or a metal such as Al or W.

【0041】[実施例4]次に、本発明の実施例4につ
いて説明する。この実施例は第3の発明に対応するもの
である。図6はこの実施例4における薄膜形成装置の構
成を示す模式断面図である。
[Fourth Embodiment] Next, a fourth embodiment of the present invention will be described. This embodiment corresponds to the third invention. FIG. 6 is a schematic cross-sectional view showing the structure of the thin film forming apparatus according to the fourth embodiment.

【0042】この薄膜形成装置は、実施例1に示したも
のと同様のものであるが、堆積性のガスを多孔拡散板1
5の内部を介して反応室1に導入させる点で、実施例1
のものと異なっている。したがって、反応室1の内部に
は原料ガス導入管は設けられておらず、多孔拡散板15
として上述の実施例3で使用されたものが使用されてい
る。また、電界に垂直な磁界を印加してプラズマを発生
させるために、電極6の内部に磁石13がその磁極軸が
上下を向くように設けられている。電極6は図示しない
高周波電源に接続されている。さらに、反応室1内の支
持体3には、基体2を加熱するためのヒータ20が取り
付けられている。
This thin film forming apparatus is the same as that shown in the first embodiment, but the deposition gas is added to the porous diffusion plate 1.
Example 1 in that it is introduced into the reaction chamber 1 through the inside of
Is different from the one. Therefore, the source gas introduction pipe is not provided inside the reaction chamber 1, and the porous diffusion plate 15
The same as that used in the above-mentioned third embodiment is used as. Further, in order to generate a plasma by applying a magnetic field perpendicular to the electric field, a magnet 13 is provided inside the electrode 6 such that its magnetic pole axis is oriented vertically. The electrode 6 is connected to a high frequency power source (not shown). Further, a heater 20 for heating the substrate 2 is attached to the support 3 in the reaction chamber 1.

【0043】この薄膜形成装置を用い、シリコン基板を
基体2として使用し、光アシスト・プラズマCVD法に
より、基体2上に層間絶縁用のSiO2膜を形成した。
まず、基体2を支持体3上に保持し、照明系10からの
光が、光導入窓11、多孔拡散板15を通して、基体2
上に照射されるようにした。反応室1およびプラズマ発
生室4内を所定の真空度まで排気した後、基体2をヒー
タ20により室温から数百℃の所望の温度に加熱した。
続いて、非堆積性ガス導入管7からO2ガスをプラズマ
発生室4に供給し、多孔拡散板15の噴出孔17からテ
トラエチルオルソシリケートを反応室1内に供給し、排
気口9に接続されたコンダクタンスバルブ(不図示)を
調節して反応室内を0.1〜0.5Torrの所望の圧力に保
持した。そして、電極6に高周波電力を供給した。
Using this thin film forming apparatus, a silicon substrate was used as the substrate 2, and an SiO 2 film for interlayer insulation was formed on the substrate 2 by the photo-assisted plasma CVD method.
First, the base 2 is held on the support 3, and the light from the illumination system 10 passes through the light introduction window 11 and the porous diffuser plate 15 and the base 2
It was made to irradiate on. After evacuating the reaction chamber 1 and the plasma generation chamber 4 to a predetermined degree of vacuum, the substrate 2 was heated by the heater 20 from room temperature to a desired temperature of several hundreds of degrees Celsius.
Subsequently, O 2 gas was supplied to the plasma generation chamber 4 from the non-depositing gas introduction pipe 7, and tetraethyl orthosilicate was supplied to the reaction chamber 1 from the ejection holes 17 of the porous diffusion plate 15, and was connected to the exhaust port 9. A conductance valve (not shown) was adjusted to maintain the reaction chamber at a desired pressure of 0.1 to 0.5 Torr. Then, high frequency power was supplied to the electrode 6.

【0044】その結果、電極6には磁石13が設けられ
ているので、プラズマ発生室4内には、電極6の近傍に
局在化するプラズマが発生し、O2ガスが励起され、励
起されたO2ガスとテトラエチルオルソシリケートと
は、それぞれ貫通孔16および噴出孔17から基体2に
向かって均等に流れることになる。所望の膜厚が得られ
るまで成膜を行なったところ、基体2の上に良質なSi
2膜が均一に成膜された。 反応室1やプラズマ発生
室4に供給されるガスを変えることにより、SiN,S
iO2,Ta25,Al23,AlNなどの絶縁体、非晶質
Si,多結晶Si,GaAsなどの半導体、Al,Wなど
の金属の成膜が可能である。
As a result, since the electrode 13 is provided with the magnet 13, a plasma localized in the vicinity of the electrode 6 is generated in the plasma generation chamber 4, and O 2 gas is excited and excited. Further, the O 2 gas and the tetraethyl orthosilicate will flow evenly toward the substrate 2 from the through holes 16 and the ejection holes 17, respectively. When film formation was performed until a desired film thickness was obtained, a good quality Si was formed on the substrate 2.
The O 2 film was uniformly formed. By changing the gas supplied to the reaction chamber 1 and the plasma generation chamber 4, SiN, S
It is possible to form an insulator such as iO 2 , Ta 2 O 5 , Al 2 O 3 or AlN, a semiconductor such as amorphous Si, polycrystalline Si or GaAs, or a metal such as Al or W.

【0045】[実施例5]次に、本発明の実施例5につ
いて説明する。この実施例は第4の発明に対応するもの
である。図7はこの実施例5における薄膜形成装置の構
成を示す模式断面図である。
[Embodiment 5] Next, Embodiment 5 of the present invention will be described. This embodiment corresponds to the fourth invention. FIG. 7 is a schematic cross-sectional view showing the structure of the thin film forming apparatus according to the fifth embodiment.

【0046】この薄膜形成装置は光CVD法によるもの
であり、円筒形状の反応容器21からなり、この反応容
器21の底面には、上述の各実施例と同様に、排気口9
が設けられ、さらに基体2を保持するための支持体3が
設けられている。支持体3には、基体2を加熱するため
のヒータ20が取り付けられている。一方、反応容器2
1の上面は光導入窓11となっており、光導入窓11に
近接して照明系10が設けられている。
This thin film forming apparatus is based on the photo-CVD method, and comprises a cylindrical reaction vessel 21. The bottom surface of this reaction vessel 21 is the exhaust port 9 as in the above-mentioned embodiments.
And a support 3 for holding the base body 2. A heater 20 for heating the base body 2 is attached to the support body 3. On the other hand, the reaction container 2
The upper surface of 1 is a light introduction window 11, and an illumination system 10 is provided near the light introduction window 11.

【0047】反応容器21の基体2の真上に当たる位置
には、透明な細管からなる第1のガス導入管22が設け
られている。この第1のガス導入管22は、矩形の断面
であり、基体2に向いた面(図示下側の面)に多数の噴
出孔が設けられている。また、第2のガス導入管23
が、基体2の真上には来ないように、基体2に対して斜
め上方に位置するよう反応容器21内に設けられてい
る。この第2のガス導入管23は、基体2に向かうよう
にその側面に噴出孔が設けられている。これら第1およ
び第2のガス導入管22,23は、同一の原料ガスを反
応容器21内に供給するためのものであるが、それぞれ
独立に流量を制御できるようになっている。さらに、光
導入窓11に近接して第3のガス導入管24が設けられ
ている。この第3のガス導入管24は、第1および第2
のガス導入管22,23とは異なるガスを反応容器21
内に供給するためのものである。この場合、基体2の上
方に設けられた第1のガス導入管22は透明なので、第
1のガス導入管22の影が基体2上に生じることはな
く、また第1のガス導入管22の断面が矩形であるの
で、照明系11からの光が意図せずに集光されることも
ない。したがって、第1のガス導入管22を設けたこと
による基体2上の照度の不均一は発生しない。
A first gas introduction pipe 22 made of a transparent thin tube is provided at a position directly above the substrate 2 of the reaction vessel 21. The first gas introduction pipe 22 has a rectangular cross section, and a large number of ejection holes are provided on the surface facing the base body 2 (the lower surface in the drawing). In addition, the second gas introduction pipe 23
Is provided in the reaction container 21 so as to be positioned obliquely above the substrate 2 so as not to be directly above the substrate 2. The second gas introduction pipe 23 is provided with ejection holes on its side surface so as to face the base 2. Although these first and second gas introduction pipes 22 and 23 are for supplying the same source gas into the reaction vessel 21, their flow rates can be independently controlled. Further, a third gas introduction pipe 24 is provided near the light introduction window 11. This third gas introduction pipe 24 is connected to the first and second
Gas different from the gas introduction pipes 22 and 23 of the reaction vessel 21
It is for supplying inside. In this case, since the first gas introduction pipe 22 provided above the base 2 is transparent, the shadow of the first gas introduction pipe 22 does not occur on the base 2, and the first gas introduction pipe 22 does not have a shadow. Since the cross section is rectangular, the light from the illumination system 11 will not be unintentionally collected. Therefore, the unevenness of the illuminance on the substrate 2 due to the provision of the first gas introduction pipe 22 does not occur.

【0048】この薄膜形成装置を用い、シリコン基板を
基体2として使用し、基体2上にSiO2膜を形成し
た。まず、基体2を支持体3上に保持し、反応容器21
内を所定の真空度まで排気した後、基体2をヒータ20
により室温から数百℃の所望の温度に加熱した。第1お
よび第2のガス導入管22,23からSiH4ガスを反応
容器21内に供給し、第3のガス導入管24からN2
ガスを反応容器21内に供給し、排気口9に接続された
コンダクタンスバルブ(不図示)を調節して反応容器2
1内を1〜20Torrの所望の圧力に保持した。そして、
照明系10からの光が、光導入窓11を通して、基体2
上に照射されるようにした。このとき、第1のガス導入
管22と第2のガス導入管23の流量をそれぞれ制御
し、基体2上へ均等にSiH4ガスが供給されるように
した。
Using this thin film forming apparatus, a silicon substrate was used as the substrate 2, and a SiO 2 film was formed on the substrate 2. First, the substrate 2 is held on the support 3 and the reaction container 21
After the inside is evacuated to a predetermined degree of vacuum, the base 2 is heated by the heater 20.
Was heated from room temperature to the desired temperature of several hundred ° C. SiH 4 gas is supplied into the reaction vessel 21 from the first and second gas introduction pipes 22 and 23, and N 2 O is supplied from the third gas introduction pipe 24.
Gas is supplied into the reaction container 21, and a conductance valve (not shown) connected to the exhaust port 9 is adjusted to adjust the reaction container 2
The inside of 1 was maintained at the desired pressure of 1 to 20 Torr. And
Light from the illumination system 10 passes through the light introduction window 11 and the substrate 2
It was made to irradiate on. At this time, the flow rates of the first gas introduction pipe 22 and the second gas introduction pipe 23 were respectively controlled so that the SiH 4 gas was uniformly supplied onto the substrate 2.

【0049】その結果、原料ガスが均等に基体2上に供
給され、基体2上の照度も均一であるので、良質なSi
2膜が均一に成膜された。
As a result, the raw material gas is evenly supplied onto the substrate 2 and the illuminance on the substrate 2 is also uniform, so that a high-quality Si is obtained.
The O 2 film was uniformly formed.

【0050】反応容器21に供給されるガスを変えるこ
とにより、SiN,SiO2,Ta2 5,Al23,AlN
などの絶縁体、非晶質Si,多結晶Si,GaAsなどの
半導体、Al,Wなどの金属の成膜が可能である。
The gas supplied to the reaction vessel 21 can be changed.
With, SiN, SiO2, Ta2O Five, Al2O3, AlN
Insulators such as, amorphous Si, polycrystalline Si, GaAs, etc.
It is possible to deposit a metal such as a semiconductor or Al or W.

【0051】[実施例6]次に、本発明の実施例6につ
いて説明する。この実施例は第5の発明に対応するもの
である。図8はこの実施例6における薄膜形成装置の構
成を示す模式断面図、図9は、この薄膜形成装置を用い
てSiO2膜を堆積させたときの、基体2の中心部およ
び周辺部での成膜速度と反応室1内の圧力との関係を示
す特性図である。
[Sixth Embodiment] Next, a sixth embodiment of the present invention will be described. This embodiment corresponds to the fifth invention. FIG. 8 is a schematic cross-sectional view showing the structure of the thin film forming apparatus according to the sixth embodiment, and FIG. 9 shows the central portion and the peripheral portion of the substrate 2 when a SiO 2 film is deposited using this thin film forming apparatus. It is a characteristic view which shows the relationship between the film-forming speed and the pressure in the reaction chamber 1.

【0052】この薄膜形成装置は、実施例1で示したも
のと同様のものであるが、原料ガス導入管の代わりに、
反応室1内に上述の実施例5における第1および第2の
ガス導入管22,23を設けた構成となっている。ま
た、電界に垂直な磁界を印加してプラズマを発生させる
ために、電極6の内部に磁石13がその磁極軸が上下を
向くように設けられている。電極6は図示しない高周波
電源に接続されている。さらに、反応室1内の支持体3
には、基体2を加熱するためのヒータ20が取り付けら
れている。なお、多孔拡散板12における貫通孔の直径
と開口率は、実施例1における範囲に限定されているわ
けではない。
This thin film forming apparatus is the same as that shown in Example 1, except that the source gas introduction pipe is used instead of the source gas introducing pipe.
The reaction chamber 1 is provided with the first and second gas introduction pipes 22 and 23 of the fifth embodiment. Further, in order to generate a plasma by applying a magnetic field perpendicular to the electric field, a magnet 13 is provided inside the electrode 6 such that its magnetic pole axis is oriented vertically. The electrode 6 is connected to a high frequency power source (not shown). Further, the support 3 in the reaction chamber 1
A heater 20 for heating the substrate 2 is attached to the. The diameter of the through holes and the aperture ratio in the porous diffusion plate 12 are not limited to the ranges in the first embodiment.

【0053】この薄膜形成装置を用い、シリコン基板を
基体2として使用し、光アシスト・プラズマCVD法に
より、基体2上に層間絶縁用のSiO2膜を形成した。
まず、基体2を支持体3上に保持し、照明系10からの
光が、光導入窓11、多孔拡散板15を通して、基体2
上に照射されるようにした。反応室1およびプラズマ発
生室4内を所定の真空度まで排気した後、基体2をヒー
タ20により室温から数百℃の所望の温度に加熱した。
続いて、非堆積性ガス導入管7からO2ガスをプラズマ
発生室4に供給し、第1および第2のガス導入管22,
23からテトラエチルオルソシリケートを反応室1内に
供給し、排気口9に接続されたコンダクタンスバルブ
(不図示)を調節して反応室内を0.1〜0.5Torrの所
望の圧力に保持した。またこのとき、第1のガス導入管
22と第2のガス導入管23の流量をそれぞれ制御し、
基体2上へ均等にテトラエチルオルソシリケートガスが
供給されるようにした。そして、電極6に高周波電力を
供給した。
Using this thin film forming apparatus, a silicon substrate was used as the substrate 2, and an SiO 2 film for interlayer insulation was formed on the substrate 2 by the photo-assisted plasma CVD method.
First, the base 2 is held on the support 3, and the light from the illumination system 10 passes through the light introduction window 11 and the porous diffuser plate 15 and the base 2
It was made to irradiate on. After evacuating the reaction chamber 1 and the plasma generation chamber 4 to a predetermined degree of vacuum, the substrate 2 was heated by the heater 20 from room temperature to a desired temperature of several hundreds of degrees Celsius.
Then, O 2 gas is supplied to the plasma generation chamber 4 from the non-depositing gas introducing pipe 7, and the first and second gas introducing pipes 22,
Tetraethyl orthosilicate was supplied from 23 to the reaction chamber 1, and a conductance valve (not shown) connected to the exhaust port 9 was adjusted to maintain the reaction chamber at a desired pressure of 0.1 to 0.5 Torr. At this time, the flow rates of the first gas introduction pipe 22 and the second gas introduction pipe 23 are controlled,
The tetraethyl orthosilicate gas was evenly supplied onto the substrate 2. Then, high frequency power was supplied to the electrode 6.

【0054】その結果、電極6には磁石13が設けられ
ているので、プラズマ発生室4内には、電極6の近傍に
局在化するプラズマが発生し、O2ガスが励起され、励
起されたO2ガスは、多孔拡散板12を通って反応室1
に供給されることになる。反応室1では、照明系10か
らの光の存在下で励起されたO2ガスとテトラエチルオ
ルソシリケートとが反応して基体2上にSiO2膜が形
成されることになるが、基体2上の照度と基体2へのテ
トラエチルオルソシリケートガスの供給が均一であるの
で、所望の膜厚が得られるまで成膜を行なったところ、
基体2の上に良質なSiO2膜が均一に成膜された。
As a result, since the electrode 13 is provided with the magnet 13, a plasma localized in the vicinity of the electrode 6 is generated in the plasma generation chamber 4, and O 2 gas is excited and excited. The O 2 gas passes through the porous diffusion plate 12 and the reaction chamber 1
Will be supplied to. In the reaction chamber 1, the O 2 gas excited in the presence of light from the illumination system 10 reacts with tetraethyl orthosilicate to form a SiO 2 film on the substrate 2, but on the substrate 2. Since the illuminance and the supply of the tetraethyl orthosilicate gas to the substrate 2 are uniform, when film formation was performed until a desired film thickness was obtained,
A good quality SiO 2 film was uniformly formed on the substrate 2.

【0055】ここで、反応室1内の圧力と基体2の中心
部および周辺部のそれぞれでの成膜速度との関係を調べ
たところ、図9に示したもののようになり、全体として
は圧力の増加につれて成膜速度も増加したが、基体2の
中心部と周辺部とでの成膜速度の差はほとんどなかっ
た。
Here, when the relationship between the pressure in the reaction chamber 1 and the film forming rates at the central portion and the peripheral portion of the substrate 2 was examined, it was as shown in FIG. 9, and the pressure as a whole was found. Although the film forming rate also increased with increasing, the difference in film forming rate between the central portion and the peripheral portion of the substrate 2 was almost zero.

【0056】反応室1やプラズマ発生室4に供給される
ガスを変えることにより、SiN,SiO2,Ta25,A
23,AlNなどの絶縁体、非晶質Si,多結晶Si,
GaAsなどの半導体、Al,Wなどの金属の成膜が可
能である。
By changing the gas supplied to the reaction chamber 1 and the plasma generation chamber 4, SiN, SiO 2 , Ta 2 O 5 , A
Insulators such as l 2 O 3 and AlN, amorphous Si, polycrystalline Si,
It is possible to deposit a semiconductor such as GaAs or a metal such as Al or W.

【0057】[比較例1]上述の実施例6の薄膜形成装
置を用い、テトラエチルオルソシリケートの反応室1へ
の供給を第2のガス導入管23すなわち基体2の周辺部
からのみ行い、他は実施例6と同じ条件にして成膜を行
なった。このときの反応室1内の圧力と基体2の中心部
および周辺部のそれぞれでの成膜速度との関係を調べた
ところ、図10に示したもののようになり、基体2の周
辺部と中心部での成膜速度は圧力が0.2Torr以下では
ほとんど差がなく、0.2Torrを越えるとともに差が拡
がりだし、0.5Torrでは約2倍の差となった。
Comparative Example 1 Using the thin film forming apparatus of Example 6 described above, tetraethylorthosilicate was supplied to the reaction chamber 1 only from the second gas introducing pipe 23, that is, the peripheral portion of the substrate 2, and the others were used. Film formation was performed under the same conditions as in Example 6. When the relationship between the pressure in the reaction chamber 1 and the film forming rates at the central portion and the peripheral portion of the substrate 2 at this time was examined, it was as shown in FIG. There was almost no difference in the film forming rate in the area when the pressure was 0.2 Torr or less, and the difference began to widen as the pressure exceeded 0.2 Torr, and the difference was doubled at 0.5 Torr.

【0058】[比較例2]上述の実施例6の薄膜形成装
置を用い、テトラエチルオルソシリケートの反応室1へ
の供給を第1のガス導入管23すなわち基体2の中央部
に対応するものからのみ行い、他は実施例6と同じ条件
にして成膜を行なった。このときの反応室1内の圧力と
基体2の中心部および周辺部のそれぞれでの成膜速度と
の関係を調べたところ、図11に示したもののようにな
り、基体2の周辺部と中心部での成膜速度は圧力が0.
2Torr以下ではほとんど差がなく、0.2Torrを越える
とともに差が拡がりだし、0.5Torrでは約2.5倍の差
となった。
Comparative Example 2 Using the thin film forming apparatus of Example 6 described above, the tetraethyl orthosilicate is supplied to the reaction chamber 1 only from the first gas introduction pipe 23, that is, the one corresponding to the central portion of the substrate 2. The film formation was performed under the same conditions as in Example 6 except for the above. When the relationship between the pressure in the reaction chamber 1 and the film forming rates at the central portion and the peripheral portion of the substrate 2 at this time was examined, it was as shown in FIG. The film forming speed in the part is 0.
At 2 Torr or less, there is almost no difference, and when it exceeds 0.2 Torr, the difference widens, and at 0.5 Torr, the difference is about 2.5 times.

【0059】以上の実施例5,6、比較例1,2より、基
体の周辺部と中央部のそれぞれに対応させて原料ガスを
導入することが、均一な成膜を行なうために有効である
ことがわかった。
From Examples 5 and 6 and Comparative Examples 1 and 2 described above, it is effective to introduce the source gas corresponding to each of the peripheral portion and the central portion of the substrate to achieve uniform film formation. I understood it.

【0060】[実施例7]次に、本発明の実施例7につ
いて説明する。この実施例は第6の発明によってSiO
2膜を形成しようとするものである。図12はこの実施
例7の実施に使用される薄膜形成装置の構成の一例を示
す模式断面図である。
[Seventh Embodiment] Next, a seventh embodiment of the present invention will be described. This embodiment is SiO 2 according to the sixth invention.
It is intended to form two films. FIG. 12 is a schematic cross-sectional view showing an example of the configuration of a thin film forming apparatus used for carrying out the seventh embodiment.

【0061】この薄膜形成装置は、プラズマCVD法に
よるものである。排気可能な円筒形状の反応容器31の
底面には、コンダクタンスバルブ(不図示)を介して図
示しない排気ポンプに接続される排気口39が設けられ
ている。排気口39の直上にあたる位置には支持体33
が設けられている。支持体33は、処理対象すなわち成
膜対象の基体32をその上面に保持するためのものであ
る。また、反応容器31の内部には、基体32に近接し
て、シリコン原子に結合した少なくとも1個の水酸基を
有するシラノールを反応容器31内に導入するためのシ
ラノールガス導入管38が設けられている。このシラノ
ールガス導入管38は、基体32の真上には来ないよう
に、基体32に対して斜め上方に配置され、反応容器3
1の外部に隣接して設けられた図示しないシラノール生
成装置に接続されている。シラノール生成装置は、例え
ばテトラエチルオルソシリケートと水との反応によって
シラノールを生成するものであり、生成したシラノール
は直ちにシラノールガス導入管38を介して反応容器3
1に供給されるようになっている。また、反応容器31
の天板に近接して、O2などの酸化性ガスを反応容器3
8に供給するための酸化性ガス供給管37が設けられて
いる。この酸化性ガス供給管37は、図示しない供給源
に接続されている。
This thin film forming apparatus is based on the plasma CVD method. An exhaust port 39 connected to an exhaust pump (not shown) via a conductance valve (not shown) is provided on the bottom surface of the cylindrical reaction container 31 that can be exhausted. The support 33 is provided at a position directly above the exhaust port 39.
Is provided. The support 33 is for holding the substrate 32 to be processed, that is, the film formation target on the upper surface thereof. Further, inside the reaction vessel 31, a silanol gas introduction pipe 38 for introducing the silanol having at least one hydroxyl group bonded to a silicon atom into the reaction vessel 31 is provided close to the base body 32. .. The silanol gas introducing pipe 38 is arranged obliquely above the base 32 so that it does not come directly above the base 32, and the reaction container 3
1 is connected to a silanol generator (not shown) provided adjacent to the outside. The silanol generation device is, for example, a device that generates silanol by the reaction of tetraethyl orthosilicate and water, and the generated silanol immediately passes through the silanol gas introduction pipe 38 and the reaction container 3
1 is supplied. In addition, the reaction container 31
Oxidizing gas such as O 2 is placed near the top plate of the reaction vessel 3
An oxidative gas supply pipe 37 for supplying the gas to the fuel cell 8 is provided. The oxidizing gas supply pipe 37 is connected to a supply source (not shown).

【0062】円筒形状の反応容器38の側壁の上側半分
は高周波電力を反応容器31内に導入するために石英管
35で構成され、石英管35の外側には、反応容器31
内で高周波放電を発生させるための電極36が取り付け
られ、電界に垂直な磁界を印加してプラズマを発生させ
るために、電極36の内部に磁石43がその磁極軸が上
下を向くように設けられている。この電極36は、高周
波電源45に接続されている。
The upper half of the side wall of the cylindrical reaction vessel 38 is composed of a quartz tube 35 for introducing high frequency power into the reaction vessel 31, and the reaction vessel 31 is provided outside the quartz tube 35.
An electrode 36 for generating a high frequency discharge is attached inside, and in order to generate a plasma by applying a magnetic field perpendicular to an electric field, a magnet 43 is provided inside the electrode 36 so that its magnetic pole axis faces up and down. ing. The electrode 36 is connected to the high frequency power supply 45.

【0063】次に、この薄膜形成装置でのSiO2膜の
成膜方法について説明する。
Next, a method of forming a SiO 2 film by this thin film forming apparatus will be described.

【0064】まず、支持体33上に基体32を設置し、
反応容器31内を排気した後、図示しない加熱手段によ
って基体32を室温から数百℃の所望の温度に加熱す
る。次に、酸化性ガス導入管37とシラノールガス導入
管38を介して、酸化性ガスとシラノールをそれぞれ反
応容器31内に供給し、排気口39に接続されたコンダ
クタンスバルブ(不図示)を調整して反応容器31内を
0.05〜1.0Torrの所望の圧力に保持する。さらに、
磁石43によって発生する数百G程度の磁界の存在下で
電極36に百〜千W程度の高周波電力を印加し、反応容
器31内のこの電極36の近傍にプラズマを発生させ、
酸化性ガスを励起する。その結果、励起された酸化性ガ
スとシラノールとが反応し、基体32上にSiO2膜が
形成される。所望の厚さまで成膜を行なったのち、成膜
後の基体32を反応容器31から取り出せばよい。
First, the base 32 is placed on the support 33,
After exhausting the inside of the reaction container 31, the base 32 is heated from room temperature to a desired temperature of several hundreds of degrees Celsius by a heating means (not shown). Next, oxidizing gas and silanol are supplied into the reaction vessel 31 through the oxidizing gas introducing pipe 37 and the silanol gas introducing pipe 38, respectively, and a conductance valve (not shown) connected to the exhaust port 39 is adjusted. Then, the inside of the reaction vessel 31 is maintained at a desired pressure of 0.05 to 1.0 Torr. further,
In the presence of a magnetic field of about several hundred G generated by the magnet 43, a high frequency power of about 100 to 1,000 W is applied to the electrode 36 to generate plasma near the electrode 36 in the reaction vessel 31,
Exciting oxidizing gas. As a result, the excited oxidizing gas reacts with silanol to form a SiO 2 film on the substrate 32. After forming the film to a desired thickness, the substrate 32 after the film formation may be taken out from the reaction container 31.

【0065】ここで、この薄膜形成装置を用いて実際に
成膜を行なった結果について説明する。酸化性ガスとし
てO2ガスを2slm、シラノールとして、直前にテト
ラエチルオルソシリケートと水とを反応させて生成した
シラノールを400sccm、それぞれ反応容器31に
供給し、反応容器31内の圧力を0.2Torr、基体32
の温度を300℃、電極36に投入される高周波電力を
500W、磁石43で発生する磁束密度を150Gとし
て成膜を行なった。その結果、水酸基や炭素が少なく、
良好なカバレージを有するSiO2膜が基体32上に形
成された。
Now, the result of actual film formation using this thin film forming apparatus will be described. O 2 gas was 2 slm as the oxidizing gas, silanol was used as the silanol, and 400 sccm of the silanol produced immediately by the reaction of tetraethyl orthosilicate and water was supplied to the reaction vessel 31, and the pressure in the reaction vessel 31 was set to 0.2 Torr, Base 32
The temperature was 300 ° C., the high frequency power applied to the electrode 36 was 500 W, and the magnetic flux density generated by the magnet 43 was 150 G. As a result, there are few hydroxyl groups and carbon,
A SiO 2 film having good coverage was formed on the substrate 32.

【0066】[実施例8]次に、本発明の実施例8につ
いて説明する。この実施例は、実施例2と同様の薄膜形
成装置を用いた光アシストプラズマCVD法により、第
6の発明の薄膜形成方法を実施し、層間絶縁用のSiO
2膜を形成するものである。
[Embodiment 8] Next, an embodiment 8 of the invention will be described. In this example, the thin film forming method of the sixth invention is carried out by the photo-assisted plasma CVD method using the same thin film forming apparatus as in Example 2, and SiO for interlayer insulation is used.
It forms two films.

【0067】この実施例における薄膜形成を説明する。
薄膜形成装置として実施例2(図2)でのものと同様の
ものを用いたが、多孔拡散板としては、少なくとも一方
の面が拡散面となっているものを用いた。この場合、多
孔拡散板の貫通孔の直径や開口率に関しては、実施例2
における範囲に限定されてはいない。
The thin film formation in this embodiment will be described.
A thin film forming apparatus similar to that used in Example 2 (FIG. 2) was used, but a porous diffusion plate having at least one surface as a diffusion surface was used. In this case, regarding the diameter and the opening ratio of the through hole of the porous diffusion plate,
It is not limited to the range in.

【0068】まず、支持体3上に基体2を設置し、反応
室1およびプラズマ発生室4内を排気する。図示しない
加熱手段によって基体2を室温から数百℃の所望の温度
に加熱するとともに、キセノンランプを光源とする照明
系10からの光を光導入窓11、多孔拡散板12を透過
させて基体2に照射する。次に、非堆積性ガス導入管7
から酸化性ガスをプラズマ発生室4に供給し、原料ガス
導入管8からシリコン原子に結合した少なくとも1個の
水酸基を有するシラノールを反応室1に供給し、排気口
9に接続されたコンダクタンスバルブ(不図示)によっ
て反応室1内を0.05〜1.0Torrの所望の圧力に保持
する。さらに、磁石13によって発生する数百G程度の
磁界の存在下で電極6に百〜千W程度の高周波電力を印
加し、プラズマ発生室4内のこの電極6の近傍にプラズ
マを発生させ、酸化性ガスを励起する。その結果、励起
された酸化性ガスは反応室1に移行してシラノールと反
応し、基体2上にSiO2膜が形成される。所望の厚さ
まで成膜を行なったのち、成膜後の基体2を取り出せば
よい。
First, the substrate 2 is placed on the support 3, and the reaction chamber 1 and the plasma generation chamber 4 are evacuated. The substrate 2 is heated from room temperature to a desired temperature of several hundreds of degrees Celsius by a heating means (not shown), and the light from the illumination system 10 using a xenon lamp as a light source is transmitted through the light introduction window 11 and the porous diffusion plate 12 to thereby form the substrate 2. To irradiate. Next, the non-depositing gas introduction pipe 7
From the raw material gas introduction pipe 8 to the reaction chamber 1 and the silanol having at least one hydroxyl group bonded to a silicon atom, and the conductance valve connected to the exhaust port 9 ( The inside of the reaction chamber 1 is maintained at a desired pressure of 0.05 to 1.0 Torr (not shown). Further, in the presence of a magnetic field of about several hundred G generated by the magnet 13, high frequency power of about 100 to 1,000 W is applied to the electrode 6 to generate plasma in the vicinity of the electrode 6 in the plasma generation chamber 4 for oxidation. Exciting a sexual gas. As a result, the excited oxidizing gas moves to the reaction chamber 1 and reacts with silanol to form a SiO 2 film on the substrate 2. After forming the film to a desired thickness, the substrate 2 after the film formation may be taken out.

【0069】ここで、この薄膜形成装置を用いて実際に
成膜を行なった結果について説明する。酸化性ガスとし
てO2ガス1.5slmをプラズマ発生室4に供給し、シ
ラノールとして直前にシランと水とを反応させて生成し
たシラノール400sccmを反応室1に供給し、反応
室1内の圧力を0.1Torr、基体2の温度を300℃、
電極6に投入される高周波電力を400W、磁石13で
発生する磁束密度を150Gとして成膜を行なった。そ
の結果、水酸基などの不純物、特に炭素の混入が少な
く、良好なカバレージを有するSiO2膜が基体2上に
形成された。
Here, the result of actual film formation using this thin film forming apparatus will be described. O 2 gas of 1.5 slm was supplied to the plasma generation chamber 4 as an oxidizing gas, and 400 sccm of silanol produced by reacting silane and water immediately before was supplied to the reaction chamber 1 as silanol, and the pressure in the reaction chamber 1 was adjusted. 0.1 Torr, the temperature of the substrate 2 is 300 ° C.,
Film formation was performed with the high frequency power applied to the electrode 6 set to 400 W and the magnetic flux density generated by the magnet 13 set to 150 G. As a result, an SiO 2 film having a small amount of impurities such as hydroxyl groups, especially carbon, and good coverage was formed on the substrate 2.

【0070】[0070]

【発明の効果】以上説明したように本発明の薄膜形成装
置は、透光性であり、少なくとも反応室側の面が光を
散乱する拡散面である多孔拡散板を用いることにより、
多孔拡散板内に設けられ反応室に堆積性のガスを導入
する第1のガス導入手段と、パージ室あるいはプラズマ
発生室に非堆積性のガスを導入する第2のガス導入手段
とを設けることにより、あるいは、反応室の基体の中
央部に対応する位置に堆積性のガスを導入する第1のガ
ス導入手段と、第1のガス導入手段とは独立に設けられ
反応室の基体の周辺部に対応する位置に堆積性のガスを
導入する第2のガス導入手段とを設けることにより、基
体に照射される光の照度、基体に供給されるガスの流れ
や量が均一なものとなり、基体上に均一な良質な膜の成
膜が行なえるようになるという効果がある。
As described above, the thin film forming apparatus of the present invention uses the porous diffusion plate which is transparent and has at least the reaction chamber side surface as a diffusion surface for scattering light.
Providing a first gas introduction unit provided in the porous diffusion plate for introducing a deposition gas into the reaction chamber and a second gas introduction unit introducing a non-deposition gas into the purge chamber or the plasma generation chamber. Alternatively, the first gas introducing means for introducing the deposition gas into a position corresponding to the central portion of the substrate in the reaction chamber, and the first gas introducing means are provided independently of each other, and the peripheral portion of the substrate in the reaction chamber is provided. By providing the second gas introduction means for introducing the deposition gas to the position corresponding to the above, the illuminance of the light irradiating the substrate, the flow and amount of the gas supplied to the substrate become uniform, and There is an effect that a uniform high quality film can be formed thereon.

【0071】本発明の薄膜形成方法は、プラズマにより
励起された酸化性ガスと、シリコン原子に結合した少な
くとも1個の水酸基を有するシラノールとを反応させて
基体上にSiO2膜を堆積・形成することにより、基体
表面との相互作用が減少し表面拡散が促進され、段差被
覆性が向上した良質なSiO2膜が得られるという効果
がある。
In the thin film forming method of the present invention, an oxidizing gas excited by plasma is reacted with silanol having at least one hydroxyl group bonded to a silicon atom to deposit / form a SiO 2 film on a substrate. As a result, there is an effect that interaction with the surface of the substrate is reduced, surface diffusion is promoted, and a high-quality SiO 2 film having improved step coverage is obtained.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の実施例1における薄膜形成装置の構成
を示す模式断面図である。
FIG. 1 is a schematic cross-sectional view showing the configuration of a thin film forming apparatus according to a first embodiment of the present invention.

【図2】本発明の実施例2における薄膜形成装置の構成
を示す模式断面図である。
FIG. 2 is a schematic cross-sectional view showing the configuration of a thin film forming apparatus according to a second embodiment of the present invention.

【図3】本発明の実施例3における薄膜形成装置の構成
を示す模式断面図である。
FIG. 3 is a schematic cross-sectional view showing the configuration of a thin film forming apparatus according to a third embodiment of the present invention.

【図4】図3の薄膜形成装置における多孔拡散板の部分
平面図である。
FIG. 4 is a partial plan view of a porous diffusion plate in the thin film forming apparatus of FIG.

【図5】図4のA−A'線での部分断面図である。5 is a partial cross-sectional view taken along the line AA ′ of FIG.

【図6】本発明の実施例4における薄膜形成装置の構成
を示す模式断面図である。
FIG. 6 is a schematic cross-sectional view showing the configuration of a thin film forming apparatus in Embodiment 4 of the present invention.

【図7】本発明の実施例5における薄膜形成装置の構成
を示す模式断面図である。
FIG. 7 is a schematic cross-sectional view showing the configuration of a thin film forming apparatus in Embodiment 5 of the present invention.

【図8】本発明の実施例6における薄膜形成装置の構成
を示す模式断面図である。
FIG. 8 is a schematic cross-sectional view showing the structure of a thin film forming apparatus in Embodiment 6 of the present invention.

【図9】実施例6における、基体の中央部および周辺部
での成膜速度と反応室内の圧力との関係を示す特性図で
ある。
FIG. 9 is a characteristic diagram showing the relationship between the film forming rate at the central portion and the peripheral portion of the substrate and the pressure in the reaction chamber in Example 6.

【図10】比較例1における、基体の中央部および周辺
部での成膜速度と反応室内の圧力との関係を示す特性図
である。
10 is a characteristic diagram showing the relationship between the film forming rate at the central portion and the peripheral portion of the substrate and the pressure inside the reaction chamber in Comparative Example 1. FIG.

【図11】比較例2における、基体の中央部および周辺
部での成膜速度と反応室内の圧力との関係を示す特性図
である。
FIG. 11 is a characteristic diagram showing the relationship between the film forming rate at the central portion and the peripheral portion of the substrate and the pressure in the reaction chamber in Comparative Example 2.

【図12】実施例7の実施に使用される薄膜形成装置の
構成の一例を示す模式断面図である。
FIG. 12 is a schematic cross-sectional view showing an example of the configuration of a thin film forming apparatus used for carrying out Example 7.

【図13】グリース塗布法によって窓曇りを防止した従
来の薄膜形成装置の構造を示す模式断面図である。
FIG. 13 is a schematic cross-sectional view showing the structure of a conventional thin film forming apparatus in which window fogging is prevented by a grease application method.

【図14】ガスパージ法によって窓曇りを防止した従来
の薄膜形成装置の構造を示す模式断面図である。
FIG. 14 is a schematic cross-sectional view showing the structure of a conventional thin film forming apparatus in which fogging of a window is prevented by a gas purging method.

【符号の説明】[Explanation of symbols]

1 反応室 2,32 基体 3,33 支持体 4 プラズマ発生室 5,35 石英管 6,36 電極 7 非堆積性ガス導入管 8 原料ガス導入管 9,39 排気口 10 照明系 11 光導入窓 12,15 多孔拡散板 13,43 磁石 14 パージ室 16 貫通孔 17 噴出孔 18,19 透光性板 20 ヒータ 21,31 反応容器 22 第1のガス導入管 23 第2のガス導入管 24 第3のガス導入管 25,45 高周波電源 37 酸化性ガス導入管 38 シラノールガス導入管 1 Reaction Chamber 2,32 Substrate 3,33 Support 4 Plasma Generation Chamber 5,35 Quartz Tube 6,36 Electrode 7 Non-Depositing Gas Introducing Tube 8 Raw Material Gas Introducing Tube 9,39 Exhaust Port 10 Illumination System 11 Light Introducing Window 12 , 15 Porous diffusion plate 13,43 Magnet 14 Purge chamber 16 Through hole 17 Jet hole 18,19 Light transmitting plate 20 Heater 21,31 Reaction vessel 22 First gas introduction pipe 23 Second gas introduction pipe 24 Third Gas introduction pipe 25,45 High frequency power supply 37 Oxidizing gas introduction pipe 38 Silanol gas introduction pipe

Claims (16)

【特許請求の範囲】[Claims] 【請求項1】 基体上に薄膜を形成する薄膜形成装置で
あって、 反応室と、前記反応室内に設けられ前記基体を保持する
支持体と、透光性の多孔拡散板を介して反応室に隣接し
て設けられ少なくとも一部が透光性の部材からなるプラ
ズマ発生室と、前記プラズマ発生室内にプラズマを発生
させるプラズマ発生手段と、前記反応室にガスを導入す
る第1のガス導入手段と、前記プラズマ発生室にガスを
導入する第2のガス導入手段と、前記反応室および前記
プラズマ発生室を排気する排気手段と、前記プラズマ発
生室の外部に設けられ前記プラズマ発生室および前記多
孔拡散板を介して前記支持体に保持された基体に光を照
射する光源とを有し、 前記多孔拡散板の少なくとも前記反応室側の面が光を散
乱する拡散面である薄膜形成装置。
1. A thin film forming apparatus for forming a thin film on a substrate, which comprises a reaction chamber, a support provided in the reaction chamber for holding the substrate, and a translucent porous diffuser plate between the reaction chamber. A plasma generating chamber which is provided adjacent to the substrate and at least partially made of a translucent member, plasma generating means for generating plasma in the plasma generating chamber, and first gas introducing means for introducing gas into the reaction chamber. Second gas introducing means for introducing gas into the plasma generating chamber, exhaust means for exhausting the reaction chamber and the plasma generating chamber, and the plasma generating chamber and the porous body provided outside the plasma generating chamber. A thin film forming apparatus comprising: a light source for irradiating a substrate held by the support through a diffusion plate with light, and at least a surface of the porous diffusion plate on the reaction chamber side is a diffusion surface for scattering light.
【請求項2】 プラズマ発生室は、円筒形状であり、側
壁が石英管で構成され、底面に多孔拡散板が配置されて
いる請求項1記載の薄膜形成装置。
2. The thin film forming apparatus according to claim 1, wherein the plasma generating chamber has a cylindrical shape, the side wall is made of a quartz tube, and the porous diffusion plate is arranged on the bottom surface.
【請求項3】 多孔拡散板には、直径が3mm以下であ
る多数の貫通孔が、開口率が1〜5%となるように形成
されている、請求項1または2記載の薄膜形成装置。
3. The thin film forming apparatus according to claim 1, wherein a large number of through holes having a diameter of 3 mm or less are formed in the porous diffusion plate so as to have an aperture ratio of 1 to 5%.
【請求項4】 基体上に薄膜を形成する薄膜形成装置で
あって、 反応室と、前記反応室内に設けられ前記基体を保持する
支持体と、透光性であって少なくとも一方の面が光を散
乱する拡散面でありかつ複数の貫通孔が設けられた多孔
拡散板と、前記多孔拡散板を介して前記反応室に隣接し
て設けられ少なくとも一部が透光性の部材からなるパー
ジ室と、前記多孔拡散板内に設けられ前記反応室に堆積
性のガスを導入する第1のガス導入手段と、前記パージ
室に非堆積性のガスを導入する第2のガス導入手段と、
前記反応室および前記パージ室を排気する排気手段と、
前記パージ室の外部に設けられ前記パージ室および前記
多孔拡散板を介して前記支持体に保持された基体に光を
照射する光源とを有する薄膜形成装置。
4. A thin film forming apparatus for forming a thin film on a substrate, comprising: a reaction chamber, a support provided in the reaction chamber for holding the substrate, and at least one surface of which is transparent. A porous diffusion plate that is a diffusion surface that scatters light and that is provided with a plurality of through holes; and a purge chamber that is provided adjacent to the reaction chamber through the porous diffusion plate and at least a part of which is a translucent member. A first gas introduction unit provided in the porous diffusion plate for introducing a deposition gas into the reaction chamber, and a second gas introduction unit introducing a non-deposition gas into the purge chamber;
Exhaust means for exhausting the reaction chamber and the purge chamber,
A thin film forming apparatus having a light source which is provided outside the purging chamber and irradiates light onto a substrate held by the support through the purging chamber and the porous diffusion plate.
【請求項5】 基体上に薄膜を形成する薄膜形成装置で
あって、 反応室と、前記反応室内に設けられ前記基体を保持する
支持体と、透光性であって少なくとも一方の面が光を散
乱する拡散面でありかつ複数の貫通孔が設けられた多孔
拡散板と、前記多孔拡散板を介して前記反応室に隣接し
て設けられ少なくとも一部が透光性の部材からなるプラ
ズマ発生室と、前記プラズマ発生室内にプラズマを発生
させるプラズマ発生手段と、前記多孔拡散板内に設けら
れ前記反応室に堆積性のガスを導入する第1のガス導入
手段と、前記プラズマ発生室に非堆積性のガスを導入す
る第2のガス導入手段と、前記反応室および前記プラズ
マ発生室を排気する排気手段と、前記プラズマ発生室の
外部に設けられ前記プラズマ発生室および前記多孔拡散
板を介して前記支持体に保持された基体に光を照射する
光源とを有する薄膜形成装置。
5. A thin film forming apparatus for forming a thin film on a substrate, comprising: a reaction chamber, a support provided in the reaction chamber for holding the substrate, and at least one surface of which is transparent. Plasma generation that is a diffusion surface that scatters light and that is provided with a plurality of through holes, and a plasma diffusion member that is provided adjacent to the reaction chamber via the porous diffusion plate and at least a part of which is a translucent member Chamber, plasma generating means for generating plasma in the plasma generating chamber, first gas introducing means provided in the porous diffusion plate for introducing a depositing gas into the reaction chamber, and non-exposing to the plasma generating chamber. Second gas introducing means for introducing a deposition gas, exhaust means for exhausting the reaction chamber and the plasma generating chamber, and the plasma generating chamber and the porous diffusion plate provided outside the plasma generating chamber The thin film forming apparatus having a light source for irradiating light to the held substrate to the support.
【請求項6】 プラズマ発生手段は、高周波あるいはマ
イクロ波電力をプラズマ発生室内に導入するものである
請求項1、2、3または5いずれか1項に記載の薄膜形
成装置。
6. The thin film forming apparatus according to claim 1, wherein the plasma generating means introduces high frequency or microwave power into the plasma generating chamber.
【請求項7】 基体上に薄膜を形成する薄膜形成装置で
あって、 少なくとも一部が透光性の部材からなる反応室と、前記
反応室内に設けられ前記基体を保持する支持体と、前記
反応室の前記基体の中央部に対応する位置に堆積性のガ
スを導入する第1のガス導入手段と、前記第1のガス導
入手段とは独立に設けられ前記反応室の前記基体の周辺
部に対応する位置に前記堆積性のガスを導入する第2の
ガス導入手段と、前記反応室を排気する排気手段と、前
記反応室の外部に設けられ前記支持体に保持された基体
に光を照射する光源とを有する薄膜形成装置。
7. A thin film forming apparatus for forming a thin film on a substrate, comprising: a reaction chamber at least a part of which is a translucent member; a support provided in the reaction chamber for holding the substrate; First gas introducing means for introducing a deposition gas into the reaction chamber at a position corresponding to the central portion of the substrate, and the first gas introducing means is provided independently of the first gas introducing means and the peripheral portion of the substrate in the reaction chamber. Second gas introducing means for introducing the deposition gas to a position corresponding to, evacuation means for exhausting the reaction chamber, and light for a substrate provided outside the reaction chamber and held by the support. A thin film forming apparatus having a light source for irradiation.
【請求項8】 基体上に薄膜を形成する薄膜形成装置で
あって、 反応室と、前記反応室内に設けられ前記基体を保持する
支持体と、透光性であって複数の貫通孔を有する多孔拡
散板と、前記多孔拡散板を介して前記反応室に隣接して
設けられ少なくとも一部が透光性の部材からなるプラズ
マ発生室と、前記プラズマ発生室内にプラズマを発生さ
せるプラズマ発生手段と、前記反応室の前記基体の中央
部に対応する位置に堆積性のガスを導入する第1のガス
導入手段と、前記第1のガス導入手段とは独立に設けら
れ前記反応室の前記基体の周辺部に対応する位置に前記
堆積性のガスを導入する第2のガス導入手段と、前記プ
ラズマ発生室に非堆積性のガスを導入する第3のガス導
入手段と、前記反応室および前記プラズマ発生室を排気
する排気手段と、前記プラズマ発生室の外部に設けられ
前記プラズマ発生室および前記多孔拡散板を介して前記
支持体に保持された基体に光を照射する光源とを有する
薄膜形成装置。
8. A thin film forming apparatus for forming a thin film on a substrate, comprising a reaction chamber, a support provided in the reaction chamber for holding the substrate, and having a plurality of through holes which are transparent. A porous diffusion plate, a plasma generation chamber which is provided adjacent to the reaction chamber through the porous diffusion plate and at least a part of which is a translucent member, and plasma generation means for generating plasma in the plasma generation chamber. A first gas introducing means for introducing a deposition gas into a position corresponding to a central portion of the substrate in the reaction chamber; and a first gas introducing means provided independently of the first gas introducing means for the substrate in the reaction chamber. Second gas introducing means for introducing the deposition gas to a position corresponding to the peripheral portion, third gas introducing means for introducing the non-deposition gas into the plasma generation chamber, the reaction chamber and the plasma Exhaust to exhaust the generation chamber The thin film forming apparatus having a light source for illuminating the stage, the light in the plasma generation chamber disposed outside the plasma generation chamber and the perforated diffuser plate retained substrate to the support via the.
【請求項9】 プラズマ発生手段は、高周波あるいはマ
イクロ波電力をプラズマ発生室内に導入するものである
請求項8記載の薄膜形成装置。
9. The thin film forming apparatus according to claim 8, wherein the plasma generating means introduces high frequency or microwave power into the plasma generating chamber.
【請求項10】 第1のガス導入手段が壁面に貫通孔を
有する透明な細管からなる請求項7ないし9いずれか1
項に記載の薄膜形成装置。
10. The first gas introducing means comprises a transparent thin tube having a through hole in the wall surface.
The thin film forming apparatus as described in the item.
【請求項11】 第1のガス導入手段の断面の形状が矩
形である請求項10記載の薄膜形成装置。
11. The thin film forming apparatus according to claim 10, wherein the first gas introducing means has a rectangular cross section.
【請求項12】 基体上にSiO2膜を形成する薄膜形
成方法であって、 プラズマにより励起された酸化性ガスと、シリコン原子
に結合した少なくとも1個の水酸基を有するシラノール
とを反応させ、前記基体上にSiO2膜を堆積させる反
応工程を有する薄膜形成方法。
12. A thin film forming method for forming a SiO 2 film on a substrate, which comprises reacting an oxidizing gas excited by plasma with a silanol having at least one hydroxyl group bonded to a silicon atom, A thin film forming method having a reaction step of depositing a SiO 2 film on a substrate.
【請求項13】 酸化性ガスが、O2,O3,N2Oの中か
ら選ばれた少なくとも1種以上のガスである請求項12
記載の薄膜形成方法。
13. The oxidizing gas is at least one gas selected from O 2 , O 3 and N 2 O.
The thin film forming method described.
【請求項14】 反応工程の直前に、有機シランと水分
とを反応させて前記反応工程で使用されるシラノールを
生成する工程を有する、請求項12または13記載の薄
膜形成方法。
14. The method for forming a thin film according to claim 12, further comprising a step of reacting an organic silane with water to produce silanol used in the reaction step immediately before the reaction step.
【請求項15】 反応工程の直前に、無機シランと水分
とを反応させて前記反応工程で使用されるシラノールを
生成する工程を有する、請求項12または13記載の薄
膜形成方法。
15. The method for forming a thin film according to claim 12, further comprising a step of reacting an inorganic silane with water to generate silanol used in the reaction step immediately before the reaction step.
【請求項16】 反応工程の実施中、基体に紫外光もし
くは可視光を照射する請求項12ないし15いずれか1
項に記載の薄膜形成方法。
16. The method according to claim 12, wherein the substrate is irradiated with ultraviolet light or visible light during the reaction step.
The method for forming a thin film according to item.
JP3329018A 1991-12-12 1991-12-12 Thin film forming apparatus and thin film forming method Expired - Fee Related JP2989063B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP3329018A JP2989063B2 (en) 1991-12-12 1991-12-12 Thin film forming apparatus and thin film forming method
US07/987,786 US5433787A (en) 1991-12-12 1992-12-09 Apparatus for forming deposited film including light transmissive diffusion plate
US08/417,908 US5585148A (en) 1991-12-12 1995-04-06 Process for forming a deposited film using a light transmissive perforated diffusion plate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3329018A JP2989063B2 (en) 1991-12-12 1991-12-12 Thin film forming apparatus and thin film forming method

Publications (2)

Publication Number Publication Date
JPH05163573A true JPH05163573A (en) 1993-06-29
JP2989063B2 JP2989063B2 (en) 1999-12-13

Family

ID=18216682

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3329018A Expired - Fee Related JP2989063B2 (en) 1991-12-12 1991-12-12 Thin film forming apparatus and thin film forming method

Country Status (2)

Country Link
US (2) US5433787A (en)
JP (1) JP2989063B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161705A (en) * 1993-12-03 1995-06-23 Nec Corp Method of forming interlayer insulating film of multilayered wiring of semiconductor device
JP2003045862A (en) * 2001-08-01 2003-02-14 Tokyo Electron Ltd Optical stimulation film forming device and method
JP2006093427A (en) * 2004-09-24 2006-04-06 Hitachi Kokusai Electric Inc Semiconductor manufacturing device
JPWO2004047157A1 (en) * 2002-11-20 2006-04-13 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US7709063B2 (en) 2000-06-29 2010-05-04 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases
JP4758569B2 (en) * 2000-06-23 2011-08-31 キヤノンアネルバ株式会社 Thin film forming equipment
JP2018206875A (en) * 2017-05-31 2018-12-27 Tdk株式会社 Introduction method of replacement gas to efem and efem
JP2019153690A (en) * 2018-03-02 2019-09-12 東芝デバイス&ストレージ株式会社 Film deposition apparatus
US10424594B2 (en) 2011-12-22 2019-09-24 Samsung Electronics Co., Ltd. Methods of forming a thin film and methods of fabricating a semiconductor device including using the same

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
JP3473715B2 (en) * 1994-09-30 2003-12-08 信越半導体株式会社 Quartz glass wafer boat
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
US5645644A (en) * 1995-10-20 1997-07-08 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
JPH09125243A (en) * 1995-10-27 1997-05-13 Canon Inc Thin film forming device
JPH09129607A (en) * 1995-11-01 1997-05-16 Canon Inc Device and method of microwave plasma etching
JPH09270421A (en) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp Surface treatment apparatus and method
US6116184A (en) 1996-05-21 2000-09-12 Symetrix Corporation Method and apparatus for misted liquid source deposition of thin film with reduced mist particle size
US5997642A (en) * 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5814154A (en) * 1997-01-23 1998-09-29 Gasonics International Short-coupled-path extender for plasma source
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JP2001523889A (en) * 1997-11-17 2001-11-27 シメトリックス・コーポレーション Method and apparatus for performing mist deposition of thin films
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (en) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
US6187133B1 (en) 1998-05-29 2001-02-13 Applied Materials, Inc. Gas manifold for uniform gas distribution and photochemistry
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
EP1169114B1 (en) * 1999-03-12 2006-02-08 Microscent, LLC. Methods and apparatus for localized delivery of scented aerosols
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP4421017B2 (en) * 1999-07-08 2010-02-24 キヤノンアネルバ株式会社 Method and apparatus for forming silicon oxide thin film
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
KR100419756B1 (en) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 Thin-film deposition apparatus
JP4382265B2 (en) * 2000-07-12 2009-12-09 日本電気株式会社 Method and apparatus for forming silicon oxide film
JP4731694B2 (en) * 2000-07-21 2011-07-27 東京エレクトロン株式会社 Semiconductor device manufacturing method and substrate processing apparatus
JP4791637B2 (en) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
CN1302152C (en) * 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
JP2002294456A (en) * 2001-03-30 2002-10-09 Oki Electric Ind Co Ltd Film forming method and cvd apparatus for performing the method
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
JP4221526B2 (en) * 2003-03-26 2009-02-12 キヤノンアネルバ株式会社 Film forming method for forming metal oxide on substrate surface
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20040259379A1 (en) * 2003-06-23 2004-12-23 Yoshi Ono Low temperature nitridation of silicon
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
KR100561848B1 (en) * 2003-11-04 2006-03-16 삼성전자주식회사 Helical resonator type plasma processing apparatus
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7584942B2 (en) 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7517814B2 (en) * 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7501352B2 (en) * 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
JP4947982B2 (en) * 2006-01-31 2012-06-06 東京エレクトロン株式会社 Substrate processing method
RU2657877C2 (en) * 2013-05-23 2018-06-18 Филипс Лайтинг Холдинг Б.В., Nl Light-emitting acoustic panel with duct
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US10636661B2 (en) * 2016-01-15 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for wafer bonding
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP7191504B2 (en) * 2017-07-14 2022-12-19 株式会社Screenホールディングス Heat treatment equipment
JP2019021828A (en) * 2017-07-20 2019-02-07 株式会社Screenホールディングス Thermal treatment apparatus
KR20210061846A (en) * 2019-11-20 2021-05-28 삼성전자주식회사 Substrate processing apparatus and semiconductor device manufacturing method using the same
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
CN114602401A (en) * 2022-03-07 2022-06-10 盛吉盛半导体科技(北京)有限公司 Silicon reaction device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60130126A (en) * 1983-12-16 1985-07-11 Nec Corp Optical vapor growth method
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4715318A (en) * 1985-01-17 1987-12-29 Nippon Kogaku K.K. Photochemical reaction apparatus
JPS61196542A (en) * 1985-02-26 1986-08-30 Nec Corp Photochemical vapor deposition equipment
JPS6231125A (en) * 1985-08-01 1987-02-10 Toshiba Corp Dry etching device
JPS62206823A (en) * 1986-03-07 1987-09-11 Ulvac Corp Optical-pumping processing equipment
US4919077A (en) * 1986-12-27 1990-04-24 Mitsubishi Denki Kabushiki Kaisha Semiconductor producing apparatus
JPH0210834A (en) * 1988-06-29 1990-01-16 Hitachi Ltd Optical pumping process device
JPH02122077A (en) * 1988-10-31 1990-05-09 Canon Inc Device for forming deposited film
JP2892070B2 (en) * 1989-01-26 1999-05-17 キヤノン株式会社 Deposition film forming equipment
JPH03268320A (en) * 1990-03-19 1991-11-29 Toshiba Corp Optical cvd system
JPH04305244A (en) * 1991-04-02 1992-10-28 Canon Inc Illuminator and light exciting processing device with the same
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161705A (en) * 1993-12-03 1995-06-23 Nec Corp Method of forming interlayer insulating film of multilayered wiring of semiconductor device
JP4758569B2 (en) * 2000-06-23 2011-08-31 キヤノンアネルバ株式会社 Thin film forming equipment
US7709063B2 (en) 2000-06-29 2010-05-04 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases
JP2003045862A (en) * 2001-08-01 2003-02-14 Tokyo Electron Ltd Optical stimulation film forming device and method
JPWO2004047157A1 (en) * 2002-11-20 2006-04-13 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP4673063B2 (en) * 2002-11-20 2011-04-20 東京エレクトロン株式会社 Plasma processing equipment
JP2006093427A (en) * 2004-09-24 2006-04-06 Hitachi Kokusai Electric Inc Semiconductor manufacturing device
JP4563760B2 (en) * 2004-09-24 2010-10-13 株式会社日立国際電気 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
US10424594B2 (en) 2011-12-22 2019-09-24 Samsung Electronics Co., Ltd. Methods of forming a thin film and methods of fabricating a semiconductor device including using the same
JP2018206875A (en) * 2017-05-31 2018-12-27 Tdk株式会社 Introduction method of replacement gas to efem and efem
JP2019153690A (en) * 2018-03-02 2019-09-12 東芝デバイス&ストレージ株式会社 Film deposition apparatus

Also Published As

Publication number Publication date
US5433787A (en) 1995-07-18
US5585148A (en) 1996-12-17
JP2989063B2 (en) 1999-12-13

Similar Documents

Publication Publication Date Title
JP2989063B2 (en) Thin film forming apparatus and thin film forming method
US5803975A (en) Microwave plasma processing apparatus and method therefor
CN100577865C (en) High-power dielectric drying for realizing wafer-to-wafer thickness uniformity of dielectric CVD films
US7989365B2 (en) Remote plasma source seasoning
US5824158A (en) Chemical vapor deposition using inductively coupled plasma and system therefor
US8563445B2 (en) Conformal layers by radical-component CVD
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US20030143410A1 (en) Method for reduction of contaminants in amorphous-silicon film
KR20150053967A (en) Low cost flowable dielectric films
JPH09270421A (en) Surface treatment apparatus and method
JPH0987851A (en) Microwave plasma treating device and method thereof
TW201525183A (en) Deposition of dielectric films
JP3014334B2 (en) Method for manufacturing semiconductor device
JPH0766186A (en) Anisotropic depositing method of dielectric
JP2001102367A (en) Removal of film by use of remote plasma source
JP3295336B2 (en) Microwave plasma processing apparatus and plasma processing method
JP3400909B2 (en) Plasma processing method and apparatus
JPH0790591A (en) Microwave plasma cvd system and formation of deposited film
JP2723053B2 (en) Method and apparatus for forming thin film
JPH0978244A (en) Plasma cvd method
JP2682734B2 (en) Dielectric film deposition method and apparatus
JPH04167431A (en) Manufacture of semiconductor device
JPH06326027A (en) Method of forming thin film
JPH07235530A (en) Formation of insulating film

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees