JPH04233065A - タイミング仕様情報の解釈と編成を行う方法と装置 - Google Patents

タイミング仕様情報の解釈と編成を行う方法と装置

Info

Publication number
JPH04233065A
JPH04233065A JP3145937A JP14593791A JPH04233065A JP H04233065 A JPH04233065 A JP H04233065A JP 3145937 A JP3145937 A JP 3145937A JP 14593791 A JP14593791 A JP 14593791A JP H04233065 A JPH04233065 A JP H04233065A
Authority
JP
Japan
Prior art keywords
signal
string
information
match
dictionary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3145937A
Other languages
English (en)
Inventor
Steven K Sherman
スティーヴン ケイ シャーマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Digital Equipment Corp
Original Assignee
Digital Equipment Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Digital Equipment Corp filed Critical Digital Equipment Corp
Publication of JPH04233065A publication Critical patent/JPH04233065A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)
  • Machine Translation (AREA)
  • Devices For Executing Special Programs (AREA)
  • Document Processing Apparatus (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、電子装置の設計に関し
、具体的には、複合電子装置の信号タイミングの必要条
件の分析に関する。
【0002】
【従来の技術】デジタル処理装置は、一般に、結合論理
回路網と双安定ラッチ要素とより構成されている。結合
論理回路網は、意志決定の機能を行う構成要素を備えて
おり、ラッチ要素は、入力、中間と出力のデータ、制御
情報を一時的に格納する記憶要素として働く。刻時装置
は装置の構成要素間の通信を伝え、個々の構成要素の変
化を言明する。通常、装置の状態は各クロックパルスの
発生時に変化する。
【0003】構成要素と構成要素のグループは、特定の
作動特性から導出されるタイミング必要条件を有する。 例えば、構成要素からの安定した出力信号が行われる前
に、構成要素は、一定の最小時間々隔に関する安定した
入力信号の設定を必要とする。ほかの必要条件が、バス
特性、インタフェース・プロトコル、あるいはサイクル
時間などの装置の特性から提起される。かなり適度のデ
ジタル装置に多分入ってくるであろう非常に多くの相互
関係のあるタイミング必要条件が与えられると、タイミ
ングの不一致を識別し、また、解決する方法は重要であ
る。
【0004】この二つの方法は、同時係属米国特許出願
 No.162,624(本出願と共に共有され、本明
細書に引用)に記載されており、使用者が初期に提起し
た設定より最低に逸脱した、一貫性のあるタイミング必
要条件の最適で縮小された設定の識別を述べている。さ
らに、同時係属米国特許出願 No.205,811(
本出願と共に共有され、本明細書に引用)は、タイミン
グ必要条件の順位化を記載している。そのほかの参照文
献:シヤーマン著、タイミング必要条件の分析と生成の
アルゴリズム、25回エイ・シー・エム/アイ・イー・
イー・イー(ACM/IEEE)設計自動化会議、72
4(1988年)。
【0005】
【発明が解決しようとする課題】このような方法を使用
する場合に付随する一つの難点は、各種の要素のタイミ
ング必要条件を一貫したフォーマットに表示することで
ある。タイミング情報を記述する多くの方法が現在あっ
て、技術者は、タイミング解決法に最も便利な形でこの
情報を正確に表現することが、困難で、長く退屈である
と感じることがよくある。あいまいさとエラーが、しば
しば、提起される。実際に、多くの技術者は、タイミン
グ制約の問題について技術者の間で話し合うようなトラ
ブルに出合っている。しかし、ソフトウェアのシュミレ
ーションあるいはプロトタイプの製作まで、このような
問題を未解決のままにすると、費用のかかる再設計作業
が必要になる。
【0006】タイミング制約という用語についての第2
の問題に、コンピュータ作業の設計補助パッケージプロ
グラムがある。これらのプログラムでは、一般に、仕様
情報に関する一貫した技術だけでなく、分析を行うこと
が出来る技術も必要である。理想的には、情報は、簡潔
で解釈し易い形で提供されなければならない。
【0007】
【課題を解決するための手段】1)本発明の目的以上の
点から、本発明の目的は、体系化されていない仕様説明
を一貫したフォーマットに変更する方法を提供すること
である。本発明のほかの目的は、設計技術者が、仕様情
報を自然言語のフォーマットで入力することが出来るよ
うにすることである。
【0008】本発明のそのほかの目的は、コンピュータ
により容易に解釈される記号的仕様記述を提供すること
である。本発明のさらにほかの目的は、入力された情報
が実行される程度にあいまいさのない仕様記述を生成す
ることである。 2)定義 本明細書に使用されているように、次の用語は、図1に
関して、反対側に示された意味を有する。 状態          −電子装置のノードにおける
状態を表す。 非遷移状態    −図1に示されたタイミング図にお
いて、非遷移状態は参照番号            
    20、22、24、26、28により示されて
いる。非遷移状                態は
論理レベル“0”(状態20、24、26)、論理レベ
ル                “1”(状態22
、28)、安定あるいはハイ・インピーダン     
           スである。 遷移状態      −信号内の連続している非遷移状
態の間の境界を表す。図1にお           
     いて、遷移状態は参照番号30、32、34
により示されてい                る
。遷移状態は、立上り(“0→1”遷移状態30と34
)、                立下り(“1→
0”遷移状態32)、あるいは立上り−立下り    
            あるいは変化である。“立上
り−立下り”は二つの非遷移状態          
      の間の不定な遷移状態を意味しており、“
0→1”、“1→0                
”、無変化より成っている。“変化”は立上り−立下り
と似て                いるが、ハイ
・インピーダンス状態でもある。 遷移          −状態の間の境界を表す。遷
移は、図1に参照番号38によりま         
       とめて示されている。 信号          −命名された、連続した状態
、例えば、図1の信号Aと信号Bを         
       表す。 タイムスタンプ−遷移発生の時刻を示す絶対時間値を表
す。タイムスタンプは、(timestamp)   
  図1のt1 〜t6のように表示する。 パターン      −タイムスタンプを有する一組の
信号。 ディペンデンス−同一あるいは異なる指定された信号(
すなわち、タイミング制(dependence)  
  約)の遷移の間の最小あるいは最大の時間と、制約
の重要性と                を表す。 例えば、信号データの信頼性のある収集を行うために 
               、最小の臨界値を設定
するか、あるいは超えるt4 〜t5間の時     
           間々隔が必要である。 仕様          −ディペンデンスに見られる
よりも低い特異性の信号間のタイミ         
       ング関係を記述している。 ディペンデンス−個々のディペンデンスが関係する一つ
以上のパターンで遷移を・コネクション  設定する。 3)解決するための手段 本発明により、使用者は、タイミング情報を慣れた自然
言語のフォーマットで入力することが出来る。本発明は
、重要な仕様パラメータを引き出し、標準の専門用語と
フォーマットに基づいた仕様データファイルを作成する
。好適な実施例では、専門用語は、JEDEC100規
格(エレクトロニック・インダストリーアソシエーショ
ン、ワシントン、D.C.,発行、本明細書に引用記載
)に基づいているが、ほかの形式の仕様表現に容易に置
き換えられる。
【0009】そのほかに、仕様情報は、しばしば、付随
したディペンデンス/パターンの情報を含んでいる。本
発明は、使用者の仕様から推測される範囲までの本情報
を有するデータファイルを作成する。自然言語処理は、
一般に、自然言語の記号表現を、意味を表す一層基本的
構造への変換により行われる場合が多い。自然言語処理
について多くの理論的方法が提案され、種々の範囲まで
実行されたが、成功したのは、用途の分野を制限する能
力によることが多い。本発明では、その分野は非常に明
確であり、従って、信頼出来る解釈処理を行うことが可
能である。さらに、情報の構成された特性は、実質的に
関連するすべての入力を標準フォーマットに翻訳する簡
潔な基礎を形成する。
【0010】本発明は、自然言語分析の辞書法(Lex
icontechnique) を使用して、使用者の
タイミング必要条件の記述を解釈する。そのような処理
において、本発明は、記述を仕様とディペンデンスとの
情報(タイミング制約に関する)と、パターン情報(各
信号のタイムスタンプ特性を記述)とに分解する。それ
らの意味と内容が本質的に異なっていても、これらの各
種タイプの情報は、一般に、技術者により合成される。 本発明の最大の利点は、重要なタイミング制約データを
分離・集約する能力であり、この能力により、主要な障
害は、終始一貫した設計作業へ送られる。この情報は分
析されて、手動か、あるいは、No.162,624と
No.205,811の米国特許出願に記載されたよう
な装置を使用して操作される。
【0011】
【実施例】本発明は、使用者のタイミング制約条件の記
述に基づいた仕様とディペンデンスとの情報を設定する
方法とその装置とより構成されている。本発明は、“仕
様”を次のデータより成るものとして定義する。 仕様記述:  使用者により提供され、この基本タイミ
ング制約の情報は、自然言語のフォーマットで入力され
る。 仕様名称:  仕様記述を分析した後、本発明は、設定
された標準に従って、記述を表す名称を生成する。好適
な実施例では、これは、JEDEC100規格である。 最小表現式    (オプション):  遷移間の最小
時間。 最大表現式    (オプション):  遷移間の最大
時間。 タイプ表現式  (オプション):  遷移間の代表的
時間。 注      記    (オプション):  使用者
のコメント。
【0012】各仕様は、順次配列された情報であり、上
記データをそれぞれ表す数値あるいは文字の列が、個々
のディペンデンスと関連した分野へ入力される。仕様フ
ァイルは、仕様の集合である。使用者は、最小、あるい
は最大表現式を入力する。この情報は、自然言語のフォ
ーマットで入力されて解剖されるが、本発明者は、複雑
な構文解析タスクを仕様記述に限定することが好適であ
ることを発見した。従って、好適な実施例では、特定の
問合せに応答して情報を入力するように、使用者に指示
することにより、最小/最大情報が得られる。
【0013】使用者は、信号の間の関係を示すことによ
って、仕様の特性に関連する情報を入力する。例えば、
“セットアップ時間、BハイからクロックAロー”とし
て、使用者は、図1のタイミング制約t4 −t6 を
指定する。指示に応答して、使用者は、10ナノ秒の最
小表現式を示す。この場合の情報の集合は、関係のある
信号(AとB)、タイミング制約のタイプ(セットアッ
プ時間)、信号Aはクロック信号でありまた信号Bは論
理レベル1で仕様の始めにありまた信号Aは論理レベル
0で仕様の終りにあるという事実と、最小表現式(10
ナノ秒)とを指定する。
【0014】本発明では、仕様データベースは、仕様周
辺の信号値に関連する、順次配列された情報である。仕
様データベースは、次の情報のカテゴリより成っている
。 From Signal   :  仕様内に発生する
最初の信号の名称(上記実施例では、これはBである)
。信号が最初に仕様内に発生するという事実は、使用者
がこの信号を仕様記述の始めに必ず入力することを意味
しない。例えば、上記実施例は、“セットアップ時間、
Bハイ後のクロックAロー”と書き換えられる。どちら
の場合でも、Bは、仕様内の最初の信号とみされる。 To Signal     :  仕様内に発生する
最後の信号の名称(上記実施例では、これはAである)
。 From Prev     :  “from”信号
内の遷移の前の状態。 図1において、B=ハイ論理レベルの前の状態は、B=
ロー論理レベルである。しかし、これは、上記実施例の
使用者により送られた情報から推測することが出来ない
。 To Prev       :  “to”信号内の
遷移の前の状態。図1において、A=ロー論理レベルの
前の状態は、A=ハイ論理レベルである。 From Current  :  “from” 信
号内の遷移に続く状態(上記実施例では、これはB=ハ
イ論理レベルである)。 To Current    :  “to”信号内の
遷移に続く状態(上記実施例では、これはA=ロー論理
レベルである)。 Same Signal   :  仕様が同じ信号内
の状態と関係がある場合、真である。 Diff.  Signal :  仕様が異なる信号
内の状態と関係がある場合、真である。 Type          :  最大あるいは最小
。 Classitication:  後述しているよう
に、ディペンデンスが関係ある仕様は、使用者の仕様記
述に基づいて、JEDEC100規格に従い、タイミン
グ分類が割り付けられる。
【0015】動作状態で、本発明は、入力された仕様記
述に基づいて、出来るだけ多くのこれらのカテゴリへデ
ータを入力する。使用者は、ディペンデンス情報を直接
に入力することも自由に出来る。一定のディペンデンス
情報は、異なるタイプの信号の既知の特性に基づいた仕
様から導出することが出来る。上記の例において、“セ
ットアップ時間”は(1)立上り−立下りの“To P
rev.” 状態(すなわち、遷移前状態は、ハイイン
ピーダンスを除くすべての値をとることが出来る)と、
(2)仕様が異なる信号内の状態に常に関係しているこ
とと、(3)最小表現式の必要性とを意味する。
【0016】仕様分類により定義されたほかの情報は、
表1に要約されており、この場合“X”エントリは、ハ
イインピーダンス以外の非遷移状態(すなわち、ハイ、
ローあるいは安定)を意味し、“Z”エントリはハイイ
ンピーダンス状態を意味し、“S”エントリは安定状態
を意味する。本発明は、本発明の方法により、適切な、
インタフェース、情報を格納・操作する記憶装置と処理
装置とを備えたデジタルコンピュータを使用して、非常
に順調に行われる。格納された記述により、本発明の構
文解析モジュールは、全仕様ファイルに必要な情報を、
出来るだけ少なく引き出す。この情報が見つけられない
場合、エラーメッセージが、使用者への指示として戻る
【0017】構文解析は、使用者の入力を文字列として
処理し、分類された辞書エントリとこの文字列との間の
整合を検出するために、標準の文字列分析ルーチンを使
用することによって、行われる。 STRINGカテゴリ:  種類 STRINGは、入力列を整合に関して探索するために
使用する文字列を表す。好適な実施例において、STR
INGは、すべての文字列であり、また、次の“ワイル
ド・カード”文字からも成っている。
【0018】*=スペースのない文字、あるいは文字の
ないすべての列 ^=大文字、あるいは下線付のすべての列#=整数のす
べての列 辞書法STRINGエントリは、あいまいさが増加する
順番に分類される。個々の各エントリは、整合が見つけ
られるまで、文字毎に、分類順に従って入力列と連続的
に対照される。この手順により、各列の位置からの出来
るだけ多くの情報の偏りと、最小にあいまいな整合の成
立とが確実に行われる。この文脈において、“あいまい
さ”は、情報内容とワイルドカードの文字の数(あいま
いさが高くなる)とに関連する。
【0019】本発明者は、整数が大文字よりもあいまい
さの小さい情報を提供し、大文字自体は、大きさの混合
した文字あるいは小文字の列よりあいまいさの小さい情
報を提供することを発見した。この発見は、仕様が技術
者により表現される仕方を経験することによるものであ
る。従って、構文解析エントリは、文字の種類の間の階
層的関係を反映するキーと、整合に必要なワイルドカー
ド文字の絶対数とにより、分類される。好適な分類キー
は次の通りである。
【0020】X−所定の文字との絶対的整合X#X−指
定範囲内の整数のワイルドカードとの整合(^あるいは
* を含まない) X^X−指定ガイドライン内の大文字のワイルドカード
との整合(* を含まない) X* X−指定ガイドライン内のすべての列のワイルド
カードとの整合 #−整数のすべての列のワイルドカードとの整合^−大
文字あるいは下線付文字のすべての列のワイルドカード
との整合 * −非白色文字あるいは文字なしのすべての列好適な
辞書法は、表2に記載されている。
【0021】次の例において、 (イ)  〜と” − ” は否定記号である。すなわ
ち、それらの記号は、信号の表明がロー・レベルである
ことを示す。 (ロ)  <>は、囲まれた値がデータ、すなわち、ラ
インキャリー・データ信号の線あるいは範囲を示す。
【0022】次のカテゴリとタイプは、好結果の列整合
をもたらす最終成果を示す。カテゴリは、行うべきアク
ションを指定し、タイプは、関連するデータを示す。す
べての関連する仕様情報は、次の5項のカテゴリに分類
される。 1)  Signal タイプ:  True  (真) False (偽) Data  (データ) 意味  :  列が信号を表しまた信号が真あるいは偽
であることが示され、あるいは、信号がデータ信号であ
ることを表す。 2)  State  タイプ:  0 1 Stable(安定) High impedance(ハイインピーダンス)
Rise  (立上り) Fall  (立下り) Rise−Fall (立上り−立下り)Change
(変化) Dummy (ダミー) 意味  :  列は状態を表し、タイプは特定の状態を
示す。“ダミー”状態は、前の状態との差異以外に、そ
の特性に関するすべての情報なしで、使用者が状態を指
定することを可能にする。 3)  To タイプ:  To From 意味  :  入力記述に表明された(あるいは、暗黙
の)二つの信号の組の順位を表す。 4)  Class  タイプ:  Access(アクセス)Cycle (
サイクル) Delay (遅れ) Disable (使用不能) Fall(立下り) Hold(保持) Precharge (事前充電) Propagation (伝播) Pulse (パルス) Rise(立上り) Recovery(回復) Retlesh (再生) Setup (準備) Valid (有効) 意味  :  これらの用語は、JEDEC規格100
に記載されたタイミング必要条と信号特性を表し、仕様
分類として使用される。この情報は仕様名称の選択を誘
導し、表1により仕様情報を説明する。 5)  Is タイプ:  Clock (刻時) Asserted(表明された状態) Active(能動状態) 意味  :  信号が刻時であるか、ないか、信号が記
述内で能動状態か、あるいは表明された状態とみなされ
るか、されないかを表す。さらに、本発明は、JEDE
C規格100の下で名称の選択を狭くもする。
【0023】第一に、非常に明確なエントリとの整合を
容易にする、辞書用語を分類する価値は、必要な辞書エ
ントリの数を減少し、エントリの表現にもとづく発見的
推論を適応させることの両者にある。これは、単純な例
によって示すことが出来る。使用者が次の仕様を設定す
ると仮定しよう。すなわち、“Pulse width
 、AL Low”。これにより、辞書エントリ^L.
 signal ;false と^. signal
;trueとの整合が成立する。しかし、信号名称“A
L”との組合せのこのロー状態の指示は、信号が、真、
あるいは論理レベル0の場合に言明されることを示す。 ^L. signal ;false は、辞書内の^
. signal;trueに先行するので、本発明は
、信号は、偽である場合に言明されると推論する。この
推論は、不正確であるよりは正確である可能性が高く、
辞書エントリの分類特性から単に成立する。さらに、エ
ントリ整合を離散するか、あるいは、さらに多重整合の
可能性に最小にするためにエントリを追加することは、
不要である。
【0024】辞書の設計者が、エントリ間のあいまいさ
の矛盾を取り入れる可能性はある。すなわち、一つ以上
のエントリは、列とカテゴリの構成要素と整合するが、
タイプ構成要素では異なり、構文解析の間に予知出来な
い動作が発生する。例えば、このような二つのエントリ
は、次のエントリである。 H^. signal;true ^L.signal;false 仕様記述内の信号“HL”が、これらの二つのエントリ
を使用して構文解析される場合、一つの問題が起る。こ
の二つの辞書エントリは、同じレベルのあいまいさを有
しており、辞書の分類の後に、どちらが最初に起るかと
いう点については予知不能にする。これに続いて、信号
“HL”が、真あるいは偽と言明される信号として構文
解析されるか、されないかということについても予知不
能になる。辞書の設計者がこれについて知っていなけれ
ば、構文解析は制約される。
【0025】構文解析の制約は、辞書が設計されたとき
に払われた注意とは関係なく、上記と類似した少なくと
もいくつかの状況の下で、多分発生するので、矛盾する
あいまいさの発生源を識別出来ることは、有用である。 これは、各辞書エントリが残りのエントリを構文解析に
使用されるように、対策を講ずることにより達成される
。整合した各エントリは、個々の仕様記述に対応するの
で、エントリの間で検出された各整合は、このような発
生源を明確にする。特に、矛盾するあいまいさは、二つ
のエントリの列とカテゴリの構成要素が整合する場合、
識別されるが、タイプの構成要素は識別されない。 この手順は、上記の二つのエントリの間の矛盾するあい
まいさを明らかにする。
【0026】本発明は、また、仕様クラスが検出されな
い場合に、省略時指示を行う。一つの信号、あるいは信
号がないことが、構文解析中に識別されるならば、装置
は、“パルス幅”仕様を仕様に割りつける。二つの信号
が識別されるならば、装置は、“遅れ時間”仕様を仕様
に割りつける。これらの省略時値は、使用者が予定した
仕様のクラスに関する最良の推測を表す。好適な実施例
において、使用者は、仕様ファイルに収納する前に、省
略時値を検証することが要求される。
【0027】使用者が指定した仕様クラスは、付随する
記述的情報と矛盾することも起ることがある。この状況
では、エラー検査の機構がほかに形成する。例えば、使
用者のタイミング情報が、関連仕様クラスに関する表1
の情報と一致しない場合に、この状況が発生する。使用
者指定の分類が、使用者のタイミング情報を与えると見
込まれる分野に打ち勝つべきか、どうかを決定するため
に、表1には、分野を示した“使用者宣言”が記載され
ている。1にセットされると、この分野は、使用者の指
定は尊重されるべきであることを示す。セットされない
と、本発明は、使用者は分類を間違って入力した(ある
いは、JEDEC規格100と一致しない用語を使用し
た)と判断する。後者の場合、次に、入力したタイミン
グ情報を収納する分類で置き換えられる。好適な実施例
では、使用者は、仕様ファイルに入れる前に、新しい分
類を検証することが要求される。使用者宣言値“1”と
関連した分類は、期待されたタイミング特性からのずれ
が異常でない分類である。
【0028】構文解析動作は、初めの実施例、“セット
アップ時間、BハイからクロックAロー”に示されてい
る。最初の(すなわち、最小のあいまいさ)整合辞書エ
ントリは次の通りである。 set * up *. class ;setup 
^.         signal; true (
“B”と整合)high.       state 
;1to.        to    ;toclo
c* .     is    ;clock ^. 
        signal;true(“A”と整
合)low.        state ;0上述の
構文解析法を使用して、set * up* . cl
ass ;setup と整合すると、仕様の分類がセ
ットアップ時間として決定する。“B”との最初の整合
とその後の“high” との整合は、“1”にセット
されたこの仕様に関連するFrom  signalカ
テゴリを生成する。“to”との整合は、次の信号エン
トリが、To Signal ディペンデンスのカテゴ
リをセットすることを示す。その信号は、“A”との整
合とそれに続く“Low ”との整合とにより表され、
“0”へセットされたこの仕様と関連するTo Sig
nal カテゴリを生成する。使用者がこのエントリを
“Bハイ後のクロックAロー”として表現すると、同じ
結果が、after.  to;fromとの整合によ
り得られ、これによって、その後のエントリがFrom
 Signal カテゴリへ指向した状態で、To  
Signalカテゴリ(発生する最終の信号)へ送られ
るFrom Signal カテゴリ(発生する最初の
信号)に仮に配置されたエントリが生成する。言いかえ
れば、仕様の“正常な”順番は、最初にFrom Si
gnal 、最後にTo Signal である。af
ter. to ;fromエントリは、その順番は逆
であり、装置は、それに応じて応答することを示す。
【0029】辞書整合は、また、JEDEC規格100
により仕様名称を選択するに十分な情報を送る。これは
、簡単な表探索手順により行われる。例えば、仕様名称
は、“TBHAL”である。構文解析の後に、仕様ファ
イルは次のようになっている。     記号            内      
  容            最小      最大
    一般  TBHAL  セットアップ時間、B
ハイ      10      −      − 
             からクロックAロー仕様の
データベースも、次のように、入力された仕様に関して
生成される。
【0030】  From    From    To     T
o        Same      Diff  
    Type   Classif.  Prev
.   Current Prev.  Curren
t   signals   signals    
       1              0  
      0        1      最小 
 セットアップこの構成された一式のデータは、分析を
さらに進めるための(例えば、′624と′811の出
願に開示された本発明によりディペンデンス情報を引き
出す)多くの類似した一式のデータと組合せることが出
来る。
【0031】ここで使用された用語と表現は、記述の用
語として使用されているが、限定の用語としては使用さ
れていない。また、このような用語と表現の使用におい
て、提示されまた記述された特質と等価なすべてのもの
、あるいはその部分を除外するものではなく、多様な修
正が、請求された本発明の範囲内にあることは認められ
るものである。
【0032】
【表1】
【0033】
【表2】
【0034】
【表3】
【0035】
【表4】
【0036】
【表5】
【図面の簡単な説明】
【図1】二つの事例の信号のタイミング特性を示す。

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】  構造化されていない電子タイミング仕
    様を一貫したフォーマットに変更する方法にして、(イ
    )構造化されていない仕様を文字列として格納する段階
    と、(ロ)文字列と多様な程度のあいまいさを有する一
    組の辞書エントリとの間の連続した、文字毎の整合分析
    を行い、エントリと文字列との間の整合が、文字列が一
    つ以上の分類を表す情報を有するか、有しないかを示す
    段階と、(ハ)辞書エントリと文字列との間のあいまい
    さが最小の整合を選択する段階と、(ニ) 整合分析に
    基づいて、既知の標準に従って仕様の記号による記述を
    生成する段階とより成ることを特徴とする方法。
  2. 【請求項2】  辞書エントリが、文字列が一つ以上の
    信号を表す情報を有するか有しないか、また、信号が真
    あるいは偽と表明されるか、またはデータ信号であるか
    ないかをさらに示すことを特徴とする請求項1に記載の
    方法。
  3. 【請求項3】  辞書エントリが、文字列が一つ以上の
    状態を表す情報を有するか有しないかをさらに示すこと
    を特徴とする請求項1に記載の方法。
  4. 【請求項4】  辞書エントリが、文字列がクロック信
    号を表す情報を有するか有しないかをさらに示すことを
    特徴とする請求項1に記載の方法。
  5. 【請求項5】  さらに、整合が発見されない場合、省
    略時分類を生成する段階より成ることを特徴とする請求
    項1に記載の方法。
  6. 【請求項6】  少なくとも一つの分類と少なくとも一
    つの信号とを表す情報を有する、構造化されていない電
    子タイミング仕様を一貫したフォーマットに縮小する方
    法にして、(イ)構造化されていない仕様を文字列とし
    て格納する段階と、(ロ)あいまいさが最小の整合が発
    見されるまで、文字列と、あいまいさが増加する順番に
    分類された一組の辞書エントリとの間の連続した、文字
    毎の整合分析を行い、エントリと文字列との間の整合が
    、文字列が一つ以上の分類を表す情報を有するか有しな
    いかを示す段階と、(ハ)整合分析に基づいて、既知の
    標準に従って仮の記号による仕様記述を生成する段階と
    、(ニ)信号情報が分類情報と矛盾していないことを検
    証する段階とより成ることを特徴とする方法。
  7. 【請求項7】  さらに、信号情報が分類情報と矛盾し
    ていない場合、記号表示の記述を修正する段階より成る
    ことを特徴とする請求項6に記載の方法。
  8. 【請求項8】  構造化されていない電子タイミング仕
    様を一貫したフォーマットに縮小する装置にして、(イ
    )構造化されていないタイミング仕様を受信するオペレ
    ータ応答入力手段と、(ロ)構造化されていない前記仕
    様を文字列として格納する手段と、(ハ)(1)文字列
    と多様な程度のあいまいさを有する一組の辞書エントリ
    との間の連続した、文字毎の整合分析を行い、辞書エン
    トリと文字列との間の整合が、文字列が一つ以上の分類
    を表す情報を有するか有しないかを示し、(2)辞書エ
    ントリと文字列との間の、あいまいさが最小の整合を選
    択し、(3)整合分析に基づいて、仕様の記号表示の記
    述を既知の標準に従って生成する処理手段とより成るこ
    とを特徴とする前記の装置。
  9. 【請求項9】  さらに、記号表示の記述を通信する出
    力手段より成ることを特徴とする請求項8に記載の装置
  10. 【請求項10】  辞書エントリが、文字列が一つ以上
    の信号を表す情報を有するか有しないか、また、信号が
    真あるいは偽と表されないか、またはデータ信号である
    かないかをさらに示すことを特徴とする請求項8に記載
    の装置。
  11. 【請求項11】  辞書エントリが、文字列が一つ以上
    の状態を表す情報を有するか有しないかをさらに示すこ
    とを特徴とする請求項10に記載の装置。
  12. 【請求項12】  辞書エントリが、文字列がクロック
    信号を表す情報を有する有しないかをさらに示すことを
    特徴とする請求項8に記載の装置。
  13. 【請求項13】  さらに、整合が見出されない場合、
    省略時の分類を生成する段階より成ることを特徴とする
    請求項8に記載の装置。
  14. 【請求項14】  一つ以上の分類と一つ以上の信号と
    を表す情報を有する、構造化されていない電子タイミン
    グ分類を一貫したフォーマットに縮小する装置にして、
    (イ)構造化されていないタイミング仕様を受信するオ
    ペレータ応答入力手段と、(ロ)(1)文字列として、
    構造化されていない仕様と、(2)あいまいさが増加す
    る順番で分類された辞書エントリにして、各エントリが
    一つ以上の分類を表している一組の辞書エントリと、ま
    た、(3)一つ以上の分類と関連した信号特性を表す情
    報とを格納する手段と、(ハ)(1)あいまいさが最小
    の整合が見出されるまで、文字列と一組の辞書エントリ
    との間の連続した、文字毎の整合を行い、(2)整合分
    析に基づいて、仕様の仮の記号表示の記述を生成し、(
    3)整合したエントリにより示された分類と関連した信
    号特性を、前記分類と関連した、格納された信号特性と
    比較する処理装置とより成ることを特徴とする前記装置
  15. 【請求項15】  さらに、比較された特性が一致して
    いなければ、記号表示の記述を修正する手段より成るこ
    とを特徴とする請求項14に記載の装置。
  16. 【請求項16】  さらに、前記辞書エントリを矛盾の
    あるあいまいさに関して検査する手段より成ることを特
    徴とする請求項14に記載の装置。
JP3145937A 1990-06-26 1991-06-18 タイミング仕様情報の解釈と編成を行う方法と装置 Pending JPH04233065A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/544,269 US5239493A (en) 1990-06-26 1990-06-26 Method and apparatus for interpreting and organizing timing specification information
US544269 1990-06-26

Publications (1)

Publication Number Publication Date
JPH04233065A true JPH04233065A (ja) 1992-08-21

Family

ID=24171484

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3145937A Pending JPH04233065A (ja) 1990-06-26 1991-06-18 タイミング仕様情報の解釈と編成を行う方法と装置

Country Status (6)

Country Link
US (1) US5239493A (ja)
EP (1) EP0463729B1 (ja)
JP (1) JPH04233065A (ja)
AU (1) AU639551B2 (ja)
CA (1) CA2042824A1 (ja)
DE (1) DE69129681T2 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5381524B2 (en) * 1991-11-12 1997-07-08 Chronology Corp Automated development of timing diagrams for electrical circuits
JP3737104B2 (ja) * 1992-06-04 2006-01-18 ジリンクス,インコーポレーテッド プログラム可能な集積回路デバイスにユーザ回路を配置するタイミング駆動式の方法
US5648913A (en) * 1993-03-29 1997-07-15 Xilinx, Inc. Frequency driven layout system and method for field programmable gate arrays
US5475607A (en) * 1994-04-12 1995-12-12 International Business Machines Corporation Method of target generation for multilevel hierarchical circuit designs
US5727187A (en) * 1995-08-31 1998-03-10 Unisys Corporation Method of using logical names in post-synthesis electronic design automation systems
US6023567A (en) * 1996-10-07 2000-02-08 International Business Machines Corporation Method and apparatus for verifying timing rules for an integrated circuit design
US6836753B1 (en) 2001-06-13 2004-12-28 Cadence Design Systems, Inc. Cone slack allocator for computing time budgets
US20070033557A1 (en) * 2005-08-08 2007-02-08 Byrn Jonathan W Method for creating constraints for integrated circuit design closure
US8082140B2 (en) * 2008-04-16 2011-12-20 GM Global Technology Operations LLC Parametric analysis of real time response guarantees on interacting software components

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4490811A (en) * 1979-03-14 1984-12-25 Yianilos Peter N String comparator device system circuit and method
US4821220A (en) * 1986-07-25 1989-04-11 Tektronix, Inc. System for animating program operation and displaying time-based relationships
US4965758A (en) * 1988-03-01 1990-10-23 Digital Equipment Corporation Aiding the design of an operation having timing interactions by operating a computer system
US4914590A (en) * 1988-05-18 1990-04-03 Emhart Industries, Inc. Natural language understanding system

Also Published As

Publication number Publication date
CA2042824A1 (en) 1991-12-27
US5239493A (en) 1993-08-24
DE69129681T2 (de) 1999-02-25
AU639551B2 (en) 1993-07-29
EP0463729A3 (ja) 1994-01-05
DE69129681D1 (de) 1998-08-06
EP0463729B1 (en) 1998-07-01
EP0463729A2 (en) 1992-01-02
AU7501991A (en) 1992-01-02

Similar Documents

Publication Publication Date Title
JP4427500B2 (ja) 意味解析装置、意味解析方法および意味解析プログラム
JP4656868B2 (ja) 構造化文書作成装置
US20030033595A1 (en) Automated HDL modifying apparatus and computer-readable recording medium in which program for automatically modifying HDL is recorded
JP5370159B2 (ja) 情報抽出装置及び情報抽出システム
US20080082570A1 (en) Document Processing System, Method And Program
US20090300054A1 (en) System for inferring data structures
US20070266378A1 (en) Source code generation method, apparatus, and program
EP1508864A2 (en) Apparatus and method for searching data of structured document
US7926019B1 (en) Common clock path pessimism analysis for circuit designs using clock tree networks
KR101933953B1 (ko) 페이지랭크와 토픽 모델링을 이용한 소프트웨어 도메인 토픽 추출 시스템
CN113761879B (zh) 报文格式校验方法、装置以及存储介质
CN113190220A (zh) Json文件差异化对比方法及装置
JPH04233065A (ja) タイミング仕様情報の解釈と編成を行う方法と装置
CN114492264B (zh) 门级电路的转译方法、系统、存储介质及设备
US5353433A (en) Method and apparatus for organizing and analyzing timing information
CN117195829A (zh) 文本标注方法、文本标注装置及电子设备
CN116467047A (zh) 针对容器配置合规性的检测方法、装置、存储介质及终端
RU2393536C2 (ru) Способ унифицированной семантической обработки информации, обеспечивающий в рамках одной формальной модели представление, контроль семантической правильности, поиск и идентификацию описаний объектов
CN116360794A (zh) 数据库语言解析方法、装置、计算机设备及存储介质
CN112733517B (zh) 需求模板符合性检查的方法、电子设备及存储介质
US7389220B2 (en) Correcting incomplete negation errors in French language text
JPWO2009113289A1 (ja) 新規事例生成装置、新規事例生成方法及び新規事例生成用プログラム
JPH11232303A (ja) テキスト検索装置
CN112231278A (zh) 项目工程文件的分析方法、装置、设备及存储介质
CN111177312A (zh) 一种语法和语义融合的开源代码搜索方法