JPH04211163A - Integrated circuit - Google Patents

Integrated circuit

Info

Publication number
JPH04211163A
JPH04211163A JP3060016A JP6001691A JPH04211163A JP H04211163 A JPH04211163 A JP H04211163A JP 3060016 A JP3060016 A JP 3060016A JP 6001691 A JP6001691 A JP 6001691A JP H04211163 A JPH04211163 A JP H04211163A
Authority
JP
Japan
Prior art keywords
trench
silicon
integrated circuit
etch
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3060016A
Other languages
Japanese (ja)
Inventor
Monte A Douglas
モンテ エイ.ダグラス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US06/730,701 external-priority patent/US4702795A/en
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH04211163A publication Critical patent/JPH04211163A/en
Pending legal-status Critical Current

Links

Landscapes

  • Local Oxidation Of Silicon (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

PURPOSE: To provide a trench without any groove, spike, or another undesired plane shape on the floor by etching the trench by using the forward spattering of a hard mask. CONSTITUTION: This circuit is composed of silicon oxide, and the silicon is exposed only in a scheduled trench place. Thus, a silicon substrate on which a hard mask in which a limited pattern is decided is provided is prepared. Then, the oxide of the silicon is always deposited on the side wall of the trench during etching, and almost all the oxygen electrons of the silicon on the side wall at the side wall are allowed to come from the hard mask. The etching of the trench is operated by the plasma source of a silicon etching agent ion at the scheduled trench place of the silicon substrate under this condition.

Description

【発明の詳細な説明】[Detailed description of the invention]

[0001] [0001]

【産業上の利用分野】本発明はトレンチ構造を持つ集積
回路及びこの様な集積回路の製法に関する。 [0002]
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an integrated circuit having a trench structure and a method for manufacturing such an integrated circuit. [0002]

【従来の技術及び問題点】 トレンチ、即ち、集積回路
の基板内にエツチングによって作られていて、2.5:
1より大きな縦横比、即ち、3ミクロンより小さな幅及
び1ミクロンより大きな深さを持つ溝を製造することは
、VLSI処理の幾つかの方面で望ましいことであるが
、この製造工程は幾つもの目立った困難を伴う。本発明
はこの困難を取上げるものである。CMO8及びバイポ
ーラ技術に於ける現在のVLSIの傾向は、ラッチアッ
プの惧れを有効に少なくしながら、能動装置を製造する
為のシリコン面積の利用皮を改善する為に、非侵入形の
分離方式を開発することを必要としている。即ち、LO
GO8分離を使ってモート(能動装置)領域を離隔する
時、フィールド酸化物が、その所望の厚さに成長させる
のに同時に、横方向に成長してモート領域に侵入する。 これは他の分離技術の場合でも問題である。分離構造に
よって、一番近接している能動装置の間を分離するのに
必要な間隔は、最小表面形状(ジオメトリ−)の何倍に
もなる惧れがある。コンパクトな分離を提供する必要性
は、CMO8VLSI技術にとって特に重要である。 これは現在のドーピング・レベルがN−Pタンク間間隔
を4−6ミクロンに制限するからである。所要のn+と
p十領域間の間隔を減らす為、シリコン・トレンチ・ド
ライ・エッチ処理を用いて、セルの間に深いけれども幅
の狭いシリコン井戸を作り、後でこの井戸をCVD酸化
物又はポリシリコンで埋め戻して、高い密度を保ち且つ
ラッチアップを避けながら、装置の分離を行なうことが
出来る。
BACKGROUND OF THE INVENTION Trenches, ie, etched into the substrate of an integrated circuit, are
Although it is desirable in some aspects of VLSI processing to fabricate trenches with aspect ratios greater than 1, i.e., widths less than 3 microns and depths greater than 1 micron, this manufacturing process suffers from several notable problems. accompanied by difficulties. The present invention addresses this difficulty. Current VLSI trends in CMO8 and bipolar technologies are developing non-intrusive isolation schemes to improve silicon area utilization for fabricating active devices while effectively reducing the risk of latch-up. need to be developed. That is, L.O.
When using GO8 isolation to isolate moat (active device) regions, field oxide grows laterally into the moat region at the same time as it grows to its desired thickness. This is also a problem with other separation techniques. With isolation structures, the spacing required to provide separation between closest active devices can be many times the minimum surface geometry. The need to provide compact isolation is particularly important for CMO8VLSI technology. This is because current doping levels limit the N-P tank spacing to 4-6 microns. To reduce the required spacing between the n+ and p+ regions, a silicon trench dry etch process is used to create deep but narrow silicon wells between the cells, which are later filled with CVD oxide or polysilicon. Backfilling with silicon allows device isolation while maintaining high density and avoiding latch-up.

【0003】 トレンチ処理が重要である別の分野はD
RAM (ダイナミック・ランダムアクセス・メモリ)
の場合である。静電容量をソフトエラー・レベルより下
げずに、平面状の記憶キャパシタが使うセル面積を減少
することが出来れば、ダイナミックMOSメモリのバッ
キング密度を一層高くすることが出来る。これは、平面
状キャパシタの等価表面積を持つ位に深くエツチングし
たシリコン・トレンチの側壁の上にキャパシタの誘電体
を配置することによって、達成することが出来る。こう
言う用途のトレンチが、分離に必要なものと異なり、長
いトレンチになる傾向がないことに注意されたい。 [0004]然し、この様な用途で、満足し得る結果を
達成する為には、シリコン・トレンチの特性を注意深く
制御しなければならない。トレンチの断面形が特に重要
である。例えば、従来のトレンチ・エッチ処理を用いる
と、パターニング・マスクに対してシリコンがアンダカ
ットされていたり、或いはトレンチの底の近くに「溝形
」が出来る様なトレンチの断面形が普通に観測される。 僅かにアンダカットが生じた側壁を持つ断面形でも、ト
レンチの隔離及びトレンチ・キャパシタ処理の両方に普
通に使われているこの後のCVD埋戻し作業の間、容易
に空所が形成されやすい。こういう空所は、汚染物の溜
り場所として作用し得るので、問題である。更に、後の
エッチバック工程によって空所が再び開口してしまうこ
とがあり、その後で導体のパターンを定めようとすると
場合、大きなフィラメントの問題が生ずる。更に、トレ
ンチ内に真に平面状の面を達成する為のエッチバックが
、成る高級なプロセスで望ましいことであるが、それが
不可能になる。トレンチの底の「溝形」も非常に有害に
なる惧れがある。これはトレンチ・キャパシタの誘電体
の完全さを劣化させる惧れがあり、厚手の熱酸化の際、
応力に関係した高いシリコン欠陥密度を促進する惧れが
ある。 [0005]  r溝形」はエッチ・プロセスの初めに
生じた非均一性によるものと考えられる。即ち、エッチ
・プロセスの初め頃、トレンチの縁は、真直ぐに入って
来るイオンと、トレンチの側壁又はハード・マスクにぶ
つかって若干方向のそれたイオンとの両者による衝撃下
に露出する。この為、トレンチはその中心の近くよりも
その縁の方が幾分か一層深くエッチされる。自動スパッ
タリングの複雑な影響により、この非一様性が後のエツ
チング段階にも持ち込まれ、その為従来の方法を用いて
エッチされたトレンチは、その底で、側壁の近隣に溝を
持つ傾向が非常に強い。 [0006]従って、本発明の目的は、トレンチの床に
溝又はスパイク又はその他の望ましくない平面形状を持
たないトレンチを作る様なシリコン・トレンチ・エッチ
を提供することである。従来の別の問題は、後退アンダ
カット又は湾曲と呼ぶことが出来る様な特別な形のアン
ダカットである。これは、アンダカットの程度がマスク
の近隣では殆んどゼロであって、深さと共に、1ミクロ
ン程度の距離にわたって典型的に増加するという点で、
普通の形のアンダカットとは異なる。この後退アンダカ
ットは、トレンチの向い合った縁で、マスク材料からイ
オンが散乱されることによるものと考えられる。従って
、本発明の目的は、真直ぐな(湾曲していない)側壁を
持ち、トレンチの床に溝又はその他の望ましくない地形
を持たない様なトレンチを作るシリコン・トレンチ・エ
ッチを提供することである。 [0007]従来、トレンチの断面形を制御する自然な
方法は、例えば圧力を高めることにより、エッチ条件を
異方性が幾分少なくなる様にすることである。然しこの
方式は幾つかの理由でうまく行かない。第1に、−層等
方性に近いエッチは、トレンチの最終的な幅を一層制御
しにくいものにする。第2に、トレンチの側壁が垂直に
近いものである場合(これは何よりもトレンチを使うき
っかけとなった利点を保つのに必要である)、単に一層
等方性に近いエッチを使っても、トレンチの頂部の近く
にアンダカットを作る傾向があり、その為埋戻しの際に
空所が生ずる。空所ができなくなる位に異方性を少なく
すれば、 「トレンチ」構造は非常に幅が広くなって、
トレンチを使う大部分の目的であるコンパクトな分離を
提供することができなくなる。
Another area where trench processing is important is D.
RAM (dynamic random access memory)
This is the case. If the cell area used by planar storage capacitors can be reduced without reducing the capacitance below soft error levels, the backing density of dynamic MOS memories can be increased even further. This can be accomplished by placing the capacitor dielectric on the sidewalls of a silicon trench that is etched deep enough to have the equivalent surface area of a planar capacitor. Note that trenches for these applications, unlike those needed for isolation, do not tend to be long trenches. [0004] However, in such applications, the properties of the silicon trench must be carefully controlled to achieve satisfactory results. The cross-sectional shape of the trench is particularly important. For example, using conventional trench etch processes, it is common to see trench cross-sections that undercut the silicon relative to the patterning mask, or create a "groove" near the bottom of the trench. Ru. Even cross-sections with slightly undercut sidewalls are susceptible to void formation during subsequent CVD backfill operations, which are commonly used for both trench isolation and trench capacitor processing. These voids are problematic because they can act as a reservoir for contaminants. Additionally, subsequent etch-back steps may reopen the voids, creating significant filament problems when subsequent patterning of conductors is attempted. Additionally, etchback to achieve a truly planar surface within the trench, which would be desirable in advanced processes, becomes impossible. "Gulleys" at the bottom of the trench can also be very harmful. This can degrade the dielectric integrity of the trench capacitor, and during thick thermal oxidation,
This may promote high stress-related silicon defect densities. [0005] The ``r-groove'' is believed to be due to non-uniformities occurring at the beginning of the etch process. That is, early in the etch process, the edges of the trench are exposed to bombardment by both straight-in ions and ions that are slightly deflected by hitting the trench sidewalls or hard mask. For this reason, the trench is etched somewhat deeper at its edges than near its center. The complex effects of automatic sputtering carry this non-uniformity into subsequent etching steps, so that trenches etched using conventional methods tend to have grooves at their bottoms and near the sidewalls. Very strong. [0006] Accordingly, it is an object of the present invention to provide a silicon trench etch that produces trenches that do not have grooves or spikes or other undesirable planar features in the trench floor. Another problem in the prior art is the special shape of undercuts, which can be referred to as setback undercuts or curvatures. This is in that the degree of undercut is almost zero in the vicinity of the mask and increases with depth, typically over distances on the order of 1 micron.
It is different from the normal undercut. This retreating undercut is believed to be due to scattering of ions from the mask material at opposing edges of the trench. It is therefore an object of the present invention to provide a silicon trench etch that produces trenches with straight (non-curved) sidewalls and without grooves or other undesirable topography in the trench floor. . [0007] Traditionally, a natural way to control the cross-sectional shape of the trench is to make the etch conditions somewhat less anisotropic, for example by increasing the pressure. However, this method does not work for several reasons. First, a near-layer isotropic etch makes the final width of the trench less controllable. Second, if the sidewalls of the trench are near vertical (which is necessary to preserve the advantages that led to the use of trenches above all else), simply using a more near-isotropic etch will result in There is a tendency to create undercuts near the top of the trench, resulting in voids during backfilling. If the anisotropy is reduced to the point where there are no voids, the "trench" structure becomes very wide,
This eliminates the ability to provide compact isolation, which is the primary purpose of using trenches.

【0008】[0008]

【問題点を解決する為の手段及び作用】本発明は、選択
的な側壁デポジッションを活用して、 「溝形」をなく
すと共に、急な角度の正の勾配を持ち、この勾配が制御
自在で再現性があるトレンチ側壁を持つトレンチを作る
様なシリコン・トレンチ・エッチ方法を提供することに
より、従来の上に述べた問題並びにその他の問題を解決
する。この為、この発明によって得られるトレンチは、
線幅の制御を犠牲にせずに、後で首尾よく埋戻し処理を
するのに適している。この発明は、シリコン・トレンチ
・エツチング工程の間ゆっくりと連続的に−様な形で行
なわれる酸化物側壁デポジッションを用いる。 (こう
いうことが達成されると考えられるのは、エッチ・プロ
セスの間、マスクの一部分がフォーワードスパッタリン
グされ、フォーワードスパッタリングされたマスク材料
の一部分が(恐らくはシリコン・エッチ生成物と組合さ
って)、エツチングが進行するにつれて、トレンチの側
壁の上に薄くデポジットされた化学量論的でない酸化物
を作る為である。)こうして、シリコン・エッチの一層
深い部分は、側壁酸化物によりパターンの縁に限定され
、デポジッションが進むにつれて、勾配を持つシリコン
側壁を作る。更に、側壁のデポジッションが、トレンチ
の底の「溝形」を防止する。これは恐らく、トレンチの
底の縁のデポジッションによる形作用並びに/又は酸化
物とシリコンとのイオン振れ係数の差によるものであろ
う。 [0009]従来、シリコン・トレンチをエツチングし
ながら酸化シリコンをゆっくりとデポジットして、5i
02マスクに対してずっと高い「選択性」を達成する様
な組成を用いた実験が行われたことがあった。28 I
EEEトランスアクションズ・オン・エレクトロン・デ
バイセズ誌1320所載のホルビッツの論文「マスク材
料のエッチ速度を非常に遅くしたシリコンの反応性スパ
ッタ・エツチング」参照。然し、従来のこの試みはデポ
ジッションが制御出来なかった。これは、デポジットさ
れる酸化物の出所がガス流であり、そのデボジッション
の場所がガス流によって決定され、 (本発明の様に)
エツチング・プロセスに対して好便に且つ確実に位置ぎ
めされていないからである。この報告の従来技術では、
側壁酸化物のデボジッションは壁の断面形の点で否定的
な結果を持ち、成る程度のマスクの実効的なアンダカッ
トを助長する。
SUMMARY OF THE INVENTION The present invention utilizes selective sidewall deposition to eliminate "channels" and provide a steeply angled positive slope that is controllable. The above-mentioned and other problems of the prior art are overcome by providing a silicon trench etch method that produces trenches with trench sidewalls that are reproducible in size. Therefore, the trench obtained by this invention is
Suitable for subsequent successful backfilling without sacrificing line width control. The present invention uses oxide sidewall deposition performed in a slow, continuous-like fashion during the silicon trench etch process. (This is believed to be achieved because during the etch process, a portion of the mask is forward sputtered and a portion of the forward sputtered mask material (possibly in combination with silicon etch products) (This is to create a thinly deposited non-stoichiometric oxide on the sidewalls of the trench as the etch progresses.) Thus, the deeper portions of the silicon etch are covered by the sidewall oxide at the edges of the pattern. Create silicon sidewalls that are defined and sloped as the deposition progresses. Additionally, sidewall deposition prevents "grooving" at the bottom of the trench. This is probably due to depositional shaping of the bottom edge of the trench and/or differences in ion deflection coefficients between oxide and silicon. [0009] Conventionally, silicon oxide is slowly deposited while etching a silicon trench to form a 5i
Experiments have been conducted with compositions that achieve much higher "selectivity" for the 02 mask. 28 I
See the article by Horvitz, ``Reactive Sputter Etching of Silicon with Very Slow Etch Rates of Mask Materials,'' published in EEE Transactions on Electron Devices, Vol. 1320. However, in this conventional attempt, the deposition could not be controlled. This means that the source of the deposited oxide is the gas stream, the location of its deposition is determined by the gas stream, and (as in the present invention)
This is because it is not conveniently and reliably positioned for the etching process. In the prior art of this report,
Sidewall oxide deposition has negative consequences in terms of wall profile and promotes effective undercutting of the mask to a certain degree.

【0010】本発明による処理の革新の特定の利点は、
底の溝形又は頂部のアンダカットを持たない、真直ぐな
成る勾配の側壁を持つトレンチが作れることであり、側
壁の勾配は急峻であるが、制御可能である。トレンチの
側壁の勾配を変える為に制御される処理パラメータは、
シリコン・エッチ工程の直流自己バイアス電圧と、酸化
物のエツチングを生ずる傾向を持つB Cl 3の様な
少最の種目を導入することである。即ち、シリコン・エ
ッチ混合物の中に3乃至5 secmのBC13を導入
すれば、側壁酸化物のデポジッションが完全になくなり
、−層少ない景のB C13を導入すれば、側壁酸化物
のデポジッションが減少し、従ってエツチングしている
トレンチの側壁の角度が急になる。この為、この発明は
、80°乃至89°の予定の角度の制御された側壁の勾
配及び平坦なトレンチの底を持つトレンチを製造する再
現性のある方法を提供する。更に、こう云うトレンチを
従来実現出来なかった深さ、例えば8ミクロン又はそれ
以上に容易に作ることが出来る。
Particular advantages of the processing innovation according to the invention are:
It is possible to create trenches with straight sloped sidewalls without bottom channels or top undercuts, and the slope of the sidewalls is steep but controllable. The process parameters controlled to change the slope of the trench sidewalls are:
The DC self-bias voltage of the silicon etch process and the introduction of minimal species, such as BCl3, which tend to cause oxide etching. That is, introducing 3 to 5 seconds of BC13 into the silicon etch mixture completely eliminates sidewall oxide deposition, and introducing less BC13 eliminates sidewall oxide deposition. The angle of the sidewalls of the etched trench decreases and therefore becomes steeper. To this end, the present invention provides a reproducible method for manufacturing trenches with a controlled sidewall slope of a predetermined angle of 80° to 89° and a flat trench bottom. Furthermore, such trenches can be easily made to depths not previously possible, such as 8 microns or more.

【0011】この様な理想的なトレンチを作る方法は従
来なかったのであるから、この構造自体が新規である。 精密に制御された側壁の急峻な勾配が利用出来ることは
、埋戻しの問題を回避することが出来ること、そして打
ち込み工程が(希望する場合)トレンチの側壁に達する
ことが出来ることを意味するものであるから、非常に有
利である。これは、トレンチ分離の用途に特に望ましい
ことがある。こういう場合、この様な打ち込みを用いて
、トレンチの側壁の面にある寄生トランジスタのターン
オンによる漏洩通路を除去することが出来る。これはト
レンチ・キャパシタの用途でも役立ち得る。この場合、
この様な打ち込み部が「ハイC(高容量)」の打ち込み
部として作用することが出来、或いはその他の目的に役
立つことが出来る。 (この場合、ハード・マスクは酸
化物/窒化物/酸化物又はポリシリコン/酸化物の様な
複合材料で作り、スロートチョーキング(側壁をおおう
)酸化物を除去した後、幾分かのハード・マスクが残っ
て打込み用のトレンチ・マスク・パターンを保つことが
出来る。) [0012]特に、本発明は非常に深いトレンチを用い
てトレンチ・キャパシタを作ることが出来る様にする。 −殻内にトレンチ・キャパシタの重要な問題は、最小形
状のキャパシタの静電容量を十分高くすることである。 本発明はこの問題の2つの面の解決に寄与する。第1に
、トレンチが深ければ深い程、 (側壁上の所定の特定
の静電容量に対し)その静電容量が一層大きくなる。第
2に、本発明はトレンチ・キャパシタが、能動装置が形
成されている軽くドープされたエピタキシャル層を真直
ぐに通って著しくドープされた基板に達することが出来
る位に、トレンチ・キャパシタを非常に深く作ることが
出来る様にする。基板が著しくドープされている場合、
トレンチの底が三日月形になることを避けることが特に
重要である。幾何学的な形によって生じた電界の増加は
、それより一層軽くドープされた領域よりも、その場所
で絶縁降伏を一層起こしがちであるが、その理由は2つ
ある。第1に、空乏幅が一層狭くなるので、空乏層の電
界が一層強くなる。第2に、この場所に著しいドーピン
グが存在することは、成長させた酸化物の品質が、それ
より軽くドープしたシリコン上に成長させた酸化物と全
く同じにはならないことを意味することがある。第3に
、三日月形の底の周りに存在する能力は、成長させた酸
化物が他のどの場所よりも、この重要な区域で厚さが一
層小さくなることを意味する。例えば、本発明の1実施
例は、深さ8ミクロンのトレンチを用いたDRAMセル
を提供するが、これより更に深いトレンチも容易に作る
ことが出来る。 [0013] この為、本発明はこの明細書で説明する
この利点の他に、少なくとも下記の利点を提供する。 ■、  形状を非常によく制御して、非常に深いトレン
チを再現性をもってエッチすることが出来る。 2、  本発明は真直ぐな(湾曲していない)側壁を持
ち、トレンチの底に溝又はその他の望ましくない地形を
持たないトレンチをシリコン内に作る。 3、  本発明によって得られるトレンチは、線幅の制
御を犠牲にせずに、この後首尾よく再充填処理するのに
適している。 4、  本発明は、急な角度で正の勾配を持ち、この勾
配を制御自在に且つ再現性をもって決めることが出来る
トレンチ側壁を有するトレンチを提供する。 5、  本発明(の成る実施例)は、深さが6ミクロン
又はそれ以上のトレンチ内にキャパシタを持っていて、
トレンチ・セル内に比較的大きな静電容量を達成するこ
との出来るDRAMセルを提供する。 6、  本発明(の成る実施例)は、 (能動装置を作
ったエピタキシャル層より下方の)著しくドープした基
板まで伸びるトレンチ内にキャパシタを持っていて、ト
レンチ・セル内に比較的大きな静電容量を達成すること
の出来るDRAMセルを提供する。 7、  本発明(の成る実施例)は、深さが6ミクロン
又はそれ以上のトレンチ内の記憶キャパシタに重なる垂
直トランジスタを持っていて、通過トランジスタの良好
な性能並びにトレンチの良好な埋戻し特性を保ちながら
、トレンチ・セル内に比較的大きな静電容量を達成する
ことの出来る様なりRAMセルを提供する。 [00141本発明では、シリコン基板の上にパターン
を定めたハード・マスクを設け、該ハード・マスクの材
料がフォーワードスパッタリングされて、エツチングの
間、トレンチの側壁の上のデポジッションを誘起する様
なエッチ条件の下に、前記シリコン基板の露出部分をプ
ラズマ・エツチングする工程を含むシリコンにトレンチ
をエツチングする方法を提供する。 [0015] この発明では、酸化シリコンで構成され
ていて、予定のトレンチの場所でだけ当該シリコンを露
出する様に限定された、パターンを定めたハード・マス
クをその上に持つシリコン基板を用意し、エツチングの
間、当該トレンチの側壁の上にシリコンの酸化物が絶え
ずデポジットされるという条件の下で、前記シリコン基
板の予定のトレンチの場所にトレンチをエツチングする
工程を含み、前記トレンチの側壁上の酸化物の中にある
略全部の酸素原子が前記ハード・マスクから来る様にし
た、シリコンにトレンチをエツチングする方法を提供す
る。 [00161更に本発明では、メモリ・セルのアレーで
構成されたダイナミック・ランダムアクセス・メモリを
有し、該アレー内のセルが記憶キャパシタと直列の通過
トランジスタで個別に構成されていて、該記憶キャパシ
タの少なくとも1つの極板がトレンチの面にあるシリコ
ン内に形成され、前記側壁が80°乃至89°の範囲内
の正の側壁角度を持ち、湾曲又はアンダカットのない真
直ぐな側壁を持つ様な、トレンチ・キャパシタを有する
集積回路を提供する。 [0017]更に本発明では、トランジスタを構成する
複数個の能動装置区域をその中に含むシリコン基板と、
予定の分離パターンで前記能動装置区域を隔てる複数個
のトレンチとを有し、各々のトレンチが80°乃至89
0の範囲内の正の側壁角度で湾曲又はアンダカットのな
い真直ぐな側壁を持つ様な、トレンチ隔離部を持つ集積
回路を提供する。次に本発明を図面について説明する。 [0018]
[0011] Since there has been no conventional method for making such an ideal trench, this structure itself is new. The availability of precisely controlled sidewall steepness means that backfill problems can be avoided and that the implant process (if desired) can reach the trench sidewalls. Therefore, it is very advantageous. This may be particularly desirable for trench isolation applications. In such cases, such implants can be used to eliminate leakage paths due to turn-on of parasitic transistors on the sidewall surfaces of the trenches. This can also be useful in trench capacitor applications. in this case,
Such a drive can act as a "high-C" drive or serve other purposes. (In this case, the hard mask is made of a composite material such as oxide/nitride/oxide or polysilicon/oxide, and after removing the throat-choking oxide, some hard mask is removed. (The mask remains to preserve the trench mask pattern for implantation.) [0012] In particular, the present invention allows for the fabrication of trench capacitors using very deep trenches. - The key issue with trench-in-shell capacitors is to make the capacitance of the minimum geometry capacitor high enough. The present invention contributes to solving two aspects of this problem. First, the deeper the trench, the greater its capacitance (for a given specific capacitance on the sidewalls). Second, the present invention allows the trench capacitor to be placed very deep, so that it can pass straight through the lightly doped epitaxial layer in which the active device is formed into the heavily doped substrate. Make it possible to make it. If the substrate is heavily doped,
It is especially important to avoid crescent-shaped bottoms of the trenches. The increase in electric field caused by the geometry makes it more prone to dielectric breakdown there than in more lightly doped regions for two reasons. First, since the depletion width becomes narrower, the electric field in the depletion layer becomes stronger. Second, the presence of significant doping at this location may mean that the quality of the oxide grown will not be quite the same as that grown on more lightly doped silicon. . Third, the ability to exist around the base of the crescent means that the grown oxide will be less thick in this critical area than anywhere else. For example, one embodiment of the present invention provides a DRAM cell using trenches 8 microns deep, but deeper trenches can easily be made. [0013] Thus, in addition to the advantages described herein, the present invention provides at least the following advantages. ■. Very deep trenches can be reproducibly etched with very good shape control. 2. The present invention creates trenches in silicon with straight (non-curved) sidewalls and no grooves or other undesirable topography at the bottom of the trench. 3. The trenches obtained according to the invention are suitable for subsequent successful refill processing without sacrificing linewidth control. 4. The present invention provides trenches with trench sidewalls that have a steep positive slope, the slope of which can be determined controllably and reproducibly. 5. The present invention (embodiments) has a capacitor in a trench with a depth of 6 microns or more,
A DRAM cell is provided that can achieve relatively large capacitance within a trench cell. 6. Embodiments of the present invention include having a capacitor in a trench extending to a heavily doped substrate (below the epitaxial layer from which the active device was made), with a relatively large capacitance in the trench cell. To provide a DRAM cell that can achieve the following. 7. Embodiments of the present invention have vertical transistors overlapping storage capacitors in trenches of 6 microns or more in depth, providing good pass transistor performance as well as good trench backfill properties. To provide a RAM cell in which a relatively large capacitance can be achieved within the trench cell while maintaining the memory capacity of the trench cell. [00141 In the present invention, a patterned hard mask is provided on a silicon substrate such that the material of the hard mask is forward sputtered to induce deposition on the sidewalls of the trench during etching. A method of etching a trench in silicon includes the step of plasma etching an exposed portion of the silicon substrate under suitable etch conditions. [0015] The present invention provides a silicon substrate having a patterned hard mask thereon comprised of silicon oxide and defined to expose the silicon only at the intended trench locations. etching a trench in the silicon substrate at the location of the intended trench, provided that during etching, oxide of silicon is continually deposited on the sidewalls of the trench; A method of etching a trench in silicon such that substantially all the oxygen atoms in the oxide of the silicon come from the hard mask. [00161] The present invention further comprises a dynamic random access memory comprised of an array of memory cells, each cell in the array being individually comprised of a pass transistor in series with a storage capacitor; at least one plate of is formed in silicon in the face of the trench, said sidewalls having a positive sidewall angle in the range of 80° to 89° and having straight sidewalls without curvature or undercuts. , an integrated circuit having a trench capacitor is provided. [0017] The present invention further provides a silicon substrate having a plurality of active device areas therein forming a transistor;
a plurality of trenches separating the active device areas in a predetermined separation pattern, each trench having an angle between 80° and 89°;
An integrated circuit having a trench isolation having straight sidewalls without curvature or undercuts with positive sidewall angles in the range of 0. Next, the present invention will be explained with reference to the drawings. [0018]

【実施例】現在好ましいと考えられる幾つかの実施例を
詳しく説明するが、本発明が広い範囲に応用し得る新規
な考えを表わすものであり、多種多様な場合に実施し得
るから、以下に述べる実施例が例示であって、本発明の
範囲を定めるものではなく、本発明の範囲が特許請求の
範囲によって限定されることをはっきりと述べておきた
い。図1は本発明の1実施例の方法を実施する時の最初
の工程を示す。二酸化シリコンのパターンを定めたハー
ド・マスク12がシリコン基板10上の所定位置にあり
、予定のトレンチの場所16で基板10を露出する為の
開口を定めている。 [0019]勿論、こういうエツチング条件は大幅に変
えることが出来る。一般的に、それがハード・マスクの
前向きスパッタリング(並びに/又は反応性再デポジッ
ション)を生ずるものであれば、従来の広い範囲に及ぶ
条件を使うことが出来る。しかし、前に記載したエッチ
の構成には成る特定の利点がある。例えば、塩素の主な
源として、C12ではなくHCIを使うことは、マスク
のフォーワードスパッタリングが促進されることを意味
する。これは、イオン衝撃がCI2  イオンではなく
、主にCI  イオンによって行なわれ、C1イオンは
マスク材料の平均原子番号に一層よく合い、それがスパ
ッタリングの歩留まり(又は少なくともスパッタリング
の歩留まりとイオンの反射の合計)を−層高くする傾向
があるからである。実際、CI2ではなく、HCIを主
要なエッチ供給ガス成分として使うことが、本発明の方
法の成功に実質的な寄与を持つことが判った。 (一般
的に、本発明を変更する場合、エッチャントのイオンの
種目が、側壁のデポジッションの量、従ってその結果得
られるトレンチの断面形を制御する為に調節することが
出来る1つの変数である。)HCIによる利点の幾分か
は、それが側壁のデボジッションで成る役割を果たし得
る水素原子の源にもなる可能性があることによるものと
思われる。 [00201塩素はかなりエッチ速度を速めるが、小さ
い割合でもCI2が含まれればトレンチの底の三日月形
が著しくなる。従って、好ましい食刻ガス流は40sc
cmのHCIであり、塩素は全くない。トレンチの最後
の部分をエツチングするのに一層高い圧力を使うと、尖
ったトレンチの底が出来る傾向がある。−層低い圧力を
使うと、エッチ速度が低下する。バイアス電圧を低くす
ると、側壁酸化物のデポジッション及びエツチング速度
が低下し、 (ずっと低くすれば)等方性エツチング特
性に近くなり始める。バイアスを一層高くすると、側壁
酸化物のデポジッション及びエッチ速度が増加し、酸化
物マスクの侵食が増加し、それが著しければ、線幅の制
御が出来なくなる。前に述べた様に、B C13の様な
少量の無機塩化物を導入すると、酸化物のエツチングが
容易になり、従って側壁酸化物のデポジッションが抑制
され、従ってトレンチの一層急峻な側壁が得られる。
[Embodiments] Several embodiments currently considered to be preferred will be described in detail, but since the present invention represents a novel idea that can be applied in a wide range and can be implemented in a wide variety of cases, the following description will be given below. It should be clearly stated that the described embodiments are illustrative and do not define the scope of the invention, which is limited by the scope of the claims. FIG. 1 shows the first steps in carrying out the method of one embodiment of the invention. A silicon dioxide patterned hard mask 12 is in place on the silicon substrate 10, defining openings to expose the substrate 10 at the intended trench locations 16. [0019] Of course, these etching conditions can vary widely. In general, a wide range of conventional conditions can be used, provided they result in forward sputtering (and/or reactive redeposition) of the hard mask. However, the etch configuration previously described has certain advantages. For example, using HCI rather than C12 as the primary source of chlorine means that forward sputtering of the mask is enhanced. This is because the ion bombardment is primarily performed by CI ions rather than CI2 ions, and the C1 ions match the average atomic number of the mask material better, which increases the sputtering yield (or at least the sum of the sputtering yield and the reflection of the ions). ) tends to be higher. In fact, it has been found that using HCI, rather than CI2, as the primary etch feed gas component has a substantial contribution to the success of the method of the present invention. (Generally, when modifying the invention, the ion species of the etchant is one variable that can be adjusted to control the amount of sidewall deposition and thus the resulting trench cross-sectional shape.) ) Some of the benefit from HCI may be due to the fact that it can also be a source of hydrogen atoms that can play a role in sidewall deposition. [00201 Chlorine increases the etch rate considerably, but the presence of even a small percentage of CI2 makes the crescent shape at the bottom of the trench noticeable. Therefore, the preferred etching gas flow is 40 sc
cm of HCI and no chlorine. Using higher pressure to etch the last portion of the trench tends to create a sharp trench bottom. - Using lower layer pressures reduces the etch rate. As the bias voltage is lowered, the sidewall oxide deposition and etch rates slow down and (much lower) begin to approach isotropic etch characteristics. Higher bias increases sidewall oxide deposition and etch rates, increasing oxide mask erosion and, if significant, loss of linewidth control. As mentioned earlier, the introduction of small amounts of inorganic chlorides, such as B C13, facilitates oxide etching and thus suppresses sidewall oxide deposition, thus resulting in steeper sidewalls of the trench. It will be done.

【0021】フォーワードスパッタリングの程度、従っ
てトレンチの側壁の角度に影響を与える別の因子は、ハ
ード・マスクの側壁の初期側壁勾配である。即ち、第1
図で、酸化物のハード・マスク12の側壁14は、完全
に90°の角度でないことが好ましい。80°乃至89
°の角度が好ましい。更に勾配を大きくしたハード・マ
イクの側壁は、一般的にハード・マスクのフォーワード
スパッタリングが一層多くなるが、ハード・マスクの勾
配の側壁を大きくしすぎることは、マスクが多面状にな
り、線幅の制御が出来なくなると共に、トレンチの上側
の隅に於けるシリコンの損傷の惧れがある。 [0022]本発明の方法は非常にダイナミック(動的
)な方法であるから、酸化物の初期側壁角度が重要であ
る。シリコン・エッチ工程全体の間、フォーワードスパ
ッタリングが連続的に進行し、その為、マスクの初期角
度がかなりの距離にわたって、エツチングの結果に直接
的又は間接的に影響を及ぼすことがある。現在好ましい
と考えられる実施例では、マスクの初期角度は主にフォ
トレジストの側壁角度からの転写によって限定される。 然しこの代りに、最初のエツチング工程のエツチング条
件を変えて、ハードマスクを最初は幾分か余計に多面形
にすることが出来る。例えば、現在好ましいと考えられ
る実施例は、主に清浄化及び初期設定の為に最初のBC
l3 エッチを使うが、この代りに、エツチングのこの
最初の工程に使われる条件(バイアス電圧を一層高くす
る、異なる供給ガス種目を使う等)を変えて、最初に成
る程度多面形にすることが出来る。 (然し、ハードマ
スクの最初の側壁の勾配が急峻でなければならないこと
にやはり注意されたい。そうしないと、マスクの侵食に
より、マスクが後退し、頂部が広くなったトレンチが出
来る。) [0023]図7は面に対するイオン入射角θの関数と
して、スパッタリングの歩留りS(θ)及びイオン反射
係数R(θ)の曲線のサンプルを示している。本発明に
とってこの曲線が意味することは、マスクの側壁角θは
θ  (イオンの反射が無視し得る様な入射角)とθ(
スパッタリングの歩留りが無視し得る様になる入射角)
の間の範囲内にすべきであるということである。マスク
の角度はθ 又はθ の何れにもあまり近くしないこと
が好ましいが、この範囲の中心部分の何処かにすべきで
ある。この中心範囲を広くする為、下記の因子を調節す
ることが出来る。次の因子によって前向きスパッタリン
グの程度が高められる。 (プラズマのシース電位を増
加することにより)、イオンのエネルギを強める。マス
ク材料の原子番号を下げる。支配的な入射イオンの原子
番号を下げる、又はターゲット材料の密度を減少する。 −殻内にイオンの反射(底が三日月形になる現象を招く
)は次の式で定められる最小角θ で起る。 22/3 π     5πa  n   Zl 22 ER□−
〇 −□ 2       < z 2/3 +z 2/3 )E
l     2    1 ここでaOは水素のボーア半径、Zl はイオンの原子
番号、Z2 はターゲット種目の原子番号、nはターゲ
ットの密度、ERはリドバーブ・エネルギ、El はイ
オン・エネルギである。 [0024]使うシリコン・エッチ条件は強い異方性で
あることが好ましく、こうしてトレンチの側壁の勾配の
制御が専ら側壁デポジッション特性に依存する様にする
。−層急峻な側壁を希望する場合、これは供給ガス混合
物の中にB C1aの様な酸化物エッチャントのごく小
さな流れを導入して、側壁に対する材料の前向きデポジ
ッションを減少することによって達成することが出来る
。本発明はそれ程固有の異方性がない様なシリコン・エ
ッチ条件を用いてもよいが、これは好ましくはない。 ハードマスクのパターンを定める酸化エッチ工程の間、
レジストの側壁の形が酸化物ハードマスクの側壁角度に
反映される。 [0025]次に、トレンチ・エツチング条件を説明す
る。この実施例では、シリコン・トレンチ・エッチは下
記の条件の下で、6電極真空管形のRIEバッチ反応器
内で実施される。 工程1 (a)  HCl  40secm (b) BC1310secm (c)圧力15ミリトル (d)バイアス −300ボルト (e)温度 60℃ (f)時間 5分間 工程2 (a)  HCl  40secm (b) CI2  5secm (c)圧力 15ミリトル (d)バイアス −400ボルト (e)温度60℃ (f)時間 所要のエッチの深さによる。例えば3ミク
ロンのトレンチをエッチするには約40分間。 [0026]エツチの最初の工程は、シリコンが短時間
空気に露出しただけでも典型的に形成される様な自然の
酸化物を切取る。ガス流量はsccm、即ち標準立方セ
ンナ7分で表わす。使われるエッチ条件は、約400ミ
リワツト/平方センチの面積電力密度及び約7ワツト/
リツトルの容積プラズマ電力密度に対応する。トレンチ
が所望の深さにエッチされた後、清浄工程を用い(使わ
れる特定の装置製造順序に関係する)、エッチしたトレ
ンチの側壁から酸化物を除去することが好ましい。これ
は例えば、HFによる短いウェット・エッチ又は短い高
圧プラズマ・フロロ・エッチであってよい。ハード・マ
スクのフォーワードスパッタリング(又は反応性再デポ
ジッション)を用いてトレンチをエツチングするという
全般的な考えは、それ自身が新規であり、非常に広範囲
の変更及び変形を用いて実施することが出来ることに注
意されたい。例えば、窒化シリコンか或いはフォトレジ
ストの様な他のハード・マスク材料でも使うことが出来
るが、マスクの侵食を良好に制御して、更に制御のよい
フォーワードスパッタリングを得る為には、酸化物のハ
ード・マスクが非常に好ましい。HBrを使う実施例で
は、既に素子形成が終わり試験した実施例では、側壁に
デポジットした材料の中に可成りの割合の窒素を含むと
考えられる。フォトレジスト・マスクは、マスク材料の
最初の側壁の角度に一層影響され易いことが判った。 [00271図2はサンプルとして、1メガビツトのダ
イナミックRAMに対するトレンチ・キャパシタを作る
為に深さ3ミクロンにエッチされ、その後の処理が行わ
れたトレンチを示している。図3は、エッチの直後に観
測されたシリコン・エッチに対する側壁のデポジッショ
ン(図3a)及び30秒間、10%HFに浸漬すること
によってそれを除去した後のトレンチ(図3b)の例を
示している。図4は変形のトレンチ・エッチ方法を用い
て、シリコン・エッチの間、側壁の沈積物を除く効果を
図式的に示している。側壁酸化物がないと、著しい溝形
及び中位のアンダカットが観測される。 [00281図5は、本発明に従って、トレンチが正の
勾配の急峻な側壁及び平坦な底を持つ様な、トレンチ・
キャパシタを用いたサンプルとしてのDRAMセルを示
す。高融点金属ゲート106によってアドレスされる通
過トランジスタ104がビット線118を、トレンチ・
キャパシタの一方の極板を形成するn十拡散部112に
接続する。他方の極板はポリシリコン層108である。 トレンチ・キャパシタがトレンチ120内に形成される
が、このトレンチは真直ぐな正の勾配の側壁を持ってい
る。薄い酸化物122がトレンチ・キャパシタの誘電体
となり、酸化物119がトレンチを埋戻している。パタ
ーンを定めた酸化物116が分離作用をする。トレンチ
が8ミクロンの深さにエッチされる。この内の大体頂部
の2ミクロンがパストランジスタに必要である。使うウ
ェーハはp十形エピタキシャルの上のp形であり、この
為キャパシタの底部の4ミクロンが大部分の静電容量を
持つ。 [0029]即ち、本発明によって得られる極めて深い
トレンチの利点は、トレンチの底部のドーピングをトレ
ンチの頂部のドーピングと大幅に変えることが出来るこ
とである。こういうことを行なう1つの方法は、能動装
置の層を切り抜いて基板に達することである。例えば、
現在好ましいと考えられる実施例では、基板のドーピン
グは約IE19のp形であり、エピタキシャル層は約4
ミクロンの厚さである。 (上方拡散により、4ミクロ
ンの深さから約2ミクロンの深さまで、徐々に減少する
ドーピングが得られる。)能動装置のチャンネル領域は
1.5ミクロンの深さの所に約IE16のp形ドーピン
グを持っていて、 (この例では)その上に、深さ1ミ
ロンで約IE20にドープされていて、DRAMアレー
のビット線を形成する埋込みn上層が重なっている。然
し、トレンチの底部のドーピング濃度を一層高くするこ
との利点は、他の方法、例えば打込みにより、又は固体
の源又は気相ドーパント源種目からの拡散によっても達
成することが出来る。 [00301本発明は品質の高いトレンチ・キャパシタ
を提供するが、それを使うことは勿論DRAMに制限さ
れるものではなく、電荷ポンプ作用を行う為にアースさ
れたキャパシタを実質的に直流で使うDRAM形の回路
にも制限されるものではない。本発明によって得られる
単位表面あたりの大きな静電容量を得られるという利点
は、多くのキャパシタ切換えフィルタ形状にも非常に役
に立つことがある。他の多くの直流及びRFフィルタの
形状では、アースに対するキャパシタを用いており、本
発明のトレンチ・キャパシタを入れることによって、利
点が得られる。フィルタの用途では、1個のトレンチに
よって典型的に得られる数十乃至数百fFの静電容量は
普通は小さすぎる値であり、この為、数多くのトレンチ
・キャパシタを並列接続して、十分に小さなKT/C雑
音特性を持つキャパシタを形成することが好ましい。更
に、本発明によるアースに対するトレンチ・キャパシタ
は、RF集積回路でRFアースを作るのにも非常に役立
つことがある。 [00311現在最も好ましいと考えられる実施例では
、本発明を用いて深さ3乃至10ミクロンのトレンチを
エッチするが、この代りに本発明を用いて更に深いトレ
ンチをエッチすることが出来る。ここで述べる実施例は
、最小形状のキャパシタから得られる静電容量でDRA
Mセルにとって十分であるから、大体最小形状である。 然し、本発明は同じ縦横比を持つ一層深いトレンチをエ
ッチする為にも使うことが出来る。例えば、本発明を用
いて、頂部で6ミクロン平方のトレンチを40ミクロン
又はそれ以上の深さまでエッチすることが出来る。 極めて深いトレンチをエッチする時、トレンチが1点に
なるまでテーパがつく様にしないことが望ましいのは勿
論である。この為、トレンチの底が依然として平坦であ
る様に、深さを選択するのが典型的である。同様に、−
層浅いトレンチもこの発明を用いてエッチすることが出
来る。これは、パターニングの為に1ミクロン未満の形
状が更に広く使われるならば、−層好ましくなる。現在
好ましいと考えられる実施例のキャパシタは、DRAM
回路に使われる様に、アースに対するキャパシタである
ことが好ましいことに注意されたい。然し、これは固有
の制約ではない。例えば、SOI構造又は深い接合の隔
離部を持つエピタキシャル構造では、トレンチ・キャパ
シタを取囲む様に分離トレンチを配置して、キャパシタ
・トレンチの下側部分のレベルに於ける非常に著しいド
ーピングの利点を活かしながら、キャパシタの下側極板
の電位もアクセス出来る様にすることが出来る。 [0032]本発明のトレンチは縦型論理構造にとって
も非常に有利になり得る。ここで説明したDRAMセル
はトレンチ内に1つのトランジスタを持っていて、電流
の流れが基板面に対し垂直である。然し、論理回路の用
途では、1つのトレンチ内に1つより多くの能動装置を
入れることが有利であることがある。この場合も、本発
明によって側壁の輪郭に対する制御作用がすぐれている
ことは、極めて有用である。特に、埋込みの平面状の面
を作る為に長いエッチバックに依存する製造の流れでは
、本発明によって得られる空所を作らないという特性が
決め手になり得る。 [0033]−殻内に、本発明によるトレンチは、分離
技術に対しても非常に有利である。図6はトレンチによ
る分離を用いたCMO3構造の1例を示す。この場合、
NMOSトランジスタ204のn十拡散部202とPM
OSトランジスタ208のp十拡散部206の間にとり
得る間隔が、酸化物を埋めたトレンチ210を分離の為
に使うことによって短くなる。このトレンチは正の勾配
の急峻な側壁及び平坦な底を持っている。 [0034]
Another factor that influences the extent of forward sputtering and thus the angle of the trench sidewalls is the initial sidewall slope of the hard mask sidewalls. That is, the first
As shown, the sidewalls 14 of the oxide hard mask 12 are preferably not at a full 90° angle. 80° to 89
A degree angle is preferred. Furthermore, a hard mic sidewall with a large slope will generally result in more forward sputtering of the hard mask, but making the sloped sidewall of the hard mask too large will cause the mask to become multifaceted and cause lines to form. There is a loss of width control and the risk of damage to the silicon in the upper corners of the trench. [0022] Since the method of the present invention is a highly dynamic method, the initial sidewall angle of the oxide is important. During the entire silicon etch process, forward sputtering occurs continuously, so that the initial angle of the mask can directly or indirectly influence the etch results over a significant distance. In the presently preferred embodiment, the initial angle of the mask is limited primarily by transfer from the sidewall angle of the photoresist. However, alternatively, the etching conditions of the first etching step can be changed to make the hard mask initially somewhat more polygonal. For example, the currently preferred embodiment uses an initial BC primarily for cleaning and initialization.
13 etch, but alternatively, the conditions used for this first step of the etch (higher bias voltage, use of a different supply gas type, etc.) can be used to achieve the polygonal shape that is initially obtained. I can do it. (Note again, however, that the initial sidewall slope of the hard mask must be steep; otherwise, mask erosion will cause the mask to recede and create a trench with a wide top.) ] FIG. 7 shows sample curves of sputtering yield S(θ) and ion reflection coefficient R(θ) as a function of ion incidence angle θ with respect to the surface. What this curve means for the present invention is that the sidewall angle θ of the mask is θ (the angle of incidence at which ion reflection is negligible) and θ (
(Incidence angle at which sputtering yield becomes negligible)
This means that it should be within the range between . Preferably, the mask angle is not too close to either θ 2 or θ 2 , but should be somewhere in the middle of this range. To widen this central range, the following factors can be adjusted. The following factors enhance the degree of forward sputtering. Increasing the energy of the ions (by increasing the sheath potential of the plasma). Lower the atomic number of the mask material. Lowering the atomic number of the dominant incident ions or reducing the density of the target material. - Reflection of ions into the shell (resulting in a crescent-shaped bottom) occurs at a minimum angle θ defined by the equation: 22/3 π 5πa n Zl 22 ER□−
〇 −□ 2 < z 2/3 +z 2/3 )E
l 2 1 where aO is the Bohr radius of hydrogen, Zl is the atomic number of the ion, Z2 is the atomic number of the target species, n is the density of the target, ER is the lidbarb energy, and El is the ion energy. [0024] The silicon etch conditions used are preferably strongly anisotropic, such that control of the sidewall slope of the trench depends solely on the sidewall deposition characteristics. - If steep sidewalls are desired, this may be achieved by introducing a very small flow of an oxide etchant such as BCla into the feed gas mixture to reduce forward deposition of material on the sidewalls. I can do it. Although the present invention may use silicon etch conditions that are less inherently anisotropic, this is not preferred. During the oxidation etch step that defines the hardmask pattern,
The sidewall shape of the resist is reflected in the sidewall angle of the oxide hardmask. [0025] Next, trench etching conditions will be explained. In this example, the silicon trench etch is performed in a six-electrode vacuum tube type RIE batch reactor under the following conditions. Step 1 (a) HCl 40 sec (b) BC 1310 sec (c) Pressure 15 mTorr (d) Bias -300 volts (e) Temperature 60°C (f) Time 5 minutes Step 2 (a) HCl 40 sec (b) CI2 5 sec (c ) Pressure 15 mTorr (d) Bias -400 Volts (e) Temperature 60°C (f) Time Depends on desired etch depth. For example, it takes about 40 minutes to etch a 3 micron trench. [0026] The first step of the etch cuts away the native oxides that typically form when silicon is exposed to air for even short periods of time. Gas flow rates are expressed in sccm, ie, standard cubic centimeters of 7 minutes. The etch conditions used were an areal power density of about 400 mW/cm2 and an areal power density of about 7 W/cm2.
corresponds to Little's volumetric plasma power density. After the trench is etched to the desired depth, a clean step (depending on the particular device fabrication sequence used) is preferably used to remove the oxide from the sidewalls of the etched trench. This may be, for example, a short wet etch with HF or a short high pressure plasma fluoro etch. The general idea of etching trenches using forward sputtering (or reactive redeposition) of a hard mask is novel in itself and can be implemented with a very wide range of modifications and variations. Please note that it is possible. For example, other hard mask materials such as silicon nitride or photoresist can be used, but for better control of mask erosion and more controlled forward sputtering, oxide Hard masks are highly preferred. In embodiments using HBr, it is believed that the material deposited on the sidewalls contains a significant proportion of nitrogen in the embodiments tested after device formation. It has been found that photoresist masks are more sensitive to the original sidewall angle of the mask material. [00271 Figure 2 shows a sample trench that was etched to a depth of 3 microns and subsequently processed to create a trench capacitor for a 1 megabit dynamic RAM. Figure 3 shows an example of the sidewall deposition for the silicon etch observed immediately after the etch (Figure 3a) and the trench after its removal by dipping in 10% HF for 30 seconds (Figure 3b). ing. FIG. 4 schematically illustrates the effectiveness of removing sidewall deposits during a silicon etch using a modified trench etch method. In the absence of sidewall oxide, significant grooves and moderate undercuts are observed. [00281 FIG. 5 shows a trench structure in accordance with the present invention in which the trench has positively sloped steep sidewalls and a flat bottom.
A DRAM cell as a sample using a capacitor is shown. A pass transistor 104 addressed by a refractory metal gate 106 connects a bit line 118 to a trench
It is connected to the n+ diffusion section 112 forming one plate of the capacitor. The other plate is a polysilicon layer 108. A trench capacitor is formed in trench 120, which trench has straight positive slope sidewalls. Thin oxide 122 serves as the dielectric for the trench capacitor, and oxide 119 backfills the trench. The patterned oxide 116 provides isolation. A trench is etched to a depth of 8 microns. Roughly the top 2 microns of this is required for the pass transistor. The wafer used is p-type on p-decade epitaxial, so the bottom 4 microns of the capacitor has most of the capacitance. [0029] Thus, an advantage of the extremely deep trenches provided by the present invention is that the doping at the bottom of the trench can be significantly different from the doping at the top of the trench. One way to do this is to cut through the active device layers to reach the substrate. for example,
In the presently preferred embodiment, the substrate doping is p-type at about IE19 and the epitaxial layer is about IE19.
It is micron thick. (Updiffusion provides a gradually decreasing doping from a depth of 4 microns to a depth of about 2 microns.) The channel region of the active device has a p-type doping of about IE16 at a depth of 1.5 microns. (in this example) overlaid by a buried n overlayer that is doped to approximately IE20 to a depth of 1 millimeter and forms the bit lines of the DRAM array. However, the advantage of a higher doping concentration at the bottom of the trench can also be achieved in other ways, such as by implantation or by diffusion from a solid source or gas phase dopant source species. [00301 Although the present invention provides a high quality trench capacitor, its use is of course not limited to DRAM, which uses a grounded capacitor with substantially direct current to perform the charge pumping action. It is not limited to circuits of any shape. The advantage of large capacitance per unit surface provided by the present invention may also be very useful in many capacitor switched filter geometries. Many other DC and RF filter configurations use capacitors to ground and benefit from the inclusion of the trench capacitor of the present invention. In filter applications, the tens to hundreds of fF of capacitance typically provided by a single trench is usually too small, so many trench capacitors may be connected in parallel to provide sufficient It is preferable to form a capacitor with low KT/C noise characteristics. Furthermore, the trench capacitor to ground according to the present invention may also be very useful for creating RF ground in RF integrated circuits. [00311 In the presently most preferred embodiment, the present invention is used to etch trenches 3 to 10 microns deep, but the present invention can alternatively be used to etch deeper trenches. The embodiment described here uses a capacitance obtained from a capacitor of minimum size to
Since it is sufficient for M cells, it is approximately the smallest shape. However, the invention can also be used to etch deeper trenches with the same aspect ratio. For example, a trench 6 microns square on top can be etched to a depth of 40 microns or more using the present invention. Of course, when etching very deep trenches, it is desirable not to allow the trench to taper to a single point. For this reason, the depth is typically chosen such that the bottom of the trench remains flat. Similarly, -
Shallow trenches can also be etched using the present invention. This becomes preferred if sub-micron features are more widely used for patterning. The capacitor of the presently preferred embodiment is DRAM
Note that it is preferably a capacitor to ground, as used in circuits. However, this is not an inherent limitation. For example, in SOI structures or epitaxial structures with deep junction isolation, an isolation trench may be placed around the trench capacitor to take advantage of very significant doping at the level of the lower portion of the capacitor trench. It is possible to access the potential of the lower plate of the capacitor while making the most of the potential. [0032] The trenches of the present invention can also be highly advantageous for vertical logic structures. The DRAM cell described here has one transistor in a trench, with current flow perpendicular to the substrate surface. However, in logic circuit applications, it may be advantageous to have more than one active device within one trench. Again, the superior control over the sidewall profile provided by the present invention is extremely useful. Particularly in manufacturing streams that rely on long etchbacks to create buried planar surfaces, the void-free feature provided by the present invention can be a deciding factor. [0033] - Within the shell, the trench according to the invention is also very advantageous for isolation techniques. FIG. 6 shows an example of a CMO3 structure using trench isolation. in this case,
n+ diffusion part 202 of NMOS transistor 204 and PM
The possible spacing between p-diffusions 206 of OS transistor 208 is reduced by using oxide filled trenches 210 for isolation. The trench has steep sidewalls of positive slope and a flat bottom. [0034]

【発明の効果】以上の説明から判る様に、本発明は、と
りわけ、アンダカットのない正の勾配のシリコン・トレ
ンチの側壁が得られ、この後の埋戻し処理を容易に出来
る様にし、パターンの寸法の制御を犠牲にせずに、側壁
の正の勾配が得られ、トレンチの底に普通見られる「溝
形」の問題がなくなり、その代りに、トレンチの平坦な
底が得られることを含めて、従来に較べて、幾つかの重
要な利点をもたらす。 [00351本発明をサンプルとしての種々の実施例に
ついて説明したが、本発明の新規な考えは、非常に広い
範囲の変形並びに変更を加えることが出来、従って、本
発明の範囲は特許請求の範囲のみによって限定されるこ
とを承知されたい。 [00361以上の説明に関連して更に下記の項を開示
する。 (1)  シリコンにトレンチをエツチングする方法に
於て、シリコン基板の上に、パターンを定めたマスクを
設け、該マスクの材料が前向きにスパッタリングされて
、エツチングの間、トレンチの側壁の上にデポジッショ
ンを誘起する様なエッチ条件の下で前記シリコン基板の
露出部分をプラズマ・エツチングする工程を含む方法。 [0037] (2)  シリコンにトレンチをエツチングする方法に
於て、酸化シリコンで構成されていて、予定のトレンチ
の場所でだけ前記シリコンを露出する様に限定されたパ
ターンを定められたハード・マスクをその上に持つシリ
コン基板を用意し、エツチングの間、シリコンの酸化物
が当該トレンチの側壁の上に絶えずデポジットされ、側
壁の側壁上の酸化物中の略全部の酸素原子が前記ハード
・マスクから来る様な条件の下で、前記シリコン基板の
前記予定のトレンチの場所で、シリコン食刻剤イオンの
プラズマ源にによってトレンチをエツチングする工程を
含む方法。 [0038] (3)  第(1)項に記載した方法に於て、前記マス
クが略酸化シリコンで構成され、前記トレンチ・エッチ
が塩素を持つ種目を含有するエッチ・ガス中でのプラズ
マ・エツチングで構成され、前記塩素を持つ種目が主に
塩化水素で構成されている方法。 [0039] (4)  第(1)項に記載した方法に於て、前記マス
クが略酸化シリコンで構成され、前記トレンチ・エッチ
が、CI2  イオンよりも一層量の多いCI  イオ
ンの源となるエッチ・ガス内でのプラズマ・エツチング
である方法。 [0040] (5)  第(1)項に記載した方法に於て、前記トレ
ンチ・エッチが1乃至100ミリトルの範囲内の全圧で
行なわれる方法。
As can be seen from the above description, the present invention provides, among other things, silicon trench sidewalls with a positive slope without undercuts, facilitates the subsequent backfilling process, and improves patterning. positive slope of the sidewalls without sacrificing control of the dimensions of the trench, eliminating the "channel" problem commonly found at the bottom of the trench, and instead providing a flat bottom of the trench. This provides several important advantages over the conventional method. [00351 Although the present invention has been described with reference to various sample embodiments, the novel idea of the present invention is susceptible to a very wide range of modifications and changes, and therefore the scope of the present invention extends beyond the scope of the claims. Please be aware that you are limited only by: [00361 In connection with the above description, the following sections are further disclosed. (1) In a method of etching trenches in silicon, a patterned mask is provided on a silicon substrate, and the material of the mask is sputtered forward to deposit onto the sidewalls of the trench during etching. A method comprising the step of plasma etching exposed portions of the silicon substrate under etch conditions that induce positions. [0037] (2) In a method of etching trenches in silicon, a hard mask comprised of silicon oxide and defined in a defined pattern to expose the silicon only at the intended trench location. During etching, an oxide of silicon is continuously deposited on the sidewalls of the trench, and substantially all the oxygen atoms in the oxide on the sidewalls are removed from the hard mask. etching a trench in the silicon substrate at the location of the intended trench with a plasma source of silicon etchant ions under conditions such that the trench is etched in the silicon substrate at the location of the intended trench. [0038] (3) In the method described in item (1), the mask is composed of substantially silicon oxide, and the trench etch is plasma etching in an etch gas containing a chlorine-bearing species. A method in which the chlorine-containing species is mainly composed of hydrogen chloride. [0039] (4) In the method described in paragraph (1), the mask is made of substantially silicon oxide, and the trench etch is an etch that is a source of CI ions in a larger amount than CI ions. - A method that is plasma etching in gas. [0040] (5) The method described in paragraph (1), wherein the trench etch is performed at a total pressure within the range of 1 to 100 mTorr.

【0041】 (6)  第(1)項に記載した方法に於て、前記マス
クの開口が、前記トレンチ・エッチの前に、80°乃至
89°の範囲内の側壁角度を持っている方法。 [0042] (7)  第(1)項に記載した方法に於て、前記トレ
ンチ・エッチが250乃至550ポルトの範囲内のバイ
アス電圧を用いて行なわれる方法。 [0043] (8)  第(2)項に記載した方法に於て、前記マス
クが略酸化シリコンで構成され、前記トレンチ・エッチ
が塩素を持つ種目を含有するエッチ・ガス内でのプラズ
マ・エツチングで構成され、前記塩素を持つ種目が主に
塩化水素で構成されている方法。 [0044] (9)  第(2)項に記載した方法に於て、前記マス
クが略酸化シリコンで構成され、前記トレンチ・エッチ
がCI2  イオンよりも量の多いCI  イオンの源
となるエッチ・ガス内でのプラズマ・エツチングで構成
される方法。 [0045] (10)  第(2)項に記載した方法に於て、前記ト
レンチ・エッチが1乃至100ミリトルの範囲内の全圧
で行なわれる方法。 [0046] (11)  第(2)項に記載した方法に於て、前記マ
スクの開口が、前記トレンチ・エッチの前は、80°乃
至89°の範囲内の側壁角度を持っている方法。 [0047] (12)  第(2)項に記載した方法に於て、前記ト
レンチ・エッチが250乃至550ボルトの範囲内のバ
イアス電圧で行なわれる方法。 [0048] (13)  第(2)項に記載した方法に於て、前記ト
レンチ・エツチング・プラズマが少ない割合の酸化物エ
ツチング種目を含んでおり、該受ない割合は、前記側壁
酸化物のデポジッションの速度を調節する様に予め選ば
れている方法。 [0049] (14)  第(2)項に記載した方法に於て、前記プ
ラズマ・エツチングが5乃至10ワツト/リツトルの範
囲内の容積電力密度で行なわれる方法。 [00501 (15)  第(2)項に記載した方法に於て、前記ト
レンチ・エツチングが塩化水素で構成されたエッチ・ガ
ス内でのプラズマ・エツチングで構成される方法。 [0051] (16)  第(1)項に記載した方法に於て、前記ト
レンチ・エッチが塩化水素で構成されたエッチ・ガス内
でのプラズマ・エツチングで構成される方法。 [0052] (17)トレンチ・キャパシタを持つ集積回路に於て、
メモリ・セルのアレーで構成されたダイナミック・ラン
ダムアクセス・メモリを有し、前記アレー内のセルは個
別に記憶キャパシタと直列の通過トランジスタで構成さ
れており、該記憶キャパシタの少なくとも1つの極板が
トレンチの面でシリコン内に形成されており、前記トレ
ンチが80乃至89°の範囲内の正の側壁角で、湾曲又
はアンダカットなしに真直ぐな側壁をもっている集積回
路。 [0053] (18)  メモリ・セルのアレーで構成されたダイナ
ミック・ランダムアクセス・メモリを有し、該アレー内
のセルは個別に記憶キャパシタと直列の通過トランジス
タで構成されており、前記記憶キャパシタの少なくとも
一方の極板がトレンチの面でシリコン内に形成されてお
り、前記トレンチは80°乃至90°の範囲内の正の側
壁角度で、湾曲又はアンダカットのない真直ぐな側壁を
持っており、前記トレンチの底が何ら三日月形を持って
いない、トレンチ・キャパシタを有する集積回路。 [0054] (19)  メモリ・セルのアレーで構成されたダイナ
ミック・ランダムアクセス・メモリを有し、該アレー内
のセルは個別に記憶キャパシタと直列の通過トランジス
タで構成されており、夫々の通過トランジスタがトレン
チ内の絶縁された記憶板に対して電荷を転送する様に接
続されており、前記トレンチが80°乃至90°の範囲
内の正の側壁角度で、湾曲又はアンダカットのない真直
ぐな側壁を持っており、前記トレンチの底が何等三日月
形を持っていない、トレンチ・キャパシタを有する集積
回路。 [0055] (20)  各々トレンチ内の絶縁された記憶板で構成
された複数個の基板に対するキャパシタと、少なくとも
若干の前記基板に対するトレンチ・キャパシタを含む予
定の回路形式に接続された複数個の能動装置とを有し、
前記トレンチが80°乃至89°の範囲内の正の側壁角
度で湾曲又はアンダカットのない真直ぐな側壁を持ち、
前記トレンチの底が何等三日月形を持っていない、トレ
ンチ・キャパシタを有する集積回路。 [0056] (21)  第(17)項に記載した集積回路に於て、
前記トレンチの深さが6乃至20ミクロンである集積回
路。 [0057] (22)  第(17)項に記載した集積回路に於て、
前記トレンチが能動装置層を通ってその下の層まで伸び
、前記その下の層は前記能動装置層の3乃至10,00
0倍の平衡キャリア濃度を持っている集積回路。 [0058] (23)  第(17)項に記載した集積回路に於て、
前記半導体材料の内、前記トレンチの底に隣接する部分
が、前記通過トランジスタのチャンネル領域の3乃至1
00倍の平衡キャリア濃度を持っている集積回路。 [0059] (24)  第(17)項に記載した集積回路に於て、
前記通過トランジスタが略垂直の電流の流れを生ずる様
な形になっており、夫々の通過トランジスタが夫々対応
するトレンチ内のキャパシタに重なっている集積回路。 [00601 (25)  第(18)項に記載した集積回路に於て、
前記トレンチの深さが6乃至20ミクロンである集積回
路。 [00611 (26)  第(18)項に記載した集積回路に於て、
前記トレンチが能動装置層を通ってその下の層まで伸び
ており、前記その下の層は前記能動装置層の3乃至10
゜000倍の平衡キャリア濃度を持っている集積回路。 [0062] (27)  第(18)項に記載した集積回路に於て、
前記半導体材料の内、前記トレンチの底に隣接する部分
が、前記通過トランジスタのチャンネル領域の3乃至1
00倍の平衡キャリア濃度を持っている集積回路。 [0063] (28)  第(18)項に記載した集積回路に於て、
前記通過トランジスタが略垂直の電流の流れを生ずる様
な形になっており、夫々の通過トランジスタが夫々前記
トレンチ内の対応するキャパシタに重なっている集積回
路。 [0064] (29)  第(19)項に記載した集積回路に於て、
前記トレンチの深さが6乃至20ミクロンである集積回
路。 [0065] (30)  第(19)項に記載した集積回路に於て、
前記トレンチが能動装置層を通ってその下の層まで伸び
ており、前記その下の層が前記能動装置層の3乃至10
゜000倍の平衡キャリア濃度を持っている集積回路。 [0066] (31)  第(19)項に記載した集積回路に於て、
前記半導体材料の内、前記トレンチの底に隣接する部分
が、前記通過トランジスタのチャンネル領域の3乃至1
00倍の平衡キャリア濃度を持っている集積回路。 [0067] (32)  第(19)項に記載した集積回路に於て、
前記通過トランジスタが略垂直の電流の流れを生ずる様
な形になっており、夫々の通過トランジスタが前記トレ
ンチ内の夫々対応するキャパシタに重なっている集積回
路。 [0068] (33)  第(20)項に記載した集積回路に於て、
前記トレンチの深さが6乃至20ミクロンである集積回
路。 [0069] (34)  第(20)項に記載した集積回路に於て、
前記トレンチが能動装置層を介してその下の層まで伸び
ており、前記その下の層が前記能動装置層の3乃至10
゜000倍の平衡キャリア濃度を持っている集積回路。 [00701 (35)トランジスタを構成する複数個の能動装置区域
を含むシリコン基板と、前記能動装置区域を予定の隔離
パターンで隔てる複数個のトレンチとを有し、各々のト
レンチは80°乃至89°の範囲内の正の側壁角度で湾
曲又はアンダカットのない真直ぐな側壁を持っている、
トレンチ隔離部を持つ集積回路。
(6) The method of paragraph (1), wherein the mask opening has a sidewall angle in the range of 80° to 89° before the trench etch. [0042] (7) The method described in paragraph (1), wherein the trench etch is performed using a bias voltage in the range of 250 to 550 volts. [0043] (8) In the method described in paragraph (2), the mask is composed of substantially silicon oxide, and the trench etch is plasma etched in an etch gas containing a chlorine-bearing species. A method in which the chlorine-containing species is mainly composed of hydrogen chloride. [0044] (9) In the method described in item (2), the mask is made of substantially silicon oxide, and the trench etch is an etch gas that is a source of CI ions in a larger amount than CI ions. The method consists of plasma etching in the interior. [0045] (10) The method described in paragraph (2), wherein the trench etch is performed at a total pressure within the range of 1 to 100 mTorr. [0046] (11) The method of paragraph (2), wherein the opening in the mask has a sidewall angle in the range of 80° to 89° before the trench etch. [0047] (12) The method of paragraph (2), wherein the trench etch is performed at a bias voltage in the range of 250 to 550 volts. [0048] (13) In the method described in item (2), the trench etching plasma includes a small percentage of oxide etching species, and the non-receiving percentage is the sidewall oxide etchant. A preselected method for adjusting the speed of a position. [0049] (14) The method described in paragraph (2), wherein the plasma etching is performed at a volumetric power density within the range of 5 to 10 watts/liter. [00501 (15) The method described in paragraph (2), wherein the trench etching comprises plasma etching in an etch gas comprised of hydrogen chloride. [0051] (16) The method described in paragraph (1), wherein the trench etch comprises plasma etching in an etch gas comprised of hydrogen chloride. [0052] (17) In an integrated circuit having a trench capacitor,
A dynamic random access memory comprising an array of memory cells, each cell in the array comprising a pass transistor in series with a storage capacitor, at least one plate of the storage capacitor being An integrated circuit formed in silicon in the plane of a trench, said trench having straight sidewalls without curvature or undercuts, with a positive sidewall angle in the range of 80 to 89 degrees. [0053] (18) having a dynamic random access memory comprised of an array of memory cells, each cell in the array being comprised of a pass transistor in series with a storage capacitor; at least one plate is formed in silicon at the face of the trench, the trench having straight sidewalls with a positive sidewall angle in the range of 80° to 90° and no curvature or undercut; An integrated circuit having a trench capacitor, wherein the bottom of the trench does not have any crescent shape. [0054] (19) A dynamic random access memory comprised of an array of memory cells, each cell in the array being individually comprised of a pass transistor in series with a storage capacitor, each pass transistor is connected in a charge-transfer manner to an insulated storage plate within a trench, the trench having straight sidewalls with a positive sidewall angle in the range of 80° to 90° and no curvature or undercuts. an integrated circuit having a trench capacitor, wherein the bottom of the trench does not have any crescent shape. [0055] (20) a plurality of active capacitors connected to a plurality of substrates, each configured with an insulated storage plate in a trench, and a circuit format intended to include trench capacitors for at least some of the substrates; having a device;
the trench has straight sidewalls without curvature or undercuts with a positive sidewall angle in the range of 80° to 89°;
An integrated circuit having a trench capacitor, wherein the bottom of the trench does not have any crescent shape. [0056] (21) In the integrated circuit described in paragraph (17),
An integrated circuit in which the depth of the trench is between 6 and 20 microns. [0057] (22) In the integrated circuit described in paragraph (17),
The trench extends through the active device layer to a layer below it, and the trench extends between 3 and 10,000 mm of the active device layer.
An integrated circuit that has an equilibrium carrier concentration of 0x. [0058] (23) In the integrated circuit described in paragraph (17),
A portion of the semiconductor material adjacent to the bottom of the trench covers 3 to 1 of the channel region of the pass transistor.
An integrated circuit with an equilibrium carrier concentration of 00 times. [0059] (24) In the integrated circuit described in paragraph (17),
An integrated circuit in which the pass transistors are configured to provide substantially vertical current flow, each pass transistor overlapping a capacitor in a respective trench. [00601 (25) In the integrated circuit described in paragraph (18),
An integrated circuit in which the depth of the trench is between 6 and 20 microns. [00611 (26) In the integrated circuit described in paragraph (18),
The trench extends through the active device layer to a layer below it, and the trench extends between 3 and 10 of the active device layer.
An integrated circuit with an equilibrium carrier concentration of ゜000 times. [0062] (27) In the integrated circuit described in paragraph (18),
A portion of the semiconductor material adjacent to the bottom of the trench covers 3 to 1 of the channel region of the pass transistor.
An integrated circuit with an equilibrium carrier concentration of 00 times. [0063] (28) In the integrated circuit described in paragraph (18),
An integrated circuit in which the pass transistors are configured to provide substantially vertical current flow, each pass transistor overlapping a corresponding capacitor in the trench. [0064] (29) In the integrated circuit described in paragraph (19),
An integrated circuit in which the depth of the trench is between 6 and 20 microns. [0065] (30) In the integrated circuit described in paragraph (19),
The trench extends through the active device layer to a layer below it, and the trench extends from three to ten of the active device layer.
An integrated circuit with an equilibrium carrier concentration of ゜000 times. [0066] (31) In the integrated circuit described in paragraph (19),
A portion of the semiconductor material adjacent to the bottom of the trench covers 3 to 1 of the channel region of the pass transistor.
An integrated circuit with an equilibrium carrier concentration of 00 times. [0067] (32) In the integrated circuit described in paragraph (19),
An integrated circuit in which the pass transistors are configured to provide substantially vertical current flow, each pass transistor overlapping a respective capacitor in the trench. [0068] (33) In the integrated circuit described in paragraph (20),
An integrated circuit in which the depth of the trench is between 6 and 20 microns. [0069] (34) In the integrated circuit described in paragraph (20),
The trench extends through the active device layer to a layer below it, and the trench extends from 3 to 10 layers below the active device layer.
An integrated circuit with an equilibrium carrier concentration of ゜000 times. [00701 (35) A silicon substrate including a plurality of active device areas forming a transistor and a plurality of trenches separating the active device areas in a predetermined isolation pattern, each trench having an angle between 80° and 89°. have straight sidewalls without curvature or undercuts with a positive sidewall angle within the range of
Integrated circuit with trench isolation.

【図面の簡単な説明】[Brief explanation of the drawing]

【図1】本発明の1実施例の方法を実施する時の最初の
工程を示す図。
FIG. 1 is a diagram illustrating the first step in carrying out the method of one embodiment of the present invention.

【図2】1メガビツトのダイナミックRAM用のトレン
チ・キャパシタを作る為に後で処理される、エッチされ
た深さ3ミクロンのトレンチのサンプルを示す図。
FIG. 2 shows a sample of etched 3 micron deep trenches that are later processed to create trench capacitors for a 1 megabit dynamic RAM.

【図3】図a及び図すはエッチの直後(図3a)及び3
0秒間10%HFに浸漬して側壁デポジットを除去した
後(図3b)に観測されるシリコン・トレンチ上の側壁
デポジッションの例を示す図。
[Figure 3] Figures a and 3 are immediately after etching (Figure 3a) and 3.
Figure 3 shows an example of sidewall deposits on a silicon trench observed after removing sidewall deposits by soaking in 10% HF for 0 seconds (Figure 3b).

【図4】変形トレンチ・エッチ方法を用いてシリコン・
エッチの際の側壁デポジッションを除く効果を図式的に
示す図で、側壁酸化物がないと、著しい溝形及び中位の
アンダカットが観測される。
[Figure 4] Silicon etching using a modified trench etch method
FIG. 3 schematically illustrates the effect of eliminating sidewall deposition during etch, where in the absence of sidewall oxide, significant grooves and moderate undercuts are observed.

【図5】トレンチ・キャパシタを用いたDRAMセルの
例で、トレンチは本発明によって正の勾配の急峻な側壁
及び平坦な底を持っている。
FIG. 5 is an example of a DRAM cell using a trench capacitor, where the trench has positive sloped steep sidewalls and a flat bottom according to the present invention.

【図6】トレンチ隔離部を用いたCMO8構造の例で、
正の勾配の急峻な側壁及び平坦な底を持つ、酸化物で埋
めたトレンチを使うことにより、n+とp+の間隔が減
少する。
FIG. 6 is an example of a CMO8 structure using trench isolation;
By using oxide-filled trenches with steep positive slope sidewalls and a flat bottom, the n+ to p+ spacing is reduced.

【図7】面に対するイオン入射角θの関数として、スパ
ッタリングの歩留まりS(θ)及びイオン反射係数R(
θ)の曲線の例を示すグラフである。
FIG. 7: Sputtering yield S(θ) and ion reflection coefficient R(
9 is a graph showing an example of a curve of θ).

【符号の説明】[Explanation of symbols]

10 シリコン基板 12 ハードマスク 10 Silicon substrate 12 Hard mask

【図1】[Figure 1]

【図2】[Figure 2]

【図3】[Figure 3]

【図4】[Figure 4]

【図6】[Figure 6]

【図5】[Figure 5]

【図7】[Figure 7]

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】 単結晶基板の表面中に形成された側壁を
有する集積回路であって: (a)正の勾配を有する上記側壁の第1の部分;(b)
実質上垂直で湾曲又はアンダカットのない上記側壁の第
2の部分; (C)上記側壁の少くとも1部に接触している物質;を
有することを特徴とする集積回路。
1. An integrated circuit having a sidewall formed in a surface of a single crystal substrate, comprising: (a) a first portion of the sidewall having a positive slope; (b)
A second portion of the sidewall that is substantially vertical and without curves or undercuts; (C) material contacting at least a portion of the sidewall.
【請求項2】 請求項1の集積回路に於いて二上記第1
の部分が上記表面に対して実質上85°−87°の角度
を有することを特徴とする集積回路。
[Claim 2] In the integrated circuit of Claim 1,
an integrated circuit having an angle of substantially 85°-87° with respect to the surface.
【請求項3】 請求項1の集積回路に於いて:上記第2
の部分が上記表面に対して実質上89°−90°の角度
を有することを特徴とする集積回路。
[Claim 3] In the integrated circuit of Claim 1: the second
an integrated circuit having an angle of substantially 89°-90° with respect to the surface.
【請求項4】 請求項1の集積回路に於いて:上記物質
がデバイスの1部分であることを特徴とする集積回路。
4. The integrated circuit of claim 1, wherein said material is part of a device.
【請求項5】 請求項4の集積回路に於いて二上記デバ
イスがキャパシタであることを特徴とする集積回路。
5. The integrated circuit of claim 4, wherein said two devices are capacitors.
【請求項6】 請求項5の集積回路に於いて:上記キャ
パシタが上記側壁の上記1部に隣接した1つのプレート
と、このプレートに沿って延びる他のプレートを有する
ことを特徴とする集積回路。
6. The integrated circuit of claim 5, wherein said capacitor has one plate adjacent said portion of said sidewall and another plate extending along said plate. .
【請求項7】 請求項6の集積回路に於いて:上記他の
プレートが多結晶層を含むことを特徴とする集積回路。
7. The integrated circuit of claim 6, wherein said other plate includes a polycrystalline layer.
【請求項8】 請求項7の集積回路に於いて:上記他の
プレートが、絶縁層によって、上記側壁の上記1部から
隔離されていることを特徴とする集積回路。
8. The integrated circuit of claim 7, wherein said other plate is separated from said portion of said sidewall by an insulating layer.
【請求項9】 請求項8の集積回路に於いて:上記1つ
のプレートが上記第2の部分に隣接していることを特徴
とする集積回路。
9. The integrated circuit of claim 8, wherein said one plate is adjacent said second portion.
【請求項10】  請求項9の集積回路に於いて:パス
トランジスタが上記第1の部分に関係していることを特
徴とする集積回路。
10. The integrated circuit of claim 9, wherein a pass transistor is associated with said first portion.
JP3060016A 1985-05-03 1991-03-25 Integrated circuit Pending JPH04211163A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US06/730,701 US4702795A (en) 1985-05-03 1985-05-03 Trench etch process
US730701 1985-05-03
US84150286A 1986-03-19 1986-03-19
US841502 1997-04-23

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP61102952A Division JPH0638406B2 (en) 1985-05-03 1986-05-02 Method of manufacturing integrated circuit

Publications (1)

Publication Number Publication Date
JPH04211163A true JPH04211163A (en) 1992-08-03

Family

ID=27112092

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3060016A Pending JPH04211163A (en) 1985-05-03 1991-03-25 Integrated circuit

Country Status (1)

Country Link
JP (1) JPH04211163A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006032374A (en) * 2004-07-12 2006-02-02 Sony Corp Solid-state imaging apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006032374A (en) * 2004-07-12 2006-02-02 Sony Corp Solid-state imaging apparatus
US9077922B2 (en) 2004-07-12 2015-07-07 Sony Corporation Solid state image pickup device having a capacitor structure made up of a plurality of capacitors

Similar Documents

Publication Publication Date Title
JPH0638406B2 (en) Method of manufacturing integrated circuit
US4984039A (en) Tapered trench structure and process
US8487399B2 (en) Semiconductor device and method of fabricating the same
US7268057B2 (en) Methods of filling openings with oxide, and methods of forming trenched isolation regions
US5010378A (en) Tapered trench structure and process
US8598043B2 (en) Methods of forming semiconductor constructions
US4916511A (en) Trench structure and process
KR100555573B1 (en) Semiconductor device having a extended junction by seg layer and method of fabrication the same
US6656793B2 (en) Method of forming a self-aligned floating gate in flash memory cell
JP2006190947A (en) Recess gate and method for manufacturing semiconductor device equipped therewith
JPH1092798A (en) Etching of single crystalline silicon
KR100363558B1 (en) Method of forming a trench isolation in an integrated circuit device
EP1299904A2 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US7566924B2 (en) Semiconductor device with gate spacer of positive slope and fabrication method thereof
KR20010081956A (en) A method of planarizing a semiconductor device using a high density plasma system
US4863562A (en) Method for forming a non-planar structure on the surface of a semiconductor substrate
KR19980085035A (en) Trench Forming Method with Rounded Profile and Device Separation Method of Semiconductor Device Using the Same
US6180463B1 (en) Method for fabricating a multi-level mask ROM
US7179735B2 (en) Method of manufacturing semiconductor device
KR100780629B1 (en) Method for manufacturing semiconductor device with recess gate
JPH04211163A (en) Integrated circuit
US6627492B2 (en) Methods of forming polished material and methods of forming isolation regions
KR100799133B1 (en) Method for manufacturing recess gate in semiconductor device
JP2001319969A (en) Method for forming insulation region
JPS62282457A (en) Method of forming groove in silicon substrate