JPH04142618A - Information processing unit - Google Patents

Information processing unit

Info

Publication number
JPH04142618A
JPH04142618A JP26499190A JP26499190A JPH04142618A JP H04142618 A JPH04142618 A JP H04142618A JP 26499190 A JP26499190 A JP 26499190A JP 26499190 A JP26499190 A JP 26499190A JP H04142618 A JPH04142618 A JP H04142618A
Authority
JP
Japan
Prior art keywords
bit
instruction
rearrangement
bit sequence
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP26499190A
Other languages
Japanese (ja)
Other versions
JP2760649B2 (en
Inventor
Yoichiro Takeuchi
陽一郎 竹内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2264991A priority Critical patent/JP2760649B2/en
Publication of JPH04142618A publication Critical patent/JPH04142618A/en
Application granted granted Critical
Publication of JP2760649B2 publication Critical patent/JP2760649B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Executing Machine-Instructions (AREA)

Abstract

PURPOSE:To make it possible to carry out high speed sequence change processing by providing the present information processing unit with a bit sequence rearrangement circuit that is started when a specific instruction is issued for rearranging specified data words into a bit sequence indicated by sequence information in the specific instruction. CONSTITUTION:When a specific instruction 11 is issued that is specified for rearrangement of bit sequence of data words by an instruction issuing means 10, a bit sequence rearrangement circuit 23 is started. Accordingly, the bit sequence rearrangement circuit 23 executes the bit sequence rearrangement operation indicated by a specific instruction 11 for data words specified by symmetric data word specified information of the specific instruction. Here, the execution instruction is provided with sequence rearrangement information where a rearranged bit sequence can be arbitrarily specified, and the bit sequence rearrangement circuit 23 rearranges a bit sequence of specified data words into a bit sequence indicated by this sequence rearrangement information. With this, a bit sequence of data words can be rearranged into an arbitrary sequence at high speed by one time processing of the specific instruction.

Description

【発明の詳細な説明】 [発明の目的コ (産業上の利用分野) この発明は、データフォーマットの変換など、データ語
のビット順の並び替えを伴うデータ変換処理に好適な情
報処理装置に関する。
DETAILED DESCRIPTION OF THE INVENTION [Purpose of the Invention (Industrial Application Field) The present invention relates to an information processing apparatus suitable for data conversion processing that involves rearranging the bit order of data words, such as data format conversion.

(従来の技術) 情報処理装置によるデータ処理の1つに、データのビッ
ト表現(フォーマット)の違い等に対応するために行わ
れるデータ変換処理がある。このデータ変換処理を効率
化することは、情報処理装置のデータ処理性能全体の向
上に対して重要であることが多い。
(Prior Art) One type of data processing performed by an information processing device is data conversion processing performed to accommodate differences in bit representations (formats) of data. Improving the efficiency of this data conversion process is often important for improving the overall data processing performance of an information processing device.

さて、データ変換処理においては、データ語内のビット
の並び順(ビット順、ビット配列)を他の並び順に変更
する処理が極めて重要である。
Now, in data conversion processing, the process of changing the order of bits in a data word (bit order, bit arrangement) to another order is extremely important.

従来、このようなデータ語のビット順を並び替える操作
は、ビット単位のシフト演算、論理演算(OR演算)の
組合わせでプログラムされていることが多かった。また
、ある特定のビット順の並び替えに対してだけ、専用の
ハードウェア回路を適用して処理する場合もあった。
Conventionally, operations for rearranging the bit order of data words have often been programmed using a combination of bit-by-bit shift operations and logical operations (OR operations). In addition, there are cases where a dedicated hardware circuit is applied only to rearrangement of a certain specific bit order.

(発明が解決しようとする課題) データ語のビット順を並び替えるのに、従来のように、
ビット単位のシフト演算、論理演算の組合わせでプログ
ラムする方式では、プログラムステップ数を多く要する
ため、データ処理性能の向上が図れないという問題があ
った。また、特定の並び替えだけを専用ハードウェア回
路で処理する方式では、−殺性がなく、コストもかかり
、コンパイラなど言語プログラムから利用しにくいとい
う問題があった。
(Problem to be solved by the invention) In order to rearrange the bit order of data words, as in the past,
Programming using a combination of bit-by-bit shift operations and logical operations requires a large number of program steps, which poses a problem in that data processing performance cannot be improved. Further, a method in which only a specific sorting is processed by a dedicated hardware circuit has the problem of being ineffective, expensive, and difficult to use from a language program such as a compiler.

この発明は上記事情に鑑みてなされたものでソノ目的は
、データ語のビット順を任意の順に並び替えることが1
回の命令処理で高速に行える情報処理装置を提供するこ
とある。
This invention was made in view of the above circumstances, and its purpose is to rearrange the bit order of data words in any order.
An object of the present invention is to provide an information processing device that can perform high-speed command processing in one time.

[発明の構成] (課題を解決するための手段) この発明は、データ語のビット順の並び替えを指示する
特定命令であって、並び替えの対象となるデータ語を指
定するための対象データ語指定情報および並び替え後の
ビット順を示すための並び替え情報を持つ特定命令を含
む各種命令を発行する命令発行手段と、この命令発行手
段により上記特定命令が発行された場合に起動されて、
この特定命令の対象データ語指定情報で指定されたデー
タ語を、この特定命令の並び替え情報の示すビット順に
並び替えるビット順並び替え回路とを設けたことを特徴
とするものである。
[Structure of the Invention] (Means for Solving the Problems) The present invention provides a specific instruction for instructing rearrangement of the bit order of data words, which includes target data for specifying the data words to be rearranged. an instruction issuing means for issuing various instructions including a specific instruction having word designation information and rearrangement information for indicating the bit order after sorting; ,
The present invention is characterized by the provision of a bit order reordering circuit that rearranges the data words specified by the target data word designation information of the specific instruction in the bit order indicated by the reordering information of the specific instruction.

(作用) 上記の構成によれば、命令発行手段によりデータ語のビ
ット順の並び替えを指示する特定命令が発行されると、
ビット順並び替え回路が起動される。これによりビット
順並び替え回路は、上記特定命令で指示されたビット順
並び替え操作を、同命令中の対象データ語指定情報で指
定されたデータ語を対象として実行する。ここで、実行
命令には、ビット並び替え後のビット順が任意に指定可
能な並び替え情報が設けられており、ビット順並び替え
回路はこの並び替え情報の示すビット順に指定データ語
内のビットを並び替える。したがって、この並び替え情
報の指定により、データ語のビット順を任意の順に並び
替えることができる。
(Operation) According to the above configuration, when the instruction issuing means issues a specific instruction that instructs to rearrange the bit order of the data word,
The bit order reordering circuit is activated. Thereby, the bit order rearrangement circuit executes the bit order rearrangement operation instructed by the above-mentioned specific instruction on the data word specified by the target data word designation information in the same instruction. Here, the execution instruction is provided with sorting information that can arbitrarily specify the bit order after bit sorting, and the bit order sorting circuit sorts the bits in the designated data word in the bit order indicated by this sorting information. Sort. Therefore, by specifying this sorting information, the bit order of the data word can be rearranged in any order.

(実施例) 第1図はこの発明の一実施例に係る情報処理装置のブロ
ック構成を示す。同図において、10は各種プログラム
、データ等が格納される主メモリ、11は主メモリlO
に格納されているプログラムを構成する各種の命令語(
機械語命令)の1つであるビット順列変換命令である。
(Embodiment) FIG. 1 shows a block configuration of an information processing apparatus according to an embodiment of the present invention. In the figure, 10 is a main memory in which various programs, data, etc. are stored, and 11 is a main memory lO.
Various instruction words (
This is a bit permutation instruction, which is one of the machine language instructions.

このビット順列変換命令11は、データ語のビット順を
任意の順に並べ替えることを指示する機械語命令であり
、第2図に示すように、ビット順の並べ替えを指示する
。Pコード(オペレーションコード)、並べ替えの対象
となるデータ語が格納されているレジスタ(ソースレジ
スタ)を指定するソースレジスタ指定情報(SR指定情
報)、並び替え後のデータ語の格納先レジスタ(デステ
ィネーションレジスタ)を指定するデスティネーション
レジスタ指定情報(DR指定情報)、および並び替え後
のビット順を示すための並び替え情報を持つ。
This bit permutation instruction 11 is a machine language instruction that instructs to rearrange the bit order of a data word in an arbitrary order, and as shown in FIG. 2, instructs to rearrange the bit order. P code (operation code), source register specification information (SR specification information) that specifies the register (source register) in which the data words to be sorted are stored, and the storage destination register (destination register) for the data words to be sorted. It has destination register designation information (DR designation information) that designates the destination register (Nation register), and rearrangement information that indicates the bit order after rearrangement.

20は主メモリ10に格納されているプログラムの実行
・制御を司るCPU、30は主メモリ10およびCPU
20を相互接続するためのバスである。
20 is a CPU that executes and controls programs stored in the main memory 10; 30 is the main memory 10 and the CPU;
20 interconnecting buses.

CPU20は、レジスタの群からなるレジスタファイル
21と、加減算などの演算(ここでは8ビツト演算)を
実行する演算器22と、データ語(ここでは8ビツト)
のビット順の並び替えを後述する並び替え制御ビットC
O〜C23に従って高速に実行する専用のハードウェア
回路であるビット順並び替え回路23とを有している。
The CPU 20 includes a register file 21 consisting of a group of registers, an arithmetic unit 22 that executes operations such as addition and subtraction (here, 8-bit operations), and a data word (here, 8-bit operations).
Rearrangement control bit C
It has a bit order rearrangement circuit 23 which is a dedicated hardware circuit that executes at high speed according to O to C23.

CPU20はまた、レジスタファイル21から読出され
るデータ語を演算器22またはビット順並び替え回路2
3のいずれか一方に選択出力するデマルチプレクサ(D
EMUX)24と、演算器22またはビット順並び替え
回路23の出力のいずれか一方を選択してレジスタファ
イル21に出力するマルチプレクサ(MUX)25とを
有している。CPU20は更に、主メモリ10からバス
30を介して読出される実行対象命令語を保持するため
の命令レジスタ26と、この命令レジスタ26に保持さ
れている命令語をデコードし、同命令語を実行するのに
必要な各種制御信号を生成する命令デコーダ27とを有
している。命令デコーダ27は、命令レジスタ26に保
持されている命令語がビット順列変換命令11の場合、
ビット順並び替え回路23を制御するための同命令ll
中の並び替え情報に対応する並び替え制御ビットCO〜
C23を生成すると共に、SR情報で指定されるレジス
タのデータ語をレジスタファイル21から取出してデマ
ルチプレクサ24経由でビット順並び替え回路28に入
力し、このビット順並び替え回路23から出力されるデ
ータ語をDR情報で指定されるレジスタファイル21内
レジスタにマルチプレクサ25経出で入力するのに必要
な制御信号群2Bを生成するようになっている。
The CPU 20 also sends data words read from the register file 21 to an arithmetic unit 22 or a bit order sorting circuit 2.
A demultiplexer (D
EMUX) 24, and a multiplexer (MUX) 25 that selects either the output of the arithmetic unit 22 or the bit order rearrangement circuit 23 and outputs it to the register file 21. The CPU 20 further includes an instruction register 26 for holding an instruction word to be executed that is read from the main memory 10 via the bus 30, and decodes the instruction word held in this instruction register 26 and executes the same instruction word. It has an instruction decoder 27 that generates various control signals necessary to perform the operations. When the instruction word held in the instruction register 26 is the bit permutation instruction 11, the instruction decoder 27
The same instruction ll for controlling the bit order rearrangement circuit 23
Sorting control bit CO~ corresponding to the sorting information in
At the same time as generating C23, the data word of the register specified by the SR information is extracted from the register file 21 and inputted to the bit order sorting circuit 28 via the demultiplexer 24, and the data output from this bit order sorting circuit 23. The control signal group 2B necessary for inputting the word to the register in the register file 21 designated by the DR information through the multiplexer 25 is generated.

第3図は上記ビット順並び替え回路23の内部構成を示
す。ビット順並び替え回路23は、第1図に示すデマル
チプレクサ(DEMUX)24から出力される8とット
データ語(bo〜b7)を−時保持する入力データバッ
ファ41と、第1図に示す命令デコーダ27から出力さ
れる並び替え制御ビットCO〜C23を一時保持する並
び替え制御データバッファ42とを有している。ビット
順並び替え回路23は更に、入力データバッファ41に
保持されているデータ語のビット順を並び替え制御デー
タバッファ42の出力(並び替え制御ビットCO=C2
3)に従って並び替えるための24個の2ビツト交換器
EO〜E23と、並び替え後の8ビツトデータ語を一時
保持する出力データバッファ43とを有している。各2
ビツト交換器E1 (1〜0〜23)は、それぞれ1ビ
ツトの左側人力IL+ 右側入力エアと、1ビツトの左
側出力OL、右側出力ORと、並び替え制御ビットCI
が入力される1ビツトの制御入力とを持ち、Ci−“0
”のときはI L −OL 。
FIG. 3 shows the internal configuration of the bit order rearrangement circuit 23. The bit order sorting circuit 23 includes an input data buffer 41 that holds the 8-bit data word (bo to b7) outputted from the demultiplexer (DEMUX) 24 shown in FIG. 1, and an instruction decoder shown in FIG. 27, and a rearrangement control data buffer 42 that temporarily holds the rearrangement control bits CO to C23 outputted from 27. The bit order rearrangement circuit 23 further rearranges the bit order of the data words held in the input data buffer 41 by using the output of the rearrangement control data buffer 42 (reorder control bit CO=C2
It has 24 2-bit exchangers EO to E23 for sorting according to 3), and an output data buffer 43 that temporarily holds the 8-bit data words after the sorting. 2 each
The bit exchanger E1 (1 to 0 to 23) each receives 1 bit of left input IL + right input air, 1 bit of left output OL, right output OR, and rearrangement control bit CI.
has a 1-bit control input to which Ci-“0” is input.
” then IL-OL.

1R−ORのように左右のビット交換を行わず、CI 
−”1°0)トts ハI L −OR、I R”0L
(7)ように左右のビット交換を行うようになっている
CI does not exchange left and right bits like 1R-OR.
-"1°0) ts H I L -OR, I R"0L
The left and right bits are exchanged as shown in (7).

2ビット交換器EO,El 、E2.E3は、入力デー
タバッファ41に保持されているデータ語のb7とbe
、b5とb4.b3とb2.blとboをそれぞれ左右
入力とする。2ビット交換器E4.E5.E6.E7 
i;i、2ビツト交換器EOとElの左側出力、2ビツ
ト交換器EOとElの右側出力、2ビツト交換器E2と
E3の左側出力。
2-bit exchangers EO, El, E2. E3 is the data word b7 and be held in the input data buffer 41.
, b5 and b4. b3 and b2. Let bl and bo be left and right inputs, respectively. 2-bit exchanger E4. E5. E6. E7
i; i, left output of 2-bit exchangers EO and El, right output of 2-bit exchangers EO and El, left output of 2-bit exchangers E2 and E3.

2ビツト交換器E2とE3の右側出力を、それぞれ左右
入力とする。2ビット交換器E8.E9゜E 10. 
 E 11は、2ビツト交換器E4とE5の左側出力、
2ビツト交換器E4とE5の右側出力、2ビツト交換器
E6とE7の左側出力、2ビツト交換器E6とE7の右
側出力を、それぞれ左右入力とする。2ビット交換器E
12. El3. El4.  El5は、2ビツト交
換器E8とEIOの左側出力、2ビツト交換器E8とE
IOの右側出力、2ビツト交換器E9とEllの左側出
力、2ビツト交換器E9とElfの右側出力を、それぞ
れ左右入力とする。2ビット交換器E1B、  El7
.  Elg、  El9は、2ビツト交換器E12と
El4の左側出力、2ビツト交換器E13とEl5の左
側出力、2ビツト交換器EI2とEl4の右側出力、2
ビツト交換器E13とEl5の右側出力を、それぞれ左
右入力とする。2ビット交換器E20. E21. E
22. E23は、2ビツト交換器E16とEl7の左
側出力、2ビツト交換器E1BとEl7の右側出力、2
ビツト交換器ElBとEl9の左側出力、2ビツト交換
器E18とEl9の右側出力を、それぞれ左右入力とす
る。
The right side outputs of 2-bit exchangers E2 and E3 are used as left and right inputs, respectively. 2-bit exchanger E8. E9゜E 10.
E11 is the left output of 2-bit exchangers E4 and E5,
The right side outputs of 2-bit exchangers E4 and E5, the left side outputs of 2-bit exchangers E6 and E7, and the right side outputs of 2-bit exchangers E6 and E7 are used as left and right inputs, respectively. 2 bit exchanger E
12. El3. El4. El5 is the left output of 2-bit exchanger E8 and EIO, 2-bit exchanger E8 and EIO.
The right side output of IO, the left side output of 2-bit exchangers E9 and Ell, and the right side output of 2-bit exchangers E9 and Elf are used as left and right inputs, respectively. 2-bit exchanger E1B, El7
.. Elg and El9 are the left outputs of 2-bit exchangers E12 and El4, the left outputs of 2-bit exchangers E13 and El5, the right outputs of 2-bit exchangers EI2 and El4, and 2
The right side outputs of bit exchangers E13 and El5 are used as left and right inputs, respectively. 2-bit exchanger E20. E21. E
22. E23 is the left output of 2-bit exchangers E16 and El7, the right output of 2-bit exchangers E1B and El7, 2
The left side outputs of bit exchangers ElB and El9 and the right side outputs of 2-bit exchangers E18 and El9 are used as left and right inputs, respectively.

次に、この発明の一実施例の動作を説明する。Next, the operation of one embodiment of the present invention will be explained.

今、主メモリlOから第2図に示すフォーマットのビッ
ト順列変換命令11が読出され、バス30を介してCP
U20内の命令レジスタ26にロードされたものとする
。命令デコーダ27は、命令レジスタ2Bにロードされ
た命令語がビット順列変換命令11の場合、同命令11
中の並び替え情報に対応する並び替え制御ビットCO〜
C28と、制御信号群28を生成出力する。
Now, the bit permutation instruction 11 in the format shown in FIG.
It is assumed that the instruction register 26 in U20 is loaded. When the instruction word loaded into the instruction register 2B is bit permutation instruction 11, the instruction decoder 27 converts the bit permutation instruction 11 into
Sorting control bit CO~ corresponding to the sorting information in
C28 and a control signal group 28 are generated and output.

命令デコーダ27から出力された制御信号群2Bには、
ビット順列変換命令11中のSR情報で示されるレジス
タファイル21内レジスタの8とットデータ語を読出す
ための制御信号が含まれており、この制御信号により対
応するレジスタファイル21内レジスタのデータ語がデ
マルチプレクサ(DMUX)24に読出される。デマル
チプレクサ24は、命令レジスタ26にロードされた命
令語がビット順列変換命令11の場合、レジスタファイ
ル21、から続出されたデータ語を、制御信号群28中
の所定信号に応じてビット順並び替え回路23に選択出
力する。
The control signal group 2B output from the instruction decoder 27 includes:
Contains a control signal for reading the 8-bit data word of the register in the register file 21 indicated by the SR information in the bit permutation instruction 11, and this control signal causes the data word of the corresponding register in the register file 21 to be read. The signal is read out to a demultiplexer (DMUX) 24. When the instruction word loaded into the instruction register 26 is the bit permutation instruction 11, the demultiplexer 24 rearranges the bit order of the data words successively output from the register file 21 according to a predetermined signal in the control signal group 28. Selectively output to the circuit 23.

デマルチプレクサ24によってビット順並び替え回路2
3に選択出力されたデータ語、即ちレジスタファイル2
1から読出されたビット順並び替えの対象となる8とッ
トデータ語(bO〜b7)は、同回路23内の入力デー
タバッファ41に保持される。
Bit order reordering circuit 2 by demultiplexer 24
3, the data word selected and output to register file 2
The 8-bit data words (bO to b7) to be read out from 1 and to be rearranged in bit order are held in the input data buffer 41 in the same circuit 23.

このビット順並び替え回路23内の並び替え制御データ
バッファ42には、命令デコーダ27から生成出力され
た並び替え制御ビットCO〜C23が保持される。並び
替え制御データバッファ42に保持された並び替え制御
ビットCO〜C23は、ビット順並び替え回路23内の
2ビツト交換器EO〜E23の制御入力に供給される。
A rearrangement control data buffer 42 in this bit order rearrangement circuit 23 holds rearrangement control bits CO to C23 generated and output from the instruction decoder 27. The rearrangement control bits CO to C23 held in the rearrangement control data buffer 42 are supplied to control inputs of two-bit exchangers EO to E23 in the bit order rearrangement circuit 23.

2ビツト交換器EO〜E23は、制御入力に供給される
並び替え制御ビットCO〜C23の論理値に応じ、■、
→OR,IR→OLのように左右のビット交換を行うか
、或いはI、→O,,,I、→ORのように左右のビッ
ト交換を行わずにそのままま出力するか、いずれか−方
の動作を行う。この2ビツト交換器EO〜E23の動作
により、入力データバッファ41に保持された8ビツト
のデータ語bO〜b7は、並び替え制御ビットCO〜C
23で指定されるビット順に変換され、データ語BO〜
B7として出力データバッファ43に保持される。第3
図のビット順並び替え回路23の例では、並び替え制御
ビットCO〜C23のうち例えばC3だけが“1”であ
れば、データ語bO〜b7のビット順はboとblが入
れ替えられてbl bOb2 b3 b4 b5 be
 b7に変換され、並び替え制御ビットCO〜C23の
うち例えばC1B〜C19だけが“0”であれば、デー
タ語bO〜b7のビット順は逆順となってb7 beb
5 b4 b3 b2 bl bOに変換される。
The 2-bit exchangers EO to E23 operate according to the logic values of the rearrangement control bits CO to C23 supplied to the control inputs.
Either swap left and right bits like →OR, IR→OL, or just output without swapping left and right bits like I, →O,,,I, →OR. perform the following actions. Due to the operation of the 2-bit exchangers EO-E23, the 8-bit data words bO-b7 held in the input data buffer 41 are changed to the rearrangement control bits CO-C.
23, and the data word BO~
It is held in the output data buffer 43 as B7. Third
In the example of the bit order rearrangement circuit 23 shown in the figure, if, for example, only C3 among the rearrangement control bits CO to C23 is "1", the bit order of the data words bO to b7 is such that bo and bl are exchanged and bl bOb2 b3 b4 b5 be
If, for example, only C1B to C19 of the rearrangement control bits CO to C23 are "0", the bit order of the data words bO to b7 is reversed and b7 beb
5 b4 b3 b2 bl bO.

出力データバッファ43に保持されたビット順並び替え
後のデータ語は、マルチプレクサ25によりレジスタフ
ァイル21に選択出力され、命令レジスタ26に保持さ
れているビット順列変換命令11のDR情報で指定され
るレジスタに書込まれる。
The data word after the bit order reordering held in the output data buffer 43 is selectively outputted to the register file 21 by the multiplexer 25, and is sent to the register specified by the DR information of the bit permutation conversion instruction 11 held in the instruction register 26. written to.

なお、前記実施例では、ビット順並び替え回路23に与
えられる並び替え制御ビットCO〜C23が命令デコー
ダ27によって生成されるものとして説明したが、ビッ
ト順列変換命令ll中の並び替え情報がそのまま並び替
え制御ビットCo −C23として用いられる構成とす
ることも可能である。
In the above embodiment, the reordering control bits CO to C23 given to the bit order reordering circuit 23 were described as being generated by the instruction decoder 27, but the reordering information in the bit permutation conversion instruction ll is arranged as is. It is also possible to adopt a configuration in which it is used as the replacement control bit Co-C23.

また、前記実施例では、8ビツトデータ語のビット順の
並び替えについて説明したが、本発明はそれ以外のビッ
ト構成のデータ語のビット順の並び替えにも応用できる
。また、本発明は、データフォーマットの変換のための
ビット順並べ替え以外にも、画像処理、図形処理におけ
るビット操作にも同様に適用できる。
Further, in the embodiment described above, the bit order rearrangement of an 8-bit data word has been explained, but the present invention can also be applied to the bit order rearrangement of data words having other bit configurations. Further, the present invention can be applied not only to bit order rearrangement for data format conversion but also to bit manipulation in image processing and graphic processing.

[発明の効果コ 以上詳述したようにこの発明によれば、データ語のビッ
ト順の並び替えを指示する特定命令であって、並び替え
後のビット順を示すための並び替え情報を持つ特定命令
を用意すると共に、この特定命令が発行された場合に起
動されて、同命令により指定されたデータ語を同命令中
の並び替え情報の示すビット順に並び替えるビット順並
び替え回路を備えた構成とすることにより、データ語の
ビット順を任意の順に並び替えることが1回の命令処理
で行えるようになり、並び替え処理の高速化と処理ステ
ップ数の大幅な削減とを図ることができる。
[Effects of the Invention] As described in detail above, according to the present invention, there is provided a specific command that instructs to rearrange the bit order of a data word, and which has rearrangement information to indicate the bit order after the rearrangement. A configuration that prepares an instruction and includes a bit order sorting circuit that is activated when this specific instruction is issued and sorts the data words specified by the instruction in the bit order indicated by the sorting information in the instruction. By doing so, the bit order of the data word can be rearranged in an arbitrary order by one instruction process, and the rearrangement process can be speeded up and the number of processing steps can be significantly reduced.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図はこの発明の一実施例に係る情報処理装置のブロ
ック構成図、第2図は第1図に示すビット順列変換命令
11のフォーマットを示す図、第3図は第1図に示すビ
ット順並び替え回路23の内部構成を示す図である。 10・・・主メモリ、11・・・ビット順列変換命令、
20・・・CPU、21・・・レジスタファイル、23
・・・ビット順並び替え回路、26・・・命令レジスタ
、27・・・命令デコーダ、EO〜E23・・・2ビツ
ト交換器。 出願人代理人 弁理士 鈴江武彦
FIG. 1 is a block configuration diagram of an information processing apparatus according to an embodiment of the present invention, FIG. 2 is a diagram showing the format of the bit permutation instruction 11 shown in FIG. 1, and FIG. 3 is a diagram showing the bits shown in FIG. 1. 2 is a diagram showing the internal configuration of a rearrangement circuit 23. FIG. 10... Main memory, 11... Bit permutation conversion instruction,
20...CPU, 21...Register file, 23
. . . Bit order sorting circuit, 26 . . . Instruction register, 27 . . . Instruction decoder, EO to E23 . Applicant's agent Patent attorney Takehiko Suzue

Claims (1)

【特許請求の範囲】 データ語のビット順の並び替えを指示する特定命令であ
って、並び替えの対象となるデータ語を指定するための
対象データ語指定情報および並び替え後のビット順を示
すための並び替え情報を持つ特定命令を含む各種命令を
発行する命令発行手段と、 この命令発行手段により発行された命令が上記特定命令
である場合に起動されて、この特定命令の上記対象デー
タ語指定情報で指定されたデータ語を、この特定命令の
上記並び替え情報の示すビット順に並び替えるビット順
並び替え回路と、を具備することを特徴とする情報処理
装置。
[Claims] A specific instruction for instructing rearrangement of the bit order of data words, which indicates target data word designation information for designating the data words to be rearranged and the bit order after rearrangement. an instruction issuing means for issuing various instructions including a specific instruction having rearrangement information for the above-mentioned data; An information processing device comprising: a bit order reordering circuit that rearranges data words designated by designation information in the bit order indicated by the reordering information of this specific instruction.
JP2264991A 1990-10-04 1990-10-04 Information processing device Expired - Fee Related JP2760649B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2264991A JP2760649B2 (en) 1990-10-04 1990-10-04 Information processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2264991A JP2760649B2 (en) 1990-10-04 1990-10-04 Information processing device

Publications (2)

Publication Number Publication Date
JPH04142618A true JPH04142618A (en) 1992-05-15
JP2760649B2 JP2760649B2 (en) 1998-06-04

Family

ID=17411049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2264991A Expired - Fee Related JP2760649B2 (en) 1990-10-04 1990-10-04 Information processing device

Country Status (1)

Country Link
JP (1) JP2760649B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012033032A (en) * 2010-07-30 2012-02-16 Sony Corp Information processing device and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5196253A (en) * 1975-02-20 1976-08-24
JPS61223938A (en) * 1985-03-29 1986-10-04 Canon Inc Arithmetic and logical unit
JPS6285325A (en) * 1985-10-11 1987-04-18 Hitachi Ltd Editing instruction processing system
JPS6362065A (en) * 1986-09-03 1988-03-18 Nec Corp Data transfer control system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5196253A (en) * 1975-02-20 1976-08-24
JPS61223938A (en) * 1985-03-29 1986-10-04 Canon Inc Arithmetic and logical unit
JPS6285325A (en) * 1985-10-11 1987-04-18 Hitachi Ltd Editing instruction processing system
JPS6362065A (en) * 1986-09-03 1988-03-18 Nec Corp Data transfer control system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012033032A (en) * 2010-07-30 2012-02-16 Sony Corp Information processing device and method

Also Published As

Publication number Publication date
JP2760649B2 (en) 1998-06-04

Similar Documents

Publication Publication Date Title
US6145077A (en) Manipulation of data
US4037213A (en) Data processor using a four section instruction format for control of multi-operation functions by a single instruction
CA2284772C (en) Computer processor and method for data streaming
JP2665081B2 (en) Data transfer method between microcomputer registers
JPH07104784B2 (en) Digital data processor
US7236106B2 (en) Methods and systems for data manipulation
US7047396B1 (en) Fixed length memory to memory arithmetic and architecture for a communications embedded processor system
JPH04142618A (en) Information processing unit
JPS583040A (en) Information processor
JPH03204030A (en) Processor for computor
US5854919A (en) Processor and its operation processing method for processing operation having bit width exceeding data width of bit storage unit
KR960016401B1 (en) Page selecting circuit of register pages using register page pointer
JP2812501B2 (en) Pipeline control method
JPH06162067A (en) Device and method for controlling vector instruction
JPH0553808A (en) Arithmetic processing unit
JPS58225440A (en) Memory controller
JPS60128529A (en) Merge processing device
JP2576589B2 (en) Virtual storage access control method
JPH05165639A (en) Register reading method and register reading device for parallel processor
JPH0354632A (en) Arithmetic instruction processor
JPH04175928A (en) Microprocessor
JPS61249140A (en) Microprogram controller
JPH05224919A (en) Program execution system for microprocessor
JPH01265339A (en) Structuring data processor
JPH05127902A (en) Addition/subtraction processing system with carry in parallel arithmetic processing unit

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees