JP7547037B2 - Method for depositing molybdenum metal films on dielectric surfaces of substrates by a cyclic deposition process and related semiconductor device structures - Patents.com - Google Patents

Method for depositing molybdenum metal films on dielectric surfaces of substrates by a cyclic deposition process and related semiconductor device structures - Patents.com Download PDF

Info

Publication number
JP7547037B2
JP7547037B2 JP2019149005A JP2019149005A JP7547037B2 JP 7547037 B2 JP7547037 B2 JP 7547037B2 JP 2019149005 A JP2019149005 A JP 2019149005A JP 2019149005 A JP2019149005 A JP 2019149005A JP 7547037 B2 JP7547037 B2 JP 7547037B2
Authority
JP
Japan
Prior art keywords
molybdenum
film
substrate
precursor
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019149005A
Other languages
Japanese (ja)
Other versions
JP2020029618A (en
Inventor
ブーシャン・ゾープ
シャンカール・スワミナサン
キラン・シュレスタ
チユ・チュー
ヘンリ・トゥオマス・アンテオ・ユッシラ
チー・シエ
Original Assignee
エーエスエム・アイピー・ホールディング・ベー・フェー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/105,802 external-priority patent/US11295980B2/en
Application filed by エーエスエム・アイピー・ホールディング・ベー・フェー filed Critical エーエスエム・アイピー・ホールディング・ベー・フェー
Publication of JP2020029618A publication Critical patent/JP2020029618A/en
Application granted granted Critical
Publication of JP7547037B2 publication Critical patent/JP7547037B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

関連出願の相互参照
本出願は、以下の優先権を主張する:「Layer Forming Method」と題され、2017年8月30日に出願された、米国非仮特許出願第15/691,241号、「Layer Forming Method」と題され、2017年12月18日に出願された、米国仮特許出願第62/607,070号;「Deposition Method」と題され、2018年1月19日に出願された、米国仮特許出願第62/619,579号。
CROSS-REFERENCE TO RELATED APPLICATIONS This application claims priority to the following: U.S. Non-provisional Patent Application No. 15/691,241, entitled "Layer Forming Method," filed August 30, 2017; U.S. Provisional Patent Application No. 62/607,070, entitled "Layer Forming Method," filed December 18, 2017; and U.S. Provisional Patent Application No. 62/619,579, entitled "Deposition Method," filed January 19, 2018.

本開示は、概ねモリブデン金属膜を基材の誘電体表面上に堆積させる方法、および核形成膜を誘電体表面上に直接堆積させ、モリブデン金属膜を核形成膜上に直接堆積させる特定の方法に関する。本開示はまた、概ね誘電材料の表面上に直接配置された核形成膜上に直接配置されたモリブデン金属膜を備える半導体デバイス構造に関する。 The present disclosure generally relates to methods for depositing a molybdenum metal film onto a dielectric surface of a substrate, and to specific methods for depositing a nucleation film directly onto a dielectric surface and depositing a molybdenum metal film directly onto the nucleation film. The present disclosure also generally relates to semiconductor device structures comprising a molybdenum metal film disposed directly onto a nucleation film disposed directly on a surface of a dielectric material.

先端技術ノードにおける半導体デバイス製造プロセスは一般に、例えば、タングステン金属膜および銅金属膜などの金属膜を形成するための最先端の堆積法を必要とする。 Semiconductor device manufacturing processes at advanced technology nodes typically require cutting-edge deposition methods to form metal films, such as, for example, tungsten metal films and copper metal films.

金属膜の堆積に対する共通要件は、堆積プロセスが極めて共形であることである。例えば、高アスペクト比特徴を含む三次元構造上に金属膜を均一に堆積するために、共形堆積が必要とされることが多い。金属膜の堆積に対する別の共通の要件は、堆積プロセスが、大きな基材領域の上に連続する超薄膜を堆積することができることである。金属膜が導電性である特定の場合において、堆積プロセスは、低電気抵抗性膜を生成するように最適化される必要があり得る。 A common requirement for the deposition of metal films is that the deposition process be highly conformal. For example, conformal deposition is often required to uniformly deposit metal films over three-dimensional structures that contain high aspect ratio features. Another common requirement for the deposition of metal films is that the deposition process be capable of depositing continuous ultra-thin films over large substrate areas. In the specific case where the metal film is conductive, the deposition process may need to be optimized to produce a low electrical resistivity film.

先端的半導体デバイス用途で一般的に利用される低電気抵抗性金属膜は、タングステン(W)および/または銅(Cu)を含み得る。しかしながら、タングステン金属膜および銅金属膜は一般に、金属膜と誘電材料との間に配置された厚いバリア層を必要とする。厚いバリア層は、下にある誘電材料への金属種の拡散を防止するために利用されてもよく、それによって装置の信頼性および装置の歩留まりが改善される。しかしながら、厚いバリア層は一般に高い電気抵抗率を示し、そのため半導体デバイス構造の全体的な電気抵抗率の増加をもたらす。 Low electrical resistivity metal films commonly utilized in advanced semiconductor device applications may include tungsten (W) and/or copper (Cu). However, tungsten and copper metal films generally require a thick barrier layer disposed between the metal film and the dielectric material. A thick barrier layer may be utilized to prevent diffusion of metal species into the underlying dielectric material, thereby improving device reliability and device yield. However, thick barrier layers generally exhibit high electrical resistivity, thus resulting in an increase in the overall electrical resistivity of the semiconductor device structure.

例えば、原子層堆積(ALD)および周期的化学蒸着(CCVD)などの周期的堆積プロセスは、一つ以上の前駆体(反応物質)を反応チャンバに逐次的に導入し、そこで前駆体は逐次的に一度に一つずつ基材の表面と反応する。原子レベルの厚さ制御による優れた共形性を有する金属膜を生成する周期的堆積プロセスが実証されてきた。 Cyclic deposition processes, such as atomic layer deposition (ALD) and cyclic chemical vapor deposition (CCVD), sequentially introduce one or more precursors (reactants) into a reaction chamber where the precursors react sequentially, one at a time, with the surface of a substrate. Cyclic deposition processes have been demonstrated to produce metal films with excellent conformality with atomic-level thickness control.

したがって、共形の周期的堆積プロセスによって誘電体材料上に堆積させた低電気抵抗性金属膜を堆積し、利用するための方法および関連するデバイス構造が望まれている。 Therefore, methods and associated device structures for depositing and utilizing low electrical resistivity metal films deposited on dielectric materials by a conformal cyclic deposition process are desired.

この発明の概要は、概念の選択を簡略化した形で紹介するように提供する。これらの概念について、以下の本開示の発明を実施するための形態において、さらに詳細に記載する。本発明の概要は、請求項に記載する主題の重要な特徴も、本質的な特徴も特定することを意図しておらず、請求項に記載する主題の範囲を限定するように使用されることも意図していない。 This Summary is provided to introduce a selection of concepts in a simplified form. These concepts are described in more detail below in the Detailed Description of the Disclosure. This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter.

いくつかの実施形態では、周期的堆積プロセスにより基材の誘電体表面上にモリブデン金属膜を堆積させる方法が提供される。方法は、誘電体表面を備える基材を反応チャンバ内に供給することと、誘電体表面上に核形成膜を直接堆積させることと、および核形成層上にモリブデン金属膜を直接堆積させることと、を含むことができ、モリブデン金属膜を堆積させることは、基材をモリブデンハライド前駆体を含む第一の気相反応物質と接触させることと、基材を還元剤前駆体を含む第二の気相反応物質と接触させることと、を含む。 In some embodiments, a method is provided for depositing a molybdenum metal film on a dielectric surface of a substrate by a cyclic deposition process. The method may include providing a substrate having a dielectric surface in a reaction chamber, depositing a nucleation film directly on the dielectric surface, and depositing a molybdenum metal film directly on the nucleation film, where depositing the molybdenum metal film includes contacting the substrate with a first gas phase reactant comprising a molybdenum halide precursor and contacting the substrate with a second gas phase reactant comprising a reducing agent precursor.

いくつかの実施形態では、半導体デバイス構造が提供される。半導体デバイス構造は、誘電体表面を備える基材と、誘電体表面上に直接配置される核形成膜と、核形成膜上に直接配置されるモリブデン金属膜と、を備えることができる。 In some embodiments, a semiconductor device structure is provided. The semiconductor device structure may include a substrate having a dielectric surface, a nucleation film disposed directly on the dielectric surface, and a molybdenum metal film disposed directly on the nucleation film.

従来の技術を超えて達成される本発明および利点を要約するために、本発明のある目的および利点について、本明細書において上に記載してきた。当然のことながら、必ずしもこうした目的または利点の全てが本発明の任意の特定の実施形態によって達成されなくてもよいことが理解されるべきである。それゆえ、例えば、本明細書に教授または示唆する通り、一つの利点または利点の一群を達成または最適化する形式で、本明細書に教授または示唆されてもよい、他の目的または利点を必ずしも達成することなく、本発明が具体化または実行されてもよいことを、当業者は認識するであろう。 Certain objects and advantages of the present invention have been described herein above for purposes of summarizing the invention and the advantages achieved over the prior art. Of course, it should be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein, without necessarily achieving other objects or advantages that may be taught or suggested herein.

これらの実施形態の全ては、本明細書に開示する本発明の範囲内であることが意図されている。当業者には、これらのおよび他の実施形態は、添付の図面を参照して、以下のいくつかの実施形態の発明を実施するための形態から容易に明らかとなり、本発明は、開示される全ての特定の実施形態にも限定されない。 All of these embodiments are intended to be within the scope of the invention disclosed herein. These and other embodiments will be readily apparent to those skilled in the art from the following detailed description of several embodiments with reference to the accompanying drawings, and the invention is not limited to any particular embodiment disclosed.

本明細書は、本発明の実施形態と見なされるものを特に指摘し、明確に主張して、特許請求の範囲で結論付けるものの、本開示の実施形態の利点は、添付の図面と併せて読むと、本開示の実施形態のある例についての記載から、より容易に解明されてもよい。 While this specification concludes with claims particularly pointing out and distinctly claiming what are regarded as embodiments of the present disclosure, the advantages of the disclosed embodiments may be more readily apparent from the following description of certain illustrative embodiments of the present disclosure when read in conjunction with the accompanying drawings.

図1は、本開示の実施形態による、誘電体表面上に核形成膜を直接堆積させ、続いて核形成膜上にモリブデン金属膜を直接堆積させる方法を説明する非限定的な例示的プロセスフローである。FIG. 1 is a non-limiting exemplary process flow illustrating a method for depositing a nucleation film directly onto a dielectric surface, followed by depositing a molybdenum metal film directly onto the nucleation film, according to an embodiment of the present disclosure.

図2は、本開示の実施形態による、誘電体表面上に核形成膜を直接堆積させる周期的堆積プロセスを説明する非限定的な例示的プロセスフローである。FIG. 2 is a non-limiting exemplary process flow illustrating a cyclic deposition process for depositing a nucleation film directly on a dielectric surface according to an embodiment of the present disclosure.

図3は、本開示の実施形態による、核形成膜上にモリブデン金属膜を直接堆積させる周期的堆積プロセスを説明する非限定的な例示的プロセスフローである。FIG. 3 is a non-limiting exemplary process flow illustrating a cyclic deposition process for depositing a molybdenum metal film directly onto a nucleation film according to an embodiment of the present disclosure.

図4A、4Bおよび4Cは、本開示の実施形態による、垂直ギャップ形体を備える誘電体表面上に核形成膜を直接堆積させ、続いて核形成膜上にモリブデン金属膜を直接堆積させるプロセスの間に形成される半導体デバイス構造の断面概略図である。4A, 4B, and 4C are cross-sectional schematic diagrams of semiconductor device structures formed during a process of depositing a nucleation film directly on a dielectric surface with vertical gap features, followed by depositing a molybdenum metal film directly on the nucleation film, in accordance with an embodiment of the present disclosure.

図5A、5Bおよび5Cは、本開示の実施形態による、水平ギャップ形体を備える誘電体表面上に核形成膜を直接堆積させ、続いて核形成膜上にモリブデン金属膜を直接堆積させるプロセスの間に形成される半導体デバイス構造の断面概略図である。5A, 5B, and 5C are cross-sectional schematic diagrams of semiconductor device structures formed during a process of depositing a nucleation film directly on a dielectric surface with horizontal gap features, followed by depositing a molybdenum metal film directly on the nucleation film, in accordance with an embodiment of the present disclosure.

図6は、本開示の実施形態による、誘電体表面上に直接堆積させたモリブデン金属膜と、中間核形成膜を利用して誘電体表面上に堆積させたモリブデン金属膜のr.m.s.表面粗さ(R)である。6 illustrates the rms surface roughness (R a ) of molybdenum metal films deposited directly on a dielectric surface and using an intermediate nucleation film on a dielectric surface according to an embodiment of the present disclosure .

いくつかの実施形態および実施例を以下に開示するが、本発明が、具体的に開示する本発明の実施形態および/または用途、並びにその明白な変更および均等物を超えて拡大することは、当業者により理解されるであろう。それゆえ、開示する本発明の範囲は、以下に記載し具体的に開示する実施形態によって限定されるべきでないことが意図される。 Although several embodiments and examples are disclosed below, it will be understood by those skilled in the art that the present invention extends beyond the specifically disclosed embodiments and/or applications of the present invention, as well as obvious modifications and equivalents thereof. Therefore, it is not intended that the scope of the disclosed invention should be limited by the specifically disclosed embodiments described below.

本明細書に示される図は、何らかの特定の材料、構造またはデバイスの実際の図であることを意味せず、本開示の実施形態について記載するために使用される、単に理想化された表現にすぎない。 The figures shown herein are not meant to be actual illustrations of any particular materials, structures or devices, but merely idealized representations used to describe embodiments of the present disclosure.

本明細書で使用する通り、「基材」という用語は、使用される場合がある、またはその上にデバイス、回路もしくはフィルムが形成される場合がある、あらゆる下層材料または複数の下層材料を指してもよい。 As used herein, the term "substrate" may refer to any underlying material or materials that may be used or upon which a device, circuit or film may be formed.

本明細書で使用される「周期的堆積」という用語は、基材上に膜を堆積させるために反応チャンバに一つ以上の前駆体(反応物質)を連続的に導入することを指し、原子層堆積および周期的化学蒸着などの堆積技術を含む。 As used herein, the term "cyclic deposition" refers to the sequential introduction of one or more precursors (reactants) into a reaction chamber to deposit a film on a substrate, and includes deposition techniques such as atomic layer deposition and cyclic chemical vapor deposition.

本明細書で使用される「周期的化学蒸着」という用語は、基材を一つ以上の揮発性前駆体に逐次曝し、その前駆体が基材上で反応および/または分解して所望の堆積物を生成する、任意のプロセスを指すことができる。 As used herein, the term "cyclic chemical vapor deposition" can refer to any process in which a substrate is sequentially exposed to one or more volatile precursors that react and/or decompose on the substrate to produce a desired deposit.

本明細書で使用される「原子層堆積」(ALD)という用語は、堆積サイクル、好ましくは複数の連続堆積サイクルが反応チャンバ内で行われる蒸着プロセスを指すことができる。典型的には、各サイクルの間、前駆体は、堆積表面(例えば、基材の表面又は以前に堆積させた下地の表面、例えば、以前のALDサイクルを用いて堆積させた材料等)に化学吸着し、追加の前駆体と容易に反応しない単分子層又はサブ単分子層を形成する(即ち、自己制御反応)。その後、必要に応じて、化学吸着した前駆体を堆積表面上で所望の材料に変換するのに使用するために、反応物質(例えば、別の前駆体又は反応ガス)をその後プロセスチャンバ内に導入することができる。典型的には、この反応物質は前駆体と更に反応することができる。更に、各サイクル中にパージ工程を利用して、化学吸着した前駆体の変換後に、過剰な前駆体をプロセスチャンバから除去する、並びに/又は過剰の反応物質及び/若しくは反応副生成物をプロセスチャンバから除去することができる。更に、本明細書で使用される「原子層堆積」という用語は、関連する用語、例えば、「化学蒸着原子層堆積」、「原子層エピタキシー」(ALE)、分子線エピタキシー(MBE)、ガス源MBE、または有機金属MBE、並びに前駆体組成物、反応性ガス、およびパージ(例えば、不活性キャリア)ガスの交互パルスで実施される場合の化学ビームエピタキシー等、により示されるプロセスを含むことも意味する。 The term "atomic layer deposition" (ALD) as used herein may refer to a vapor deposition process in which a deposition cycle, preferably multiple consecutive deposition cycles, are performed in a reaction chamber. Typically, during each cycle, a precursor chemisorbs to the deposition surface (e.g., the surface of a substrate or a previously deposited underlayer, such as a material deposited using a previous ALD cycle) and forms a monolayer or submonolayer that does not readily react with additional precursors (i.e., a self-limiting reaction). If necessary, a reactant (e.g., another precursor or reactant gas) can then be introduced into the process chamber for use in converting the chemisorbed precursor to the desired material on the deposition surface. Typically, this reactant can further react with the precursor. Additionally, a purge step can be utilized during each cycle to remove excess precursor from the process chamber after conversion of the chemisorbed precursor and/or to remove excess reactants and/or reaction by-products from the process chamber. Additionally, the term "atomic layer deposition" as used herein is also meant to include processes indicated by related terms, such as "chemical vapor deposition atomic layer deposition," "atomic layer epitaxy" (ALE), molecular beam epitaxy (MBE), gas source MBE, or metalorganic MBE, as well as chemical beam epitaxy when performed with alternating pulses of precursor compositions, reactive gases, and purge (e.g., inert carrier) gases.

本明細書で使用する場合、「膜」および「薄膜」という用語は、本明細書に開示された方法により形成された任意の連続的または非連続的な構造および材料を意味することを意図する。「膜」および「薄膜」としては、例えば、2D材料、ナノラミネート、ナノロッド、ナノチューブ、またはナノ粒子、または平坦な部分的な若しくは完全な分子層、または部分的な若しくは完全な原子層、または原子および/若しくは分子のクラスター、を挙げることができる。「膜」および「薄膜」は、ピンホールを有する材料または層を含み得るが、それでも少なくとも部分的に連続している。 As used herein, the terms "film" and "thin film" are intended to mean any continuous or non-continuous structures and materials formed by the methods disclosed herein. "Films" and "thin films" can include, for example, 2D materials, nanolaminates, nanorods, nanotubes, or nanoparticles, or planar partial or complete molecular layers, or partial or complete atomic layers, or clusters of atoms and/or molecules. "Films" and "thin films" can include materials or layers that have pinholes, yet are at least partially continuous.

本明細書で使用する場合、用語「化合物材料」は、二つ以上の異なる元素が化学的に結合した材料を指すことができる。 As used herein, the term "compound material" can refer to a material in which two or more different elements are chemically bonded.

本明細書で使用する場合、用語「二成分化合物材料」は、本質的に二つの異なる元素から成る材料を指すことができる。用語「二成分化合物材料」は、本質的に二つの異なる元素から成る材料を指すことができるが、二成分化合物材料はまた、微量の不純物元素を含んでもよいことに留意されたい。 As used herein, the term "binary compound material" can refer to a material that is essentially composed of two different elements. It should be noted that while the term "binary compound material" can refer to a material that is essentially composed of two different elements, a binary compound material may also contain trace amounts of impurity elements.

本明細書で使用する場合、用語「シリコン二成分化合物材料」は、本質的にシリコン原子および別の異なる元素からなる材料を指すことができる。用語「シリコン二成分化合物材料」は、本質的にシリコン原子および別の異なる元素から成る材料を指すことができるが、シリコン二成分化合物材料も微量の不純物元素も含んでもよいことに留意されたい。 As used herein, the term "silicon binary compound material" can refer to a material that consists essentially of silicon atoms and another distinct element. It is noted that while the term "silicon binary compound material" can refer to a material that consists essentially of silicon atoms and another distinct element, silicon binary compound materials may also contain trace amounts of impurity elements.

本明細書で使用する場合、用語「モリブデン二成分化合物材料」は、本質的にモリブデン原子および別の異なる元素から成る材料を指すことができる。用語「モリブデン二成分化合物材料」は、本質的にモリブデン原子および別の異なる元素から成る材料を指すことができるが、モリブデン二成分化合物材料もまた微量の不純物元素を含んでもよいことに留意されたい。 As used herein, the term "molybdenum binary compound material" can refer to a material that consists essentially of molybdenum atoms and another distinct element. It is noted that, although the term "molybdenum binary compound material" can refer to a material that consists essentially of molybdenum atoms and another distinct element, molybdenum binary compound materials may also contain trace amounts of impurity elements.

本明細書で使用される場合、「モリブデンハライド前駆体」という用語は、少なくともモリブデン成分およびハライド成分を含む反応物質を指し、ハライド成分は、塩素成分、ヨウ素成分、または臭素成分のうちの一つ以上を含み得る。 As used herein, the term "molybdenum halide precursor" refers to a reactant that includes at least a molybdenum component and a halide component, where the halide component may include one or more of a chlorine component, an iodine component, or a bromine component.

本明細書で使用される場合、「モリブデンカルコゲナイドハライド」という用語は、少なくともモリブデン成分、ハライド成分、およびカルコゲナイド成分を含む反応物質を指し、カルコゲンは、酸素(O)、硫黄(S)、セレンおよびテルリウム(Te)を含む周期表のIV族の元素である。 As used herein, the term "molybdenum chalcogenide halide" refers to a reactant that includes at least a molybdenum component, a halide component, and a chalcogenide component, where the chalcogens are elements from Group IV of the periodic table, which includes oxygen (O), sulfur (S), selenium, and tellurium (Te).

本明細書で使用される場合、「モリブデンオキシハライド」という用語は、少なくともモリブデン成分、酸素成分、およびハライド成分を含む反応物質を指し得る。 As used herein, the term "molybdenum oxyhalide" may refer to a reactant that includes at least a molybdenum component, an oxygen component, and a halide component.

本明細書で使用される場合、「還元剤前駆体」という用語は、酸化還元化学反応において別の種に電子を供与する反応物質を指し得る。 As used herein, the term "reductant precursor" may refer to a reactant that donates electrons to another species in a redox chemical reaction.

本明細書で使用される場合、「結晶膜」という用語は、結晶構造の少なくとも短範囲規則性、または長範囲規則性をも呈する膜を指し、単結晶膜ならびに多結晶膜を含む。 As used herein, the term "crystalline film" refers to a film that exhibits at least short-range order, or even long-range order, of the crystal structure, and includes monocrystalline films as well as polycrystalline films.

本明細書で使用される場合、「ギャップ形体」という用語は、非平面の表面の二つの表面の間に配置された開口部またはくぼみを意味し得る。「ギャップ形体」という用語は、基材表面から垂直に延在する二つの突起部の傾斜した側壁、または基材表面の表面内に垂直に延在する刻み目の対向する傾斜した側壁の間に配置された開口部またはくぼみを指し得、このようなギャップ形体は「垂直なギャップ形体」と称され得る。「ギャップ形体」という用語はまた、二つの対向する実質的に水平な表面の間に配置された開口部またはくぼみを意味し得、水平な表面は水平な開口部またはくぼみを繋ぎ、こうしたギャップ形体は、「水平なギャップ形体」と称され得る。 As used herein, the term "gap feature" may refer to an opening or recess disposed between two surfaces of a non-planar surface. The term "gap feature" may refer to an opening or recess disposed between the sloping sidewalls of two protrusions extending vertically from a substrate surface, or the opposing sloping sidewalls of an indentation extending vertically into the surface of a substrate surface, such a gap feature may be referred to as a "vertical gap feature." The term "gap feature" may also refer to an opening or recess disposed between two opposing substantially horizontal surfaces, such that the horizontal surfaces connect the horizontal openings or recesses, such a gap feature may be referred to as a "horizontal gap feature."

本明細書で使用する場合、用語「シーム」は、ギャップフィル金属に形成される縁の当接によって形成される線または一つもしくは複数のボイドを指すことができ、「シーム」は走査型透過電子顕微鏡法(STEM)または透過電子顕微鏡法(TEM)を使用して確認できるが、観察によって垂直なギャップフィル金属にはっきりした垂直な線または一つもしくは複数のボイド、または水平なギャップフィル金属にはっきりした水平な線または一つもしくは複数の水平なボイドが明らかになった場合、「シーム」が存在する。 As used herein, the term "seam" can refer to a line or void(s) formed by the abutment of edges formed in the gap-fill metal, and a "seam" can be identified using scanning transmission electron microscopy (STEM) or transmission electron microscopy (TEM), where a "seam" is present when observation reveals a distinct vertical line or void(s) in vertical gap-fill metal, or a distinct horizontal line or void(s) in horizontal gap-fill metal.

本開示の実施形態を通じて多くの例示的な材料が与えられており、例示的な材料のそれぞれに与えられる化学式は限定的であると解釈されるべきではなく、与えられる非限定的な例示的な材料はある例示的な化学量論によって限定されるべきではないことに留意されたい。 It should be noted that many exemplary materials are provided throughout the embodiments of this disclosure, and the chemical formulas provided for each of the exemplary materials should not be construed as limiting, nor should the non-limiting exemplary materials provided be limited by any exemplary stoichiometry.

本開示は、誘電体材料の表面上に直接配置される中間核形成膜を利用して、誘電体材料の表面上にモリブデン金属膜を堆積させる方法を含む。モリブデン金属薄膜は、例えば、低電気抵抗率ギャップフィル、3D-NAND、DRAMワード線特徴用のライナー層、またはCMOSロジック用の相互接続材料など、多くの用途で利用され得る。中間核形成膜を利用して、即ち高電気抵抗ライナー層を使用せずに誘電体表面上にモリブデン金属膜を堆積する能力は、論理用途、即ちCMOS構造、およびメモリアプリケーションのワードライン/ビットライン、例えば3D-NANDやDRAM構造における相互接続に対してより低い実効電気抵抗を可能にする。 The present disclosure includes a method for depositing a molybdenum metal film on a surface of a dielectric material utilizing an intermediate nucleation film disposed directly on the surface of the dielectric material. The molybdenum metal thin film may be utilized in many applications, such as, for example, low electrical resistivity gap fill, liner layers for 3D-NAND, DRAM wordline features, or interconnect materials for CMOS logic. The ability to deposit a molybdenum metal film on a dielectric surface utilizing an intermediate nucleation film, i.e., without the use of a high electrical resistance liner layer, allows for lower effective electrical resistance for logic applications, i.e., CMOS structures, and wordlines/bitlines for memory applications, e.g., interconnects in 3D-NAND and DRAM structures.

したがって、本開示の実施形態は、中間核形成膜を利用して基材の誘電体表面上にモリブデン金属膜を堆積する方法を含む。方法は、誘電体表面を備える基材を反応チャンバに供給することと、誘電体表面上に核形成膜を直接堆積させることと、核形成膜上にモリブデン金属膜を直接堆積させることと、を含むことができ、モリブデン金属膜を堆積することは、基材をモリブデンハライド前駆体を含む第一の気相反応物質と接触させることと、基材を還元剤前駆体を含む第二の気相反応物質と接触させることと、を含む。 Accordingly, embodiments of the present disclosure include a method of depositing a molybdenum metal film on a dielectric surface of a substrate utilizing an intermediate nucleation film. The method can include providing a substrate having a dielectric surface to a reaction chamber, depositing a nucleation film directly on the dielectric surface, and depositing a molybdenum metal film directly on the nucleation film, where depositing the molybdenum metal film includes contacting the substrate with a first gas phase reactant comprising a molybdenum halide precursor and contacting the substrate with a second gas phase reactant comprising a reducing agent precursor.

中間核形成膜を利用して誘電体表面上にモリブデン金属膜を堆積させる例示的プロセス100が、図1を参照して例示される。例示的プロセス100は、二つの堆積プロセス、誘電体材料の表面上に核形成膜を直接堆積するための第一の堆積プロセス、および核形成膜上にモリブデン金属膜を直接堆積するための第二の堆積プロセスを含むことができる。 An exemplary process 100 for depositing a molybdenum metal film on a dielectric surface utilizing an intermediate nucleation film is illustrated with reference to FIG. 1. The exemplary process 100 can include two deposition processes, a first deposition process for depositing a nucleation film directly on the surface of a dielectric material, and a second deposition process for depositing a molybdenum metal film directly on the nucleation film.

より詳細に、また図1を参照すると、例示的なプロセス100は、誘電体表面を備える基材を反応チャンバ内に供給することを含むプロセスブロック110によって開始することができる。 In more detail and with reference to FIG. 1, the exemplary process 100 can begin with process block 110, which includes providing a substrate having a dielectric surface into a reaction chamber.

本開示のいくつかの実施形態では、基材は高いアスペクト比の形体、例えばトレンチ構造、水平なギャップ、および/またはフィン構造等を備えるパターン化された基材を備えることができる。例えば、基材は、一つ以上の実質的に垂直なギャップ形体および/または一つ以上の実質的に水平なギャップ形体を含み得る。「ギャップ形体」という用語は、基材表面から垂直に延在する二つの突起部の傾斜した側壁、または基材表面の表面内に垂直に延在する刻み目の対向する傾斜した側壁の間に配置された開口部またはくぼみを指し得、このようなギャップ形体は「垂直なギャップ形体」と称され得る。「ギャップ形体」という用語はまた、二つの対向する実質的に水平な表面の間に配置された開口部またはくぼみを意味し得、水平な表面は水平な開口部またはくぼみを繋ぎ、こうしたギャップ形体は、「水平なギャップ形体」と称され得る。本開示の実施形態は、垂直なギャップ形体および/または水平なギャップ形体の充填に限定されず、基材内および/または基材上に配置されたギャップの他の幾何学的形状は、本明細書に開示されるプロセスによってモリブデン金属で充填され得ることに留意されたい。 In some embodiments of the present disclosure, the substrate may comprise a patterned substrate comprising high aspect ratio features, such as trench structures, horizontal gaps, and/or fin structures. For example, the substrate may include one or more substantially vertical gap features and/or one or more substantially horizontal gap features. The term "gap feature" may refer to an opening or recess disposed between the sloping sidewalls of two protrusions extending vertically from the substrate surface, or the opposing sloping sidewalls of an indentation extending vertically into the surface of the substrate surface, such a gap feature may be referred to as a "vertical gap feature." The term "gap feature" may also refer to an opening or recess disposed between two opposing substantially horizontal surfaces, where a horizontal surface connects the horizontal opening or recess, such a gap feature may be referred to as a "horizontal gap feature." It should be noted that embodiments of the present disclosure are not limited to filling vertical and/or horizontal gap features, and other geometries of gaps disposed in and/or on the substrate may be filled with molybdenum metal by the processes disclosed herein.

本開示のいくつかの実施形態では、基材は、図4Aに例示すように、一つまたは複数の実質的に垂直のギャップ形体を備えることができ、図4Aは、基材402内に配置された高アスペクト比の垂直ギャップ形体404を有する誘電体材料を含む基材402を含む半導体デバイス構造400を示す。いくつかの実施形態では、一つまたは複数の垂直なギャップ形体は、2:1より大きい、または5:1より大きい、または10:1より大きい、または25:1より大きい、または50:1より大きい、または100:1よりも大きいアスペクト比(高さ:幅)を有することができ、この例で使用される場合、「より大きい」とは、ギャップ形体の高さにおけるより長い距離を意味する。 In some embodiments of the present disclosure, the substrate can include one or more substantially vertical gap features, as illustrated in FIG. 4A, which shows a semiconductor device structure 400 including a substrate 402 including a dielectric material having a high aspect ratio vertical gap feature 404 disposed within the substrate 402. In some embodiments, the vertical gap feature or features can have an aspect ratio (height:width) of greater than 2:1, or greater than 5:1, or greater than 10:1, or greater than 25:1, or greater than 50:1, or greater than 100:1, with "greater" as used in this example meaning a greater distance in the height of the gap feature.

本開示の実施形態では、基材は、図5Aに例示するように、一つまたは複数の実質的に水平のギャップ形体を備えることができ、図5Aは、基材502内に配置された高いアスペクト比の水平ギャップ形体504を有する誘電体材料を備える基材502を含む誘電体デバイス構造500を示す。いくつかの実施形態では、一つまたは複数の水平なギャップ形体は、1:2より大きい、または1:5より大きい、または1:10より大きい、または1:25より大きい、または1:50より大きい、または1:100よりも大きいアスペクト比(高さ:幅)を有することができ、この例で使用される場合、「より大きい」とは、ギャップ形体の幅におけるより長い距離を意味する。 In embodiments of the present disclosure, the substrate may include one or more substantially horizontal gap features, as illustrated in FIG. 5A, which shows a dielectric device structure 500 including a substrate 502 including a dielectric material having a high aspect ratio horizontal gap feature 504 disposed within the substrate 502. In some embodiments, the horizontal gap feature or features may have an aspect ratio (height:width) of greater than 1:2, or greater than 1:5, or greater than 1:10, or greater than 1:25, or greater than 1:50, or greater than 1:100, with "greater" as used in this example meaning a greater distance in the width of the gap feature.

基材は、限定するものではないが、半導体材料、誘電材料、および金属材料を含む、一つ以上の材料および材料表面を含み得る。 The substrate may include one or more materials and material surfaces, including, but not limited to, semiconductor materials, dielectric materials, and metallic materials.

いくつかの実施形態では、シリコン(Si)、ゲルマニウム(Ge)、ゲルマニウムスズ(GeSn)、シリコンゲルマニウム(SiGe)、シリコンゲルマニウムスズ(SiGeSn)、ケイ素(SigeSn)、炭化ケイ素(SiC)、またはIII-V族の半導体材料、などの半導体材料を含み得る。 In some embodiments, the semiconductor material may include silicon (Si), germanium (Ge), germanium tin (GeSn), silicon germanium (SiGe), silicon germanium tin (SiGeSn), silicon (SiGeSn), silicon carbide (SiC), or a III-V semiconductor material.

いくつかの実施形態では、基材は、例えば、限定するものではないが、純金属、金属窒化物、金属炭化物、金属ホウ化物、およびそれらの混合物などの金属材料を含み得る。 In some embodiments, the substrate may include metallic materials such as, for example, but not limited to, pure metals, metal nitrides, metal carbides, metal borides, and mixtures thereof.

いくつかの実施形態では、基材は、例えば、限定するものではないが、誘電材料および金属酸化物誘電材料を含むシリコン、などの誘電材料を含み得る。いくつかの実施形態では、基材は、例えば、限定するものではないが、二酸化ケイ素(SiO)、亜酸化ケイ素、窒化ケイ素(Si)、酸窒化ケイ素(SiON)、オキシ炭化ケイ素(SiOC)、オキシ炭化ケイ素窒化物(SiOCN)、シリコン炭窒化物(SicN)、などの誘電材料を含むシリコンを含む一つ以上の誘電体表面を含み得る。いくつかの実施形態では、基材は、限定するものではないが、例えば、酸化アルミニウム((Al)、酸化ハフニウム(HfO)、酸化タンタル(Ta)、酸化ジルコニウム(ZrO))、酸化チタン(TiO)、ハフニウムケイ酸塩(HfSio)および酸化ランタン(La))、などの金属酸化物を含む一つ以上の誘電体表面を含み得る。 In some embodiments, the substrate may include a dielectric material such as, for example, but not limited to, silicon, including dielectric materials and metal oxide dielectric materials. In some embodiments, the substrate may include one or more dielectric surfaces including, for example, but not limited to, silicon dioxide (SiO 2 ), silicon suboxide, silicon nitride (Si 3 N 4 ), silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon oxycarbide nitride (SiOCN), silicon carbonitride (SiC), etc. In some embodiments, the substrate may include one or more dielectric surfaces including, for example, but not limited to, metal oxides such as, for example, aluminum oxide ((Al 2 O 3 ), hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO) 2 ), titanium oxide (TiO 2 ), hafnium silicate (HfSiO x ), and lanthanum oxide (La) 2 O 3 ).

本開示のいくつかの実施形態では、基材は設計された基材を備え得、表面半導体層は、その間に配置された介在する埋め込み酸化(BOX)によってバルク支持体上に配置される。 In some embodiments of the present disclosure, the substrate may comprise an engineered substrate, with a surface semiconductor layer disposed on a bulk support with an intervening buried oxide (BOX) disposed therebetween.

パターン化された基材は、基材表面中または基材表面上に形成された半導体デバイス構造を含むことができる基材を備えることができ、例えば、パターン化された基材は、製造された、および/または部分的に製造された半導体デバイス構造、例えばトランジスタおよび/またはメモリ素子を備えることができる。いくつかの実施形態では、基材は、単結晶表面および/または、多結晶表面および/または非結晶表面などの非単結晶表面を含み得る一つ以上の二次表面を含み得る。単結晶表面は、例えば、シリコン(Si)、シリコンゲルマニウム(SiGe)、ゲルマニウムスズ(gESn)、またはゲルマニウム(Ge)のうちの一つ以上を含み得る。多結晶または非晶質表面は、酸化物、酸窒化物、オキシカーバイド、オキシカーバイド窒化物、窒化物、またはそれらの混合物などの誘電材料を含み得る。 A patterned substrate may comprise a substrate that may include semiconductor device structures formed in or on the substrate surface, for example, a patterned substrate may comprise fabricated and/or partially fabricated semiconductor device structures, such as transistors and/or memory elements. In some embodiments, the substrate may include one or more secondary surfaces that may include single crystal surfaces and/or non-single crystal surfaces, such as polycrystalline surfaces and/or amorphous surfaces. Single crystal surfaces may include, for example, one or more of silicon (Si), silicon germanium (SiGe), germanium tin (gESn), or germanium (Ge). Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides, oxynitrides, oxycarbides, oxycarbide nitrides, nitrides, or mixtures thereof.

基材は、誘電体材料の表面上に核形成膜を直接堆積させるように、および核形成膜上にモリブデン金属膜を直接堆積させるように構成される一つまたは複数の反応チャンバ内に配置されてもよい。いくつかの実施形態では、核形成膜は、化学蒸着(CVD)プロセス、浸漬プロセス、プラズマ強化化学蒸着(PECVD)プロセス、または物理蒸着(PVD)プロセスのうちの一つまたは複数によって誘電体表面上に直接堆積されてもよい。本開示の特定の実施形態では、核形成膜およびモリブデン膜の両方を、周期的堆積プロセスを利用して達成可能な固有の共形性により、ならびに限定されないが垂直ギャップ形体および/または水平ギャップ形体を含む一つまたは複数の高いアスペクト比の形体を備える非平面の基材上に共形膜を形成するための周期的堆積プロセスの能力と、により、周期的堆積プロセスを利用して堆積することができる。 The substrate may be placed in one or more reaction chambers configured to deposit a nucleation film directly on the surface of the dielectric material and to deposit a molybdenum metal film directly on the nucleation film. In some embodiments, the nucleation film may be deposited directly on the dielectric surface by one or more of a chemical vapor deposition (CVD) process, a dip process, a plasma-enhanced chemical vapor deposition (PECVD) process, or a physical vapor deposition (PVD) process. In certain embodiments of the present disclosure, both the nucleation film and the molybdenum film may be deposited using a cyclic deposition process due to the inherent conformality achievable using the cyclic deposition process and the ability of the cyclic deposition process to form conformal films on non-planar substrates with one or more high aspect ratio features, including but not limited to vertical gap features and/or horizontal gap features.

したがって、中間核成形膜を利用してモリブデン金属膜を誘電体表面上に堆積させるために使用することができる反応器又は反応チャンバは、周期的堆積プロセス、例えば原子層堆積プロセスまたは周期化学蒸着プロセスなどを実施するように構成されることができる。したがって、本開示の実施形態を実施するのに適した反応器または反応チャンバは、前駆体を提供するように構成されたALD反応器およびCVD反応器を含み得る。いくつかの実施形態によれば、シャワーヘッド反応器を使用し得る。いくつかの実施形態によれば、クロスフロー、バッチ、ミニバッチ、または空間ALD反応器が使用され得る。 Thus, a reactor or reaction chamber that can be used to deposit a molybdenum metal film onto a dielectric surface utilizing an intermediate nucleation film can be configured to perform a cyclic deposition process, such as an atomic layer deposition process or a cyclic chemical vapor deposition process. Thus, a reactor or reaction chamber suitable for performing embodiments of the present disclosure can include ALD reactors and CVD reactors configured to provide precursors. According to some embodiments, a showerhead reactor can be used. According to some embodiments, a cross-flow, batch, mini-batch, or space ALD reactor can be used.

本開示のいくつかの実施形態では、バッチ式反応器を使用し得る。いくつかの実施形態では、垂直バッチ式反応器を使用し得る。他の実施形態では、バッチ式反応器は、10枚以下のウェーハ、8枚以下のウェーハ、6枚以下のウェーハ、4枚以下のウェーハ、または2枚以下のウェーハを収容するように構成されたミニバッチ反応器を備える。バッチ式反応器が使用されるいくつかの実施形態では、ウェーハ間の不均一性は3%(1シグマ)未満、2%未満、1%未満または更には0.5%未満である。 In some embodiments of the present disclosure, a batch reactor may be used. In some embodiments, a vertical batch reactor may be used. In other embodiments, the batch reactor comprises a mini-batch reactor configured to accommodate 10 or fewer wafers, 8 or fewer wafers, 6 or fewer wafers, 4 or fewer wafers, or 2 or fewer wafers. In some embodiments where a batch reactor is used, the wafer-to-wafer non-uniformity is less than 3% (1 sigma), less than 2%, less than 1% or even less than 0.5%.

本明細書に記載の、中間核形成膜を利用してモリブデン金属膜フィルを堆積させる例示的なプロセスは、必要に応じてクラスタツールに連結する反応器または反応チャンバ内で実行されてもよい。クラスタツールでは、各反応チャンバが一つのタイプのプロセス専用であるため、各モジュール内の反応チャンバの温度を一定に保つことができ、各運転の前に基材をプロセス温度まで加熱する反応器と比較してスループットが向上する。更に、クラスタツールでは、反応チャンバを基材間で所望のプロセス圧力レベルに排気する時間を短縮することが可能である。本開示のいくつかの実施形態では、本明細書に開示される例示的プロセスは、複数の反応チャンバを含むクラスタツール内で実施されてもよく、各個々の反応チャンバは、基材を個々の前駆体ガスに曝露させるために使用されてもよく、基材を複数の前駆体ガスに曝露されるように異なる反応チャンバ間を搬送してもよく、基材の搬送は基材の酸化/汚染を回避するために制御された周辺環境下で実施される。例えば、第一の反応チャンバと第二の反応チャンバとの間の搬送を制御された環境下で行ない、基材および関連する膜の汚染または劣化を防ぎながら、核形成膜の堆積を、クラスタツールと関連付けられた第一の反応チャンバ内で周期的堆積プロセスにより行ってもよく、モリブデン膜の堆積を、同じクラスタツールと関連付けられた第二の反応チャンバ内で周期的堆積プロセスにより行ってもよい。本開示のいくつかの実施形態では、本開示のプロセスは、複数の反応チャンバを備えるクラスタツール内で実施されてもよく、各個々の反応チャンバは、基材を異なる温度に加熱するように構成されてもよい。 The exemplary process described herein for depositing a molybdenum metal film fill utilizing an intermediate nucleation film may be carried out in a reactor or reaction chamber that is optionally coupled to a cluster tool. In a cluster tool, the temperature of the reaction chambers in each module can be kept constant since each reaction chamber is dedicated to one type of process, improving throughput compared to reactors that heat the substrate to a process temperature before each run. Additionally, in a cluster tool, it is possible to reduce the time to evacuate the reaction chamber to the desired process pressure level between substrates. In some embodiments of the present disclosure, the exemplary process disclosed herein may be carried out in a cluster tool that includes multiple reaction chambers, each individual reaction chamber may be used to expose the substrate to an individual precursor gas, and the substrate may be transported between different reaction chambers to be exposed to multiple precursor gases, with the transport of the substrate being carried out under a controlled ambient environment to avoid oxidation/contamination of the substrate. For example, the nucleation film may be deposited by a cyclic deposition process in a first reaction chamber associated with a cluster tool, and the molybdenum film may be deposited by a cyclic deposition process in a second reaction chamber associated with the same cluster tool, with transfer between the first and second reaction chambers occurring in a controlled environment to prevent contamination or degradation of the substrate and associated film. In some embodiments of the present disclosure, the processes of the present disclosure may be performed in a cluster tool comprising multiple reaction chambers, and each individual reaction chamber may be configured to heat the substrate to a different temperature.

いくつかの実施形態では、核形成膜を誘電体表面上に直接堆積し、モリブデン金属膜を核形成膜上に直接堆積する例示的なプロセスを、ロードロックを備えていてもよい単一の独立型反応器内で実施することができる。その場合、各運転と運転との間に反応チャンバを冷却する必要はない。 In some embodiments, the exemplary process of depositing a nucleation film directly onto a dielectric surface and depositing a molybdenum metal film directly onto the nucleation film can be carried out in a single stand-alone reactor, which may also include a load lock, without the need to cool the reaction chamber between runs.

基材が好適な反応チャンバ、例えば、周期的堆積プロセスのために構成される反応チャンバ内に堆積されると、例示的な図1のプロセス100は、誘電体表面上に核形成膜を直接堆積することを含むプロセスブロック120によって行われることができる。プロセスブロック120およびその構成サブプロセスを、誘電体表面上に核形成膜を直接堆積するための例示的な非限定的な周期的堆積プロセスを示す図2を参照しながらより詳細に説明する。 Once the substrate is deposited in a suitable reaction chamber, e.g., a reaction chamber configured for a cyclic deposition process, the exemplary process 100 of FIG. 1 can be performed by process block 120, which includes depositing a nucleation film directly on a dielectric surface. Process block 120 and its constituent sub-processes are described in more detail with reference to FIG. 2, which illustrates an exemplary, non-limiting cyclic deposition process for depositing a nucleation film directly on a dielectric surface.

より詳細には、核形成膜を誘電体表面上に直接堆積させる堆積プロセスを、基材を所望の堆積温度に加熱することを含むサブプロセス210によって行うことができる。例えば、基材は、約800℃未満、または約700℃未満、または約600℃未満、または約500℃未満、または約400℃未満、または約300℃未満、または約200℃未満の基材温度まで加熱されることができる。本開示のいくつかの実施形態では、プロセスブロック120の周期的堆積プロセスの間の基材温度は、250℃~800℃の間、または300℃~600℃の間、または550℃~600℃の間とすることができる。 More specifically, the deposition process to deposit the nucleation film directly on the dielectric surface can be performed by sub-process 210, which includes heating the substrate to a desired deposition temperature. For example, the substrate can be heated to a substrate temperature of less than about 800° C., or less than about 700° C., or less than about 600° C., or less than about 500° C., or less than about 400° C., or less than about 300° C., or less than about 200° C. In some embodiments of the present disclosure, the substrate temperature during the cyclic deposition process of process block 120 can be between 250° C. and 800° C., or between 300° C. and 600° C., or between 550° C. and 600° C.

いくつかの実施形態では、核形成膜を堆積するための堆積温度は、堆積させる材料の組成に依存し得る。例えば、本開示のいくつかの実施形態では、核形成膜は、化合物材料、即ち少なくとも二つの異なる元素を含む材料を含むことができる。いくつかの実施形態では、化合物材料は、二成分化合物材料、即ち二つの異なる元素および微量の不純物元素から本質的に成る材料を含んでもよい。いくつかの実施形態では、化合物は、三成分化合物材料、即ち三つの異なる元素および微量の不純物元素から本質的に成る材料を含んでもよい。 In some embodiments, the deposition temperature for depositing the nucleation film may depend on the composition of the material being deposited. For example, in some embodiments of the present disclosure, the nucleation film may comprise a compound material, i.e., a material that includes at least two different elements. In some embodiments, the compound material may comprise a binary compound material, i.e., a material that consists essentially of two different elements and a trace impurity element. In some embodiments, the compound may comprise a ternary compound material, i.e., a material that consists essentially of three different elements and a trace impurity element.

いくつかの実施形態では、二成分化合物材料はシリコン二成分化合物材料を含んでもよく、シリコン化合物材料は本質的にシリコン原子および微量の不純物元素を有する異なる元素から成る。例えば、いくつかの実施形態では、シリコン二成分化合物材料は、少なくとも窒化ケイ素(例えば、Si)、炭化ケイ素(例えば、SiC)、または酸化ケイ素(例えば、SiO)のうちの少なくとも一つを含むことができる。このような例示的な実施形態では、シリコン二成分化合物材料を含む核形成層の堆積中の基材の温度は、約500℃未満、または約400℃未満、または約300℃未満、または約250℃未満、または更に約200℃未満とすることができる。 In some embodiments, the binary compound material may include a silicon binary compound material, which is essentially composed of different elements with silicon atoms and trace amounts of impurity elements. For example, in some embodiments, the silicon binary compound material may include at least one of silicon nitride (e.g., Si3N4 ), silicon carbide (e.g., SiC), or silicon oxide (e.g., SiO2 ). In such exemplary embodiments, the temperature of the substrate during deposition of the nucleation layer comprising the silicon binary compound material may be less than about 500 ° C, or less than about 400°C, or less than about 300°C, or less than about 250°C, or even less than about 200°C.

いくつかの実施形態では、二成分化合物材料は、モリブデン二成分化合物材料を含んでもよく、モリブデン化合物材料は、本質的にモリブデン原子および微量の不純物元素を有する異なる元素から成る。例えば、いくつかの実施形態では、モリブデン二元化合物材料は、窒化モリブデン、炭化モリブデン、酸化モリブデン、またはケイ化モリブデンのうちの少なくとも一つを含むことができる。このような例示的な実施形態では、モリブデン二成分化合物材料を含む核形成膜の堆積中の基材の温度は、約700℃未満、または約600℃未満、または約500℃未満、または約400℃未満、または更に約300℃未満とすることができる。いくつかの実施形態では、モリブデン二成分化合物材料を含む核形成膜の堆積を、300℃~600℃、または400℃~500℃の基材温度で行うことができる。 In some embodiments, the binary compound material may include a molybdenum binary compound material, which consists essentially of different elements with molybdenum atoms and trace amounts of impurity elements. For example, in some embodiments, the molybdenum binary compound material may include at least one of molybdenum nitride, molybdenum carbide, molybdenum oxide, or molybdenum silicide. In such exemplary embodiments, the temperature of the substrate during deposition of the nucleation film including the molybdenum binary compound material may be less than about 700° C., or less than about 600° C., or less than about 500° C., or less than about 400° C., or even less than about 300° C. In some embodiments, deposition of the nucleation film including the molybdenum binary compound material may be performed at a substrate temperature between 300° C. and 600° C., or between 400° C. and 500° C.

本開示のいくつかの実施形態では、核形成膜は三成分化合物、例えばシリコン三成分化合物(例えば、SiCN、SiON)、またはモリブデン三成分化合物(例えば、MoON、MoSiO)を含むことができる。このような実施形態では、三成分化合物の堆積中の基材温度は、約700℃未満、または約600℃未満、または約500℃未満、または約400℃未満、または更に約300℃未満とすることができる。 In some embodiments of the present disclosure, the nucleation film can include a ternary compound, such as a silicon ternary compound (e.g., SiCN, SiON), or a molybdenum ternary compound (e.g., MoON, MoSiO). In such embodiments, the substrate temperature during deposition of the ternary compound can be less than about 700°C, or less than about 600°C, or less than about 500°C, or less than about 400°C, or even less than about 300°C.

更に、望ましい堆積温度、即ち、所望の基材温度を達成するために、核形成膜を誘電体表面上に直接堆積させる例示的な原子層堆積プロセス(即ち、プロセスブロック120)はまた、堆積中に反応チャンバ内の圧力を調整して、誘電体表面上で直接核形成膜の望ましい特性を得ることができる。例えば、本開示のいくつかの実施形態では、例示的な周期的堆積プロセスを、300Torr未満、または200Torr未満、または100Torr未満、または50Torr未満、または25Torr未満、または15Torr未満、または更に1Torr未満の反応チャンバ圧力に調節される反応チャンバ内で行うことができる。いくつかの実施形態では、核形成膜の堆積の間の反応チャンバ内の圧力は、1Torr~300Torr、または1Torr~50Torr、または1Torr~15Torr、または更に30Torr以上の圧力で調節され得る。 Furthermore, to achieve a desired deposition temperature, i.e., a desired substrate temperature, the exemplary atomic layer deposition process (i.e., process block 120) that deposits a nucleation film directly on a dielectric surface can also adjust the pressure in the reaction chamber during deposition to obtain the desired properties of the nucleation film directly on the dielectric surface. For example, in some embodiments of the present disclosure, the exemplary cyclic deposition process can be performed in a reaction chamber that is adjusted to a reaction chamber pressure of less than 300 Torr, or less than 200 Torr, or less than 100 Torr, or less than 50 Torr, or less than 25 Torr, or less than 15 Torr, or even less than 1 Torr. In some embodiments, the pressure in the reaction chamber during deposition of the nucleation film can be adjusted at a pressure between 1 Torr and 300 Torr, or between 1 Torr and 50 Torr, or between 1 Torr and 15 Torr, or even 30 Torr or more.

基材が所望の温度まで加熱され、反応チャンバ内の圧力が所望のレベルに制御されると、誘電体表面上に核形成膜を直接堆積させる例示的なプロセスは、原子層堆積(ALD)または周期的化学気相堆積(CCVD)を含むことができる周期的堆積相205によって続けることができる。 Once the substrate has been heated to a desired temperature and the pressure in the reaction chamber has been controlled to a desired level, the exemplary process of depositing a nucleation film directly onto a dielectric surface can continue with a cyclic deposition phase 205, which can include atomic layer deposition (ALD) or cyclic chemical vapor deposition (CCVD).

周期的堆積プロセスの非限定的な例示の実施形態は原子層堆積(ALD)を含み、ALDは典型的な自己制御反応に基づいており、それにより反応物質の逐次および交互パルスを用いて、堆積サイクル当たり材料の約1原子(または分子)単層を堆積する。堆積条件および前駆体は、典型的には、一つの反応物質の吸着層が同じ反応物質の気相の反応物質と非反応性の表面終端を残すように、自己飽和反応を提供するように選択される。その後、基材を、前の終端と反応する異なる反応物質と接触させ、連続的な堆積を可能にする。従って、交互パルスの各サイクルは、典型的には、所望の材料の約一層以下の単層を残す。しかし、上記のように、一つ以上のALDサイクルにおいて、例えば、交互するプロセスの性質にもかかわらずいくつかの気相反応が起こる場合、材料の一つより多い単層を堆積させることができることを、当業者は認識するであろう。 A non-limiting example embodiment of a cyclic deposition process includes atomic layer deposition (ALD), which is based on a typical self-limiting reaction whereby sequential and alternating pulses of reactants are used to deposit approximately one atomic (or molecular) monolayer of material per deposition cycle. Deposition conditions and precursors are typically selected to provide a self-saturating reaction such that an adsorbed layer of one reactant leaves a surface termination unreactive with the gas phase reactant of the same reactant. The substrate is then contacted with a different reactant that reacts with the previous termination, allowing for successive depositions. Thus, each cycle of alternating pulses typically leaves approximately one monolayer or less of the desired material. However, as noted above, one skilled in the art will recognize that more than one monolayer of material can be deposited in one or more ALD cycles, for example, if several gas phase reactions occur despite the alternating nature of the process.

誘電体表面上に直接核形成膜を形成するのに利用されるALD型プロセスにおいて、1単位の堆積サイクルは、基材を第一の気相反応物質に曝露することと、全ての未反応の第一の反応物質および反応副生成物を反応チャンバから除去することと、基材を第二の気相反応物質に曝露することと、を含むことができ、第二の除去工程に続く。本開示のいくつかの実施形態では、第一の気相反応物は第一のケイ素前駆体またはモリブデン前駆体のうちの少なくとも一つを含むことができ、第二の気相反応物は窒素前駆体、炭素前駆体、酸素前駆体、または第二のケイ素前駆体のうちの少なくとも一つを含むことができる。 In an ALD-type process utilized to form a nucleation film directly on a dielectric surface, one unit of deposition cycle may include exposing the substrate to a first gas-phase reactant, removing any unreacted first reactant and reaction by-products from the reaction chamber, and exposing the substrate to a second gas-phase reactant, followed by a second removal step. In some embodiments of the present disclosure, the first gas-phase reactant may include at least one of a first silicon precursor or a molybdenum precursor, and the second gas-phase reactant may include at least one of a nitrogen precursor, a carbon precursor, an oxygen precursor, or a second silicon precursor.

前駆体は、反応物質間の気相反応を防止し、自己飽和表面反応を可能にするように、不活性ガス、例えばアルゴン(Ar)または窒素(N)によって分離されることができる。しかし、いくつかの実施形態では、基材を移動させて、第一の気相の反応物質と第二の気相の反応物質とを、別々に接触させることができる。反応が自己飽和するので、基材の厳密な温度制御および前駆体の正確な投与量制御は必要でない場合もある。しかし、基材温度は、入射ガス種が単層に凝縮しないように、及び表面で分解しないようにすることが好ましい。基材を次の反応性化学物質と接触させる前に、余分な化学物質及び反応副生成物がある場合には、それらを、例えば反応空間をパージすることにより又は基材を移動させることにより、基材の表面から除去する。望ましくない気体の分子を、不活性パージガスを用いて反応空間から効果的に排出することができる。パージを促進するために、真空ポンプを使用することができる。 The precursors can be separated by an inert gas, e.g., argon (Ar) or nitrogen ( N2 ), to prevent gas-phase reactions between the reactants and to allow self-saturating surface reactions. However, in some embodiments, the substrate can be moved to contact the first and second gas-phase reactants separately. Since the reaction is self-saturating, strict temperature control of the substrate and precise dosage control of the precursors may not be necessary. However, the substrate temperature is preferably such that the incident gas species do not condense into a monolayer and do not decompose on the surface. Before contacting the substrate with the next reactive chemical, excess chemicals and reaction by-products, if any, are removed from the substrate surface, e.g., by purging the reaction space or by moving the substrate. Undesired gas molecules can be effectively evacuated from the reaction space using an inert purge gas. A vacuum pump can be used to facilitate purging.

本開示のいくつかの非限定的実施形態によると、ALDプロセスを使用して、誘電材料表面上に直接核形成膜を堆積させることができる。本開示のいくつかの実施形態では、各ALDサイクルは、二つの別々の堆積工程または段階を含み得る。堆積サイクルの第一段階では、堆積が望まれる基材表面を、基材の表面上に化学吸着する第一のシリコン前駆体またはモリブデン前駆体のうちの少なくとも一つを含む第一の気相反応物質と接触させ、基材の表面上に反応物質種の約一層以下の単層を形成することができる。堆積の第二段階では、堆積が望まれる基材表面を、窒素前駆体、炭素前駆体、酸素前駆体、または第二のシリコン前駆体のうちの少なくとも一つを含む第二の気相反応物質と接触させることができる。 According to some non-limiting embodiments of the present disclosure, ALD processes can be used to deposit nucleation films directly onto dielectric material surfaces. In some embodiments of the present disclosure, each ALD cycle can include two separate deposition steps or stages. In a first stage of the deposition cycle, the substrate surface on which deposition is desired can be contacted with a first gas-phase reactant comprising at least one of a first silicon precursor or a molybdenum precursor that chemisorbs onto the substrate surface to form about a monolayer or less of reactant species on the substrate surface. In a second stage of the deposition, the substrate surface on which deposition is desired can be contacted with a second gas-phase reactant comprising at least one of a nitrogen precursor, a carbon precursor, an oxygen precursor, or a second silicon precursor.

基材を所望の堆積温度に加熱し、反応チャンバ内の圧力を調節すると、例示的な原子層堆積プロセス120は、プロセスブロック220によって周期的堆積フェーズ205を継続することができ、これは基材を第一の気相反応物質と接触させること、特に、いくつかの実施形態では、基材を第一のシリコン前駆体またはモリブデンハライド前駆体のうちの少なくとも一つを含む第一の気相反応物質と接触させることとを含む。 Upon heating the substrate to a desired deposition temperature and adjusting the pressure in the reaction chamber, the exemplary atomic layer deposition process 120 can continue with the cyclical deposition phase 205 by process block 220, which includes contacting the substrate with a first gas phase reactant, particularly, in some embodiments, contacting the substrate with a first gas phase reactant comprising at least one of a first silicon precursor or a molybdenum halide precursor.

いくつかの実施形態では、核形成膜はシリコン二成分化合物を含んでもよく、このような実施形態では第一の気相反応物質は第一のシリコン前駆体を含んでもよい。いくつかの実施形態では、第一のシリコン前駆体は、シランジアミンN,N,N’,N-テトラエチル(C22Si)、BTBAS(ビス(ターシャリーブチルアミノ)シラン)、BDEAS(ビス(ジエチルアミノ)シラン)、TDMAS(トリス(ジメチルアミノ)シラン)、ヘキサキス(エチルアミノ)ジシラン(Si(NHC)、四ヨウ化ケイ素(SiI)、四塩化ケイ素(SiCl)、ヘキサクロロジシラン(HCDS)、またはペンタクロロジシラン(PCDS)のうちの少なくとも一つを含んでもよい。いくつかの実施形態では、第一のシリコン前駆体は、シラン、例えばシラン(SiH)、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、または一般的な組成式Si(2x+2)の高次シランを含む。 In some embodiments, the nucleation film may include a silicon binary compound, and in such embodiments the first vapor phase reactant may include a first silicon precursor. In some embodiments, the first silicon precursor may include at least one of N,N,N',N-tetraethyl silanediamine ( C8H22N2Si ), BTBAS (bis( tertiarybutylamino )silane), BDEAS (bis(diethylamino)silane), TDMAS (tris(dimethylamino)silane), hexakis(ethylamino ) disilane ( Si2 ( NHC2H5 ) 6 ), silicon tetraiodide ( SiI4 ), silicon tetrachloride ( SiCl4 ), hexachlorodisilane (HCDS), or pentachlorodisilane (PCDS). In some embodiments, the first silicon precursor comprises a silane, such as silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetrasilane (Si 4 H 10 ), or higher silanes of the general formula Si x H (2x+2) .

いくつかの実施形態では、核形成膜はモリブデン二成分化合物を含んでもよく、このような実施形態では第一の気相反応物質はモリブデンハライド前駆体を含んでもよい。いくつかの実施形態では、モリブデンハライド前駆体は、塩化モリブデン前駆体、ヨウ化モリブデン前駆体、または臭化モリブデン前駆体を含んでもよい。例えば、非限定的な例として、第一の気相反応物質は、例えば、モリブデンペンタクロリド(MoCl)などの塩化モリブデンを含み得る。 In some embodiments, the nucleation film may include a molybdenum binary compound, and in such embodiments, the first gas phase reactant may include a molybdenum halide precursor. In some embodiments, the molybdenum halide precursor may include a molybdenum chloride precursor, a molybdenum iodide precursor, or a molybdenum bromide precursor. For example, as a non-limiting example, the first gas phase reactant may include a molybdenum chloride, such as, for example, molybdenum pentachloride (MoCl 5 ).

いくつかの実施形態では、モリブデンハライド前駆体は、モリブデンカルコゲナイドを含み得、特定の実施形態では、モリブデンハライド前駆体は、モリブデンカルコゲナイドハライドを含み得る。例えば、モリブデンカルコゲナイドハライド前駆体は、オキシ塩化モリブデン、オキシヨウ化モリブデン、またはオキシ臭化モリブデンを含む群から選択される、モリブデンオキシハライドを含み得る。本開示の特定の実施形態では、モリブデン前駆体は、限定するものではないが、モリブデン(VI)ジクロリドジオキシド(MoOCl)を含むオキシ塩化モリブデンを含み得る。 In some embodiments, the molybdenum halide precursor may include a molybdenum chalcogenide, and in certain embodiments, the molybdenum halide precursor may include a molybdenum chalcogenide halide. For example, the molybdenum chalcogenide halide precursor may include a molybdenum oxyhalide selected from the group including molybdenum oxychloride, molybdenum oxyiodide, or molybdenum oxybromide. In certain embodiments of the present disclosure, the molybdenum precursor may include a molybdenum oxychloride, including, but not limited to, molybdenum ( VI ) dichloride dioxide ( MoO2Cl2 ).

本開示のいくつかの実施形態では、基材を、少なくとも第一のシリコン前駆体またはモリブデン前駆体を含む第一の気相反応物質と接触させることは、約0.1秒~約60秒の間、約0.1秒~約10秒の間、または約0.5秒~約5.0秒の間、第一の気相反応物質を基材に接触させることを含むことができる。更に、基材と第一の気相反応物質との接触中、前駆体の流量は、1000sccm未満、または500sccm未満、または100sccm未満、または10sccm未満、または更には1sccm未満とすることができる。更に、基材を第一の気相反応物質と接触させる間、前駆体の流量は、約1~2000sccm、約5~1000sccm、または約10~約500sccmの範囲とすることができる。 In some embodiments of the present disclosure, contacting the substrate with a first gas phase reactant comprising at least a first silicon precursor or a molybdenum precursor can include contacting the substrate with the first gas phase reactant for about 0.1 seconds to about 60 seconds, about 0.1 seconds to about 10 seconds, or about 0.5 seconds to about 5.0 seconds. Further, during contacting the substrate with the first gas phase reactant, the flow rate of the precursor can be less than 1000 sccm, or less than 500 sccm, or less than 100 sccm, or less than 10 sccm, or even less than 1 sccm. Further, during contacting the substrate with the first gas phase reactant, the flow rate of the precursor can range from about 1 to 2000 sccm, about 5 to 1000 sccm, or about 10 to about 500 sccm.

図2のプロセスブロック120によって例示されるように、核形成膜を誘電体表面上に直接堆積させるための例示的な原子層堆積プロセスは、反応チャンバをパージすることによって、継続することができる。例えば、過剰な第一の気相反応物質および反応副生成物(あれば)を、例えば、不活性ガスでポンプ注入することによって、基材の表面から除去することができる。本開示のいくつかの実施形態では、パージプロセスは、パージサイクルを含んでもよく、基材表面は、約5.0秒未満、または約3.0秒未満、または更に約2.0秒未満の時間にわたってパージされる。例えば、過剰な第一の気相反応物質、例えば過剰な第一のシリコン前駆体またはモリブデン前駆体および任意の可能性のある反応副生成物を、反応チャンバと流体連通するポンプシステムによって生成される真空を用いて除去してもよい。 As illustrated by process block 120 in FIG. 2, an exemplary atomic layer deposition process for depositing a nucleation film directly on a dielectric surface can be continued by purging the reaction chamber. For example, excess first gas phase reactant and reaction by-products, if any, can be removed from the surface of the substrate, for example, by pumping in an inert gas. In some embodiments of the present disclosure, the purge process can include a purge cycle, in which the substrate surface is purged for a time period less than about 5.0 seconds, or less than about 3.0 seconds, or even less than about 2.0 seconds. For example, excess first gas phase reactant, e.g., excess first silicon precursor or molybdenum precursor, and any possible reaction by-products can be removed using a vacuum generated by a pump system in fluid communication with the reaction chamber.

パージサイクルで反応チャンバをパージすると、例示的な原子層堆積プロセスブロック120は、プロセスブロック230によって、周期的堆積フェーズ205の第二段階を続けることができ、これは基材を第二の気相反応物質と接触させること、特に、基材を窒素前駆体、炭素前駆体、酸素前駆体、または第二のシリコン前駆体のうちの少なくとも一つを含む第二の気相反応物質と接触させることとを含む。 Upon purging the reaction chamber with the purge cycle, the exemplary atomic layer deposition process block 120 can continue with a second stage of the cyclical deposition phase 205 by process block 230, which includes contacting the substrate with a second gas phase reactant, in particular, contacting the substrate with a second gas phase reactant comprising at least one of a nitrogen precursor, a carbon precursor, an oxygen precursor, or a second silicon precursor.

本開示のいくつかの実施形態では、核形成膜は、シリコン二成分化合物材料を含んでもよく、特定の実施形態では、窒化ケイ素(例えば、Si)を含む。このような実施形態では、第一の気相反応物質は第一のシリコン前駆体を含んでもよく、第二の気相反応物質は窒素前駆体を含んでもよい。 In some embodiments of the present disclosure, the nucleation film may comprise a silicon binary compound material, which in certain embodiments comprises silicon nitride (e.g., Si3N4 ). In such embodiments, the first gas-phase reactant may comprise a first silicon precursor and the second gas-phase reactant may comprise a nitrogen precursor.

本開示のいくつかの実施形態では、核形成膜は、シリコン二成分化合物材料を含んでもよく、特定の実施形態では、酸化シリコン(例えば、SiO)を含む。このような実施形態では、第一の気相反応物質は第一のシリコン前駆体を含んでもよく、第二の気相反応物質は酸素前駆体を含んでもよい。 In some embodiments of the present disclosure, the nucleation film may comprise a silicon binary compound material, which in certain embodiments comprises silicon oxide (e.g., SiO2 ). In such embodiments, the first gas-phase reactant may comprise a first silicon precursor and the second gas-phase reactant may comprise an oxygen precursor.

本開示のいくつかの実施形態では、核形成膜は、シリコン二成分化合物材料を含んでもよく、特定の実施形態では、炭化ケイ素(例えば、SiC)を含む。このような実施形態では、第一の気相反応物質は第一のシリコン前駆体を含んでもよく、第二の気相反応物質は炭素前駆体を含んでもよい。 In some embodiments of the present disclosure, the nucleation film may include a silicon binary compound material, which in certain embodiments includes silicon carbide (e.g., SiC). In such embodiments, the first gas phase reactant may include a first silicon precursor and the second gas phase reactant may include a carbon precursor.

本開示のいくつかの実施形態では、核形成膜は、モリブデン二成分化合物材料を含んでもよく、特定の実施形態では、窒化モリブデンを含む。このような実施形態では、第一の気相反応物質はモリブデン前駆体を含んでもよく、第二の気相反応物質は窒素前駆体を含んでもよい。 In some embodiments of the present disclosure, the nucleation film may include a molybdenum binary compound material, and in certain embodiments, molybdenum nitride. In such embodiments, the first gas phase reactant may include a molybdenum precursor and the second gas phase reactant may include a nitrogen precursor.

本開示のいくつかの実施形態では、核形成膜は、モリブデン二成分化合物材料を含んでもよく、特定の実施形態では、酸化モリブデンを含む。このような実施形態では、第一の気相反応物質はモリブデン前駆体を含んでもよく、第二の気相反応物質は酸素前駆体を含んでもよい。 In some embodiments of the present disclosure, the nucleation film may include a molybdenum binary compound material, and in certain embodiments, a molybdenum oxide. In such embodiments, the first gas phase reactant may include a molybdenum precursor and the second gas phase reactant may include an oxygen precursor.

本開示のいくつかの実施形態では、核形成膜は、モリブデン二成分化合物材料を含んでもよく、特定の実施形態では、ケイ化モリブデンを含む。このような実施形態では、第一の気相反応物質はモリブデン前駆体を含んでもよく、第二の気相反応物質は第二のシリコン前駆体を含んでもよい。 In some embodiments of the present disclosure, the nucleation film may include a molybdenum binary compound material, which in certain embodiments includes molybdenum silicide. In such embodiments, the first gas phase reactant may include a molybdenum precursor and the second gas phase reactant may include a second silicon precursor.

本開示のいくつかの実施形態では、核形成膜は、モリブデン二成分化合物材料を含んでもよく、特定の実施形態では、炭化モリブデンを含む。このような実施形態では、第一の気相反応物質はモリブデン前駆体を含んでもよく、第二の気相反応物質は炭素前駆体を含んでもよい。 In some embodiments of the present disclosure, the nucleation film may include a molybdenum binary compound material, and in certain embodiments, a molybdenum carbide material. In such embodiments, the first gas phase reactant may include a molybdenum precursor and the second gas phase reactant may include a carbon precursor.

核形成膜が、窒化物、例えば窒化ケイ素または窒化モリブデンを含む実施形態では、第二の気相反応物質は窒素前駆体を含んでもよい。本開示のこのような実施形態では、窒素前駆体は、アンモニア(NH)、ヒドラジン(N)、トリアザン(N)、tert-ブチルヒドラジン(C)、メチルヒドラジン(CHNHNH)、ジメチルヒドラジン((CH)、または窒素プラズマのうちの少なくとも一つを含むことでき、窒素プラズマは原子状窒素、窒素ラジカル、および励起窒素種を含む。 In embodiments in which the nucleation film comprises a nitride, such as silicon nitride or molybdenum nitride, the second gas phase reactant may comprise a nitrogen precursor. In such embodiments of the present disclosure, the nitrogen precursor may comprise at least one of ammonia ( NH3 ), hydrazine ( N2H4 ), triazane ( N3H5 ), tert - butylhydrazine ( C4H9N2H3 ), methylhydrazine ( CH3NHNH2 ), dimethylhydrazine (( CH3 ) 2N2H2 ), or nitrogen plasma , which comprises atomic nitrogen, nitrogen radicals, and excited nitrogen species.

核形成膜が、酸化物、例えば酸化シリコンまたは酸化モリブデンを含む実施形態では、第二の気相反応物質は酸素前駆体を含むことができる。本開示のこのような実施形態では、酸素前駆体は、水(HO)、過酸化水素(H)、オゾン(O)、または窒素酸化物、例えば一酸化窒素(NO)、亜酸化窒素(NO)、または二酸化窒素(NO)のうちの少なくとも一つを含む。本開示のいくつかの実施形態では、酸素前駆体は、有機アルコール、例えばイソプロピルアルコールを含むことができる。いくつかの実施形態では、酸素前駆体は酸素プラズマを含むことができ、酸素プラズマは原子状酸素、酸素ラジカル、および励起酸素種を含む。 In embodiments where the nucleation film comprises an oxide, such as silicon oxide or molybdenum oxide, the second gas phase reactant can comprise an oxygen precursor. In such embodiments of the present disclosure, the oxygen precursor comprises at least one of water ( H2O ), hydrogen peroxide ( H2O2 ), ozone ( O3 ), or nitrogen oxides, such as nitric oxide (NO), nitrous oxide ( N2O ), or nitrogen dioxide ( NO2 ). In some embodiments of the present disclosure, the oxygen precursor can comprise an organic alcohol, such as isopropyl alcohol. In some embodiments, the oxygen precursor can comprise an oxygen plasma, which comprises atomic oxygen, oxygen radicals, and excited oxygen species.

核生成膜が、炭化物、例えば炭化ケイ素または炭化モリブデンを含む実施形態では、第二の気相反応物質は炭素前駆体を含んでもよい。本開示のこのような実施形態では、炭素前駆体は、炭化水素、例えば直鎖状または分枝状アルカンを含むことができる。 In embodiments in which the nucleation film comprises a carbide, such as silicon carbide or molybdenum carbide, the second gas phase reactant may comprise a carbon precursor. In such embodiments of the present disclosure, the carbon precursor may comprise a hydrocarbon, such as a linear or branched alkane.

核形成膜が、ケイ化物、例えばケイ化モリブデンを含む実施形態では、第二の気相反応物質は第二のシリコン前駆体を含むことができる。本開示のいくつかの実施形態では、第二のシリコン前駆体は、シランジアミンN,N,N’,N-テトラエチル(C22Si)、BTBAS(ビス(ターシャリーブチルアミノ)シラン)、BDEAS(ビス(ジエチルアミノ)シラン)、TDMAS(トリス(ジメチルアミノ)シラン)、ヘキサキス(エチルアミノ)ジシラン(Si(NHC)、四ヨウ化ケイ素(SiI)、四塩化ケイ素(SiCl)、ヘキサクロロジシラン(HCDS)、またはペンタクロロジシラン(PCDS)のうちの少なくとも一つを含む。いくつかの実施形態では、第二のシリコン前駆体は、シラン、例えばシラン(SiH)、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、または一般的な組成式Si(2x+2)の高次シランを含む。 In embodiments in which the nucleation film comprises a silicide, such as molybdenum silicide, the second vapor-phase reactant can comprise a second silicon precursor. In some embodiments of the present disclosure, the second silicon precursor comprises at least one of N,N,N',N - tetraethyl silanediamine ( C8H22N2Si ), BTBAS (bis( tertiarybutylamino )silane), BDEAS (bis(diethylamino)silane), TDMAS (tris(dimethylamino)silane), hexakis (ethylamino)disilane (Si2(NHC2H5)6 ) , silicon tetraiodide ( SiI4 ), silicon tetrachloride ( SiCl4 ), hexachlorodisilane (HCDS), or pentachlorodisilane (PCDS). In some embodiments, the second silicon precursor comprises a silane , such as silane ( SiH4 ), disilane ( Si2H6 ), trisilane ( Si3H8 ), tetrasilane ( Si4H10 ), or higher silanes of the general formula SixH (2x+2) .

本開示のいくつかの実施形態では、基材を第二の気相反応物質と接触させることは、約0.01秒~約120秒の間、約0.05秒~約60秒の間、または約0.1秒~約10秒の間、基材を前駆体と接触させることを含むことができる。更に、基材の第二の気相反応物質との接触の間、第二の気相反応物質の流量は、10000sccm未満、または5000Xsccm未満、または更に1000X未満とすることができる。 In some embodiments of the present disclosure, contacting the substrate with the second gas phase reactant can include contacting the substrate with the precursor for between about 0.01 seconds and about 120 seconds, between about 0.05 seconds and about 60 seconds, or between about 0.1 seconds and about 10 seconds. Additionally, during contacting of the substrate with the second gas phase reactant, the flow rate of the second gas phase reactant can be less than 10,000 sccm, or less than 5,000X sccm, or even less than 1,000X.

基材を、窒素前駆体、炭素前駆体、酸素前駆体、または第二のシリコン前駆体のうちの少なくとも一つを含む第二の気相反応物質と接触させると、核形成膜を誘電体表面上に直接堆積させる例示的プロセスブロック120は、反応チャンバをパージすることによって進むことができる。例えば、不活性ガスを流している間、過剰な第二の気相反応物質および(ある場合には)反応副生成物を基材の表面から例えばポンプで除去することができる。本開示のいくつかの実施形態では、パージプロセスは、基材表面を約0.1秒~約10秒間、または約0.5秒~約3秒間、または更には約1秒~2秒間、パージすることを含み得る。 Upon contacting the substrate with a second gas phase reactant comprising at least one of a nitrogen precursor, a carbon precursor, an oxygen precursor, or a second silicon precursor, the exemplary process block 120 of depositing a nucleation film directly onto a dielectric surface can proceed by purging the reaction chamber. For example, excess second gas phase reactant and (if any) reaction by-products can be removed from the substrate surface, e.g., by pumping, while flowing an inert gas. In some embodiments of the present disclosure, the purging process can include purging the substrate surface for about 0.1 seconds to about 10 seconds, or about 0.5 seconds to about 3 seconds, or even about 1 second to 2 seconds.

反応チャンバから第二の気相反応物質およびあらゆる反応副生成物のパージが完了すると、プロセスブロック120の例示的な原子層堆積の周期的堆積フェーズ205は決定ゲート240に続くことができ、決定ゲート240は堆積させた核形成膜の厚さに依存する。例えば、核形成膜が所望のデバイス用途に対して不十分な厚さで堆積された場合には、周期的堆積フェーズ205を、プロセスブロック220に戻り更なる堆積サイクルを継続することによって繰り返すことができ、一単位の堆積サイクルは、基材を少なくとも第一のシリコン前駆体またはモリブデンハライド前駆体と接触させること(プロセスブロック220)と、反応チャンバをパージすることと、基材を窒素前駆体、炭素前駆体、酸素前駆体、または第二のシリコン前駆体のうちの少なくとも一つと接触させること(プロセスブロック230)と、再び反応チャンバをパージすることと、を含むことができる。周期的堆積フェーズ205の一単位の堆積サイクルは、核形成膜の所望の厚さが基材上および特に誘電体表面上に直接堆積されるまで、一回または複数回繰り返されてもよい。核形成膜が所望の厚さに堆積されると、例示的な原子層堆積プロセス120は、プロセスブロック250により終了し、核形成膜を上に堆積させた誘電体表面を備える基材は、図1の例示的プロセス100の更なるプロセスを受けることができる。 Upon completion of purging the reaction chamber of the second gas phase reactant and any reaction by-products, the cyclical deposition phase 205 of the exemplary atomic layer deposition of process block 120 can continue with a decision gate 240, which depends on the thickness of the deposited nucleation film. For example, if the nucleation film is deposited with an insufficient thickness for a desired device application, the cyclical deposition phase 205 can be repeated by returning to process block 220 to continue with an additional deposition cycle, where a unit of deposition cycle can include contacting the substrate with at least a first silicon precursor or a molybdenum halide precursor (process block 220), purging the reaction chamber, contacting the substrate with at least one of a nitrogen precursor, a carbon precursor, an oxygen precursor, or a second silicon precursor (process block 230), and purging the reaction chamber again. A unit of deposition cycle of the cyclical deposition phase 205 may be repeated one or more times until a desired thickness of the nucleation film is deposited directly on the substrate and particularly on the dielectric surface. Once the nucleation film has been deposited to a desired thickness, the exemplary atomic layer deposition process 120 is terminated by process block 250, and the substrate with the dielectric surface having the nucleation film deposited thereon can be subjected to further processing of the exemplary process 100 of FIG.

本開示のいくつかの実施形態では、基材を第一の気相反応物質(例えば、第一のシリコン前駆体またはモリブデン前駆体)および第二の気相反応物質(例えば、窒素前駆体、炭素前駆体、酸素前駆体、または第二のシリコン前駆体)と接触させる順序は、基材を最初に第二の気相反応物質と接触させるのに続いて、第一の気相反応物質と接触させるようにすることができることが理解されよう。更に、いくつかの実施形態では、例示的なプロセスブロック120の周期的堆積フェーズ205は、基材を第二の気相反応物質と一回または複数回接触させる前に、基材を第一の気相反応物質と一回または複数回接触させることを含んでもよい。更に、いくつかの実施形態では、例示的なプロセスブロック120の周期的堆積フェーズ205は、基材を第一の気相反応物質と1または複数回接触させる前に、基材を第二の気相反応物質と1または複数回接触させることを含んでもよい。 It will be appreciated that in some embodiments of the present disclosure, the order of contacting the substrate with the first gas phase reactant (e.g., a first silicon precursor or a molybdenum precursor) and the second gas phase reactant (e.g., a nitrogen precursor, a carbon precursor, an oxygen precursor, or a second silicon precursor) can be such that the substrate is first contacted with the second gas phase reactant followed by contact with the first gas phase reactant. Further, in some embodiments, the cyclical deposition phase 205 of the exemplary process block 120 may include contacting the substrate with the first gas phase reactant one or more times before contacting the substrate with the second gas phase reactant one or more times. Further, in some embodiments, the cyclical deposition phase 205 of the exemplary process block 120 may include contacting the substrate with the second gas phase reactant one or more times before contacting the substrate with the first gas phase reactant one or more times.

いくつかの実施形態では、周期的堆積プロセスは、ハイブリッドALD/CVDまたは周期的CVDプロセスであることができる。例えば、いくつかの実施形態では、ALDプロセスの成長速度は、CVDプロセスと比較して低い場合がある。成長速度を増加させる一つのアプローチは、ALDプロセスにおいて典型的に使用される温度よりも高い基材温度で動作するアプローチであり、結果として化学蒸着プロセスの部分になるが、更に前駆体の逐次導入を利用し、このようなプロセスは周期的CVDと呼ばれ得る。いくつかの実施形態では、周期的CVDプロセスは、反応チャンバ内への二つ以上の前駆体の導入を含み得、反応チャンバ内の二つ以上の前駆体の間の重複の期間は、堆積のALD成分と堆積のCVD成分の両方をもたらす。例えば、周期的CVDプロセスは、一つの前駆体の連続的な流れ、および第二の前駆体の反応チャンバへの定期的なパルスを含み得る。 In some embodiments, the cyclic deposition process can be a hybrid ALD/CVD or cyclic CVD process. For example, in some embodiments, the growth rate of an ALD process may be low compared to a CVD process. One approach to increasing the growth rate is to operate at a higher substrate temperature than typically used in an ALD process, resulting in part of a chemical vapor deposition process, but also utilizing sequential introduction of precursors, such a process may be referred to as cyclic CVD. In some embodiments, the cyclic CVD process may include the introduction of two or more precursors into the reaction chamber, with periods of overlap between the two or more precursors in the reaction chamber resulting in both the ALD component of the deposition and the CVD component of the deposition. For example, a cyclic CVD process may include a continuous flow of one precursor and periodic pulses of a second precursor into the reaction chamber.

本開示のいくつかの実施形態では、核形成膜は、約0.05Å/サイクル~約5Å/サイクル、約0.1Å/サイクル~約5Å/サイクル、または更には約0.5Å/サイクル~約1.5Å/サイクルÅの成長速度で誘電体表面上に直接堆積することができる。 In some embodiments of the present disclosure, the nucleation film can be deposited directly onto the dielectric surface at a growth rate of about 0.05 Å/cycle to about 5 Å/cycle, about 0.1 Å/cycle to about 5 Å/cycle, or even about 0.5 Å/cycle to about 1.5 Å/cycle.

本開示のいくつかの実施形態では、核形成膜を連続膜として堆積する。例えば、図4Bに例示のように、垂直ギャップ形体404を備える誘電体基材402上に直接配置された連続核形成膜406を備える半導体デバイス構造405を参照のこと。あるいは、例えば、図5Bに例示のように、水平ギャップ形体を備える誘電体基材502上に直接配置された連続核形成膜506を備える半導体デバイス構造505を参照のこと。いくつかの実施形態では、連続核形成膜406/506を、20Å未満、または10Å未満、または5Å未満、または更に3Å未満の厚さに堆積させてもよい。 In some embodiments of the present disclosure, the nucleation film is deposited as a continuous film. See, for example, semiconductor device structure 405 with a continuous nucleation film 406 disposed directly on a dielectric substrate 402 with vertical gap features 404, as illustrated in FIG. 4B. Alternatively, see, for example, semiconductor device structure 505 with a continuous nucleation film 506 disposed directly on a dielectric substrate 502 with horizontal gap features, as illustrated in FIG. 5B. In some embodiments, the continuous nucleation film 406/506 may be deposited to a thickness of less than 20 Å, or less than 10 Å, or less than 5 Å, or even less than 3 Å.

本開示のいくつかの実施形態では、核形成膜は不連続膜として堆積する。例えば、図4Bに例示のように、垂直ギャップ形体404を備える誘電体基材402上に直接配置された不連続核形成膜406’の例を含む半導体デバイス構造405の挿入図408を参照のこと。あるいは、例えば、図5に例示のように、水平ギャップ形体を備える誘電体基材502上に直接配置された不連続核形成膜506’の例を含む半導体デバイス構造505の挿入図508を参照のこと。いくつかの実施形態では、不連続核形成膜406’/506’を、20Å未満、または10Å未満、または5Å未満、または更に3Å未満の厚さに堆積させてもよい。 In some embodiments of the present disclosure, the nucleation film is deposited as a discontinuous film. See, for example, inset 408 of semiconductor device structure 405 including an example of a discontinuous nucleation film 406' disposed directly on a dielectric substrate 402 with vertical gap features 404, as illustrated in FIG. 4B. Alternatively, see, for example, inset 508 of semiconductor device structure 505 including an example of a discontinuous nucleation film 506' disposed directly on a dielectric substrate 502 with horizontal gap features, as illustrated in FIG. 5. In some embodiments, the discontinuous nucleation film 406'/506' may be deposited to a thickness of less than 20 Å, or less than 10 Å, or less than 5 Å, or even less than 3 Å.

いくつかの実施形態では、一つまたは複数の誘電体ギャップ形体上の核形成膜のステップカバレッジは、約50%以上、約80%以上、約90%以上、約95%以上、約98%以上、または約99%以上とすることができる。 In some embodiments, the step coverage of the nucleation film over one or more dielectric gap features can be about 50% or more, about 80% or more, about 90% or more, about 95% or more, about 98% or more, or about 99% or more.

また、本開示の核形成膜は、下層の誘電体材料への金属種の拡散を防ぐために半導体デバイス用途で一般的に使用されるようなバリア層またはバリア材料を構成しない、およびバリア層は金属コンタクトと誘電体材料との間に配置されることも留意されたい。本開示の核形成膜は、その後堆積させたモリブデン金属膜の材料品質を改善するために利用され、一般の半導体デバイス製造プロセスで利用される厚膜、高抵抗率バリア層またはバリア材料を構成しない。 It should also be noted that the nucleation films of the present disclosure do not constitute barrier layers or barrier materials as are typically used in semiconductor device applications to prevent diffusion of metal species into the underlying dielectric material, and that the barrier layers are disposed between the metal contacts and the dielectric material. The nucleation films of the present disclosure are utilized to improve the material quality of the subsequently deposited molybdenum metal film, and do not constitute thick film, high resistivity barrier layers or barrier materials utilized in typical semiconductor device manufacturing processes.

誘電体表面上に直接核形成膜を堆積させると、(図1の)例示的プロセス100は、モリブデン金属膜を核形成膜上に直接堆積させること、およびいくつかの特定の実施形態ではモリブデン金属膜を核形成膜上に周期的堆積プロセスによって直接堆積させることを含むプロセスブロック130によって継続することができる。プロセスブロック130および関連する構成サブプロセスブロックを、モリブデン金属膜を堆積するための例示的な周期的堆積プロセスを例示した図3を参照して更に詳細に説明する。 Having deposited a nucleation film directly on the dielectric surface, the exemplary process 100 (of FIG. 1) can continue with process block 130, which includes depositing a molybdenum metal film directly on the nucleation film, and in some particular embodiments, depositing a molybdenum metal film directly on the nucleation film by a cyclic deposition process. Process block 130 and associated constituent sub-process blocks are described in further detail with reference to FIG. 3, which illustrates an exemplary cyclic deposition process for depositing a molybdenum metal film.

更に詳細には、例示的な周期的堆積プロセスは、原子層堆積プロセスまたは周期的化学気相堆積プロセスを含んでもよい。非限定的な例として、プロセスブロック130は、原子層堆積プロセスを含んでもよく、および基材を望ましい堆積温度に加熱することを含むサブプロセスブロック310によって開始してもよい。例えば、基材を、約800℃未満、または約700℃未満、または約600℃未満、または約550℃未満、または約500℃未満、または約400℃未満、または約300℃未満、または更に約200℃未満の基材温度に加熱することができる。本開示のいくつかの実施形態では、例示的な原子層堆積プロセスブロック130の間の基材温度は、200℃~800℃の間、または300℃~700℃の間、または400℃~600℃の間、または500℃~550℃の間とすることができる。 More specifically, the exemplary cyclic deposition process may include an atomic layer deposition process or a cyclic chemical vapor deposition process. As a non-limiting example, the process block 130 may include an atomic layer deposition process and may begin with a sub-process block 310 that includes heating the substrate to a desired deposition temperature. For example, the substrate may be heated to a substrate temperature of less than about 800°C, or less than about 700°C, or less than about 600°C, or less than about 550°C, or less than about 500°C, or less than about 400°C, or less than about 300°C, or even less than about 200°C. In some embodiments of the present disclosure, the substrate temperature during the exemplary atomic layer deposition process block 130 may be between 200°C and 800°C, or between 300°C and 700°C, or between 400°C and 600°C, or between 500°C and 550°C.

更に、望ましい堆積温度、即ち、所望の基材温度を達成するために、例示的な原子層堆積プロセス130はまた、反応チャンバ内の圧力を堆積中に調節し堆積させたモリブデン金属膜の望ましい特徴を得ることができる。例えば、本開示のいくつかの実施形態では、例示的な原子層堆積プロセス130を、300Torr未満、または200Torr未満、または100Torr未満、または50Torr未満、または25Torr未満、または更には10Torr未満の反応チャンバ圧力に調節される反応チャンバ内で行なうことができる。いくつかの実施形態では、堆積中の反応チャンバ内の圧力は、10Torr~300Torr、または30Torr~80Torr、または更には30Torr以上の圧力に調節され得る。 Furthermore, to achieve the desired deposition temperature, i.e., the desired substrate temperature, the exemplary atomic layer deposition process 130 can also adjust the pressure in the reaction chamber during deposition to obtain the desired characteristics of the deposited molybdenum metal film. For example, in some embodiments of the present disclosure, the exemplary atomic layer deposition process 130 can be performed in a reaction chamber that is adjusted to a reaction chamber pressure of less than 300 Torr, or less than 200 Torr, or less than 100 Torr, or less than 50 Torr, or less than 25 Torr, or even less than 10 Torr. In some embodiments, the pressure in the reaction chamber during deposition can be adjusted to a pressure between 10 Torr and 300 Torr, or between 30 Torr and 80 Torr, or even 30 Torr or more.

基材を所望の堆積温度に加熱し、反応チャンバ内の圧力を調節すると、例示的な原子層堆積プロセス130は、プロセスブロック320によって周期的堆積フェーズ305を継続することができ、これは基材を第一の気相反応物質と接触させること、特に、いくつかの実施形態において、基材をモリブデンハライド前駆体、即ちモリブデン前駆体を含む第一の気相反応物質と接触させることを含む。 Upon heating the substrate to the desired deposition temperature and adjusting the pressure within the reaction chamber, the exemplary atomic layer deposition process 130 can continue with the cyclical deposition phase 305 by process block 320, which includes contacting the substrate with a first gas phase reactant, and in particular, in some embodiments, contacting the substrate with a first gas phase reactant that includes a molybdenum halide precursor, i.e., a molybdenum precursor.

本開示のいくつかの実施形態では、モリブデンハライド前駆体は、塩化モリブデン前駆体、ヨウ化モリブデン前駆体、または臭化モリブデン前駆体を含み得る。例えば、非限定的な例として、第一の気相反応物質は、例えば、モリブデンペンタクロリド(MoCl)などの塩化モリブデンを含み得る。 In some embodiments of the present disclosure, the molybdenum halide precursor may include a molybdenum chloride precursor, a molybdenum iodide precursor, or a molybdenum bromide precursor. For example, as a non-limiting example, the first gas phase reactant may include a molybdenum chloride, such as, for example, molybdenum pentachloride (MoCl 5 ).

いくつかの実施形態では、モリブデンハライド前駆体は、モリブデンカルコゲナイドを含み得、特定の実施形態では、モリブデンハライド前駆体は、モリブデンカルコゲナイドハライドを含み得る。例えば、モリブデンカルコゲナイドハライド前駆体は、オキシ塩化モリブデン、オキシヨウ化モリブデン、またはオキシ臭化モリブデンを含む群から選択される、モリブデンオキシハライドを含み得る。本開示の特定の実施形態では、モリブデン前駆体は、限定するものではないが、モリブデン(VI)ジクロリドジオキシド(MoOCl)を含むオキシ塩化モリブデンを含み得る。 In some embodiments, the molybdenum halide precursor may include a molybdenum chalcogenide, and in certain embodiments, the molybdenum halide precursor may include a molybdenum chalcogenide halide. For example, the molybdenum chalcogenide halide precursor may include a molybdenum oxyhalide selected from the group including molybdenum oxychloride, molybdenum oxyiodide, or molybdenum oxybromide. In certain embodiments of the present disclosure, the molybdenum precursor may include a molybdenum oxychloride, including, but not limited to, molybdenum ( VI ) dichloride dioxide ( MoO2Cl2 ).

本開示のいくつかの実施形態では、基材をモリブデンハライド前駆体を含む第一の気相反応物質と接触させることは、モリブデンハライド前駆体を基材に、約0.1秒~約60秒間、約0.1秒~約10秒間、または約0.5秒~約5.0秒間、接触させることを含み得る。更に、基材をモリブデンハライド前駆体と接触させる間、モリブデンハライド前駆体の流量は、1000sccm未満、または500sccm未満、または100sccm未満、または10sccm未満、または更に1sccm未満であり得る。更に、基材をモリブデンハライド前駆体と接触させる間、モリブデン前駆体の流量は、約1~2000sccm、約5~1000sccm、または約10~約500sccmの範囲であり得る。 In some embodiments of the present disclosure, contacting the substrate with a first gas phase reactant comprising a molybdenum halide precursor may include contacting the molybdenum halide precursor with the substrate for about 0.1 seconds to about 60 seconds, about 0.1 seconds to about 10 seconds, or about 0.5 seconds to about 5.0 seconds. Further, during contacting the substrate with the molybdenum halide precursor, the flow rate of the molybdenum halide precursor may be less than 1000 sccm, or less than 500 sccm, or less than 100 sccm, or less than 10 sccm, or even less than 1 sccm. Further, during contacting the substrate with the molybdenum halide precursor, the flow rate of the molybdenum precursor may range from about 1 to 2000 sccm, about 5 to 1000 sccm, or about 10 to about 500 sccm.

図3のプロセスブロック130によって例示される核形成膜上に直接モリブデン金属膜を堆積するための例示的な原子層堆積プロセス130は、反応チャンバをパージすることによって継続することができる。例えば、過剰な第一の気相反応物質および反応副生成物(あれば)を、例えば、不活性ガスでポンプ注入することによって、基材の表面から除去することができる。本開示のいくつかの実施形態では、パージプロセスは、パージサイクルを含んでもよく、基材表面は、約5.0秒未満、または約3.0秒未満、または更に約2.0秒未満の時間にわたってパージされる。例えば、過剰なモリブデン前駆体および可能性のある反応副生成物などの過剰な第一の気相反応物質を、反応チャンバと流体連通するポンプシステムによって生成される真空を用いて除去してもよい。 The exemplary atomic layer deposition process 130 for depositing a molybdenum metal film directly on a nucleation film, exemplified by process block 130 in FIG. 3, can continue by purging the reaction chamber. For example, excess first gas phase reactant and reaction by-products, if any, can be removed from the surface of the substrate, for example, by pumping in an inert gas. In some embodiments of the present disclosure, the purge process can include a purge cycle, in which the substrate surface is purged for a time period less than about 5.0 seconds, or less than about 3.0 seconds, or even less than about 2.0 seconds. For example, excess first gas phase reactant, such as excess molybdenum precursor and possible reaction by-products, can be removed using a vacuum generated by a pumping system in fluid communication with the reaction chamber.

反応チャンバをパージサイクルでパージすると、例示的な原子層堆積プロセスブロック130は、基材を第二の気相反応物質と接触させること、特に基材を還元剤前駆体(「還元前駆体」)を含む第二の気相反応物質と接触させることを含むプロセスブロック330によって、周期的堆積フェーズ305の第二段階を継続することができる。 Once the reaction chamber has been purged with a purge cycle, the exemplary atomic layer deposition process block 130 can continue with a second stage of the cyclical deposition phase 305 by process block 330, which includes contacting the substrate with a second gas phase reactant, in particular contacting the substrate with a second gas phase reactant that includes a reducing agent precursor ("reducing precursor").

本開示のいくつかの実施形態では、還元剤前駆体は、フォーミングガス(H+N)、アンモニア(NH)、ヒドラジン(N)、アルキル-ヒドラジン(例えば、三級ブチルヒドラジン(C12)、水素分子(H)、水素原子(H)、水素プラズマ、水素ラジカル、水素励起種、アルコール、アルデヒド、カルボン酸、ボラン、またはアミンのうちの少なくとも一つを含むことができる。更なる実施形態では、還元剤前駆体は、少なくとも一つのシラン(SiH)、ジシラン(Si)、トリシラン(Si)、ゲルマン(GeH)ジゲルマン(Ge)、ボラン(BH)、またはジボラン(B)を含み得る。本開示の特定の実施形態では、還元剤前駆体は水素分子(H)を含み得る。 In some embodiments of the present disclosure, the reducing agent precursor may include at least one of forming gas ( H2 + N2 ), ammonia ( NH3 ), hydrazine ( N2H4 ), alkyl-hydrazine (e.g., tertiary butyl hydrazine ( C4H12N2 ), molecular hydrogen ( H2 ), atomic hydrogen ( H ), hydrogen plasma, hydrogen radicals, hydrogen excited species, alcohols, aldehydes, carboxylic acids , boranes, or amines. In further embodiments, the reducing agent precursor may include at least one of silane ( SiH4 ), disilane ( Si2H6 ), trisilane ( Si3H8 ) , germane ( GeH4 ), digermane ( Ge2H6 ), borane ( BH3 ), or diborane ( B2H6 ). In certain embodiments of the present disclosure, the reducing agent precursor may include molecular hydrogen ( H2 ).

本開示のいくつかの実施形態では、基材を還元剤前駆体と接触させることは、基材を還元材前駆体と、約0.01秒~約180秒間、約0.05秒~約60秒間、または約0.1秒~約10.0秒間、接触させることを含み得る。更に、基材を還元剤前駆体と接触させる間、還元剤前駆体の流量は、30slm未満、または15slm未満、または10slm未満、または5slm未満、または1slm未満、または更に0.1slm未満であってもよい。更に、基材を還元剤前駆体と接触させる間、還元剤前駆体の流量は、約0.1~30slm、約5~15slm、または10slm以上の範囲であり得る。 In some embodiments of the present disclosure, contacting the substrate with the reducing agent precursor may include contacting the substrate with the reducing agent precursor for about 0.01 seconds to about 180 seconds, about 0.05 seconds to about 60 seconds, or about 0.1 seconds to about 10.0 seconds. Further, during contacting the substrate with the reducing agent precursor, the flow rate of the reducing agent precursor may be less than 30 slm, or less than 15 slm, or less than 10 slm, or less than 5 slm, or less than 1 slm, or even less than 0.1 slm. Further, during contacting the substrate with the reducing agent precursor, the flow rate of the reducing agent precursor may range from about 0.1 to 30 slm, about 5 to 15 slm, or 10 slm or more.

基材を還元剤前駆体と接触させると、核形成膜上に直接モリブデン金属膜を堆積するための例示的プロセスブロック130を、反応チャンバをパージすることによって進めることができる。例えば、過剰な還元剤前駆体および反応副生成物を、例えば、不活性ガスを流しながらポンプ注入することによって、基材の表面から除去することができる。本開示のいくつかの実施形態では、パージプロセスは、基材表面を約0.1秒~約10秒間、または約0.5秒~約3秒間、または更には約1秒~2秒間、パージすることを含み得る。 Once the substrate is contacted with the reducing agent precursor, exemplary process block 130 for depositing a molybdenum metal film directly on the nucleation film can proceed by purging the reaction chamber. For example, excess reducing agent precursor and reaction by-products can be removed from the substrate surface, for example, by pumping in a flow of inert gas. In some embodiments of the present disclosure, the purging process can include purging the substrate surface for about 0.1 seconds to about 10 seconds, or about 0.5 seconds to about 3 seconds, or even about 1 second to 2 seconds.

反応チャンバから第二の気相反応物質、即ち還元剤前駆体(および任意の反応副生成物)のパージが完了すると、例示的な原子層堆積プロセスブロック130の周期的堆積フェーズ305は決定ゲート340に続き、決定ゲート340は堆積させたモリブデン金属膜の厚さに依存する。例えば、モリブデン金属膜が所望のデバイス用途に対して不十分な厚さで堆積された場合、プロセスブロック320に戻ること、および更なる堆積サイクルを継続することによって周期的堆積フェーズ305を繰り返してもよく、一単位の堆積サイクルは、基材をモリブデンハライド前駆体と接触させること(プロセスブロック320)、反応チャンバをパージすること、基材を還元剤前駆体と接触させること(プロセスブロック330)、および再び反応チャンバをパージすること、を含むことができる。周期的堆積フェーズ305の単位堆積サイクルを、所望の厚さのモリブデン金属膜が基材上に、および特に核形成膜上に直接堆積するまで一回または複数回繰り返してもよい。モリブデン金属膜を所望の厚さに堆積させると、例示的な原子層堆積プロセスブロック130は、プロセスブロック350によって終了することができ、モリブデン金属膜を上に堆積させた誘電体表面を含む基材を、デバイス構造の形成のために更に処理することができる。例えば、図1の例示的プロセス100は、プロセスが終了するプロセスブロック140に進んでもよく、そしてモリブデン金属膜が上に配置された基材に対して更に半導体プロセスを行い、半導体デバイス構造を完成させることができる。 Upon completion of purging of the second gas phase reactant, i.e., the reducing agent precursor (and any reaction by-products) from the reaction chamber, the cyclical deposition phase 305 of the exemplary atomic layer deposition process block 130 continues to a decision gate 340, which depends on the thickness of the deposited molybdenum metal film. For example, if the molybdenum metal film is deposited with an insufficient thickness for a desired device application, the cyclical deposition phase 305 may be repeated by returning to process block 320 and continuing with additional deposition cycles, where one unit of deposition cycle may include contacting the substrate with a molybdenum halide precursor (process block 320), purging the reaction chamber, contacting the substrate with a reducing agent precursor (process block 330), and purging the reaction chamber again. The unit of deposition cycle of the cyclical deposition phase 305 may be repeated one or more times until a molybdenum metal film of a desired thickness is deposited on the substrate, and in particular directly on the nucleation film. Once the molybdenum metal film has been deposited to a desired thickness, the exemplary atomic layer deposition process block 130 may end with process block 350, and the substrate including the dielectric surface with the molybdenum metal film deposited thereon may be further processed to form a device structure. For example, the exemplary process 100 of FIG. 1 may proceed to process block 140, where the process ends, and the substrate with the molybdenum metal film disposed thereon may undergo further semiconductor processing to complete a semiconductor device structure.

当然のことながら、本開示のいくつかの実施形態では、基材を第一の気相反応物質(例えば、モリブデン前駆体)および第二の気相反応物質(例えば、還元前駆体)と接触させる順序は、基材を最初に第二の気相反応物質と接触させるのに続いて、第一の気相反応物質と接触させるようにすることができる。更に、いくつかの実施形態では、例示的なプロセスブロック130の周期的堆積フェーズ305は、基材を第二の気相反応物質と一回または複数回接触させる前に、基材を第一の気相反応物質と一回または複数回接触させることを含んでもよい。更に、いくつかの実施形態では、例示的なプロセスブロック130の周期的堆積フェーズ305は、基材を第一の気相反応物質と一回以上接触させる前に、基材を第二の気相反応物質と一回以上接触させることを含んでもよい。 It should be appreciated that in some embodiments of the present disclosure, the order in which the substrate is contacted with the first gas phase reactant (e.g., a molybdenum precursor) and the second gas phase reactant (e.g., a reduced precursor) can be such that the substrate is first contacted with the second gas phase reactant followed by contact with the first gas phase reactant. Furthermore, in some embodiments, the cyclical deposition phase 305 of the exemplary process block 130 may include contacting the substrate with the first gas phase reactant one or more times before contacting the substrate with the second gas phase reactant one or more times. Furthermore, in some embodiments, the cyclical deposition phase 305 of the exemplary process block 130 may include contacting the substrate with the second gas phase reactant one or more times before contacting the substrate with the first gas phase reactant one or more times.

いくつかの実施形態では、核形成上に直接モリブデン金属膜を堆積するために利用される周期的堆積プロセスは、本明細書で前述したように、ハイブリッドALD/CVDまたは周期的CVDプロセスであってもよい。 In some embodiments, the cyclic deposition process utilized to deposit the molybdenum metal film directly onto the nucleation may be a hybrid ALD/CVD or cyclic CVD process, as previously described herein.

本明細書に開示される方法によって堆積されたモリブデン金属膜は、連続的膜であり得る。いくつかの実施形態では、モリブデン金属膜は、約100Å以下、または約60Å以下、または約50Å以下、または約40Å以下、または約30Å以下、または約20以下、または約10Å以下、または更には5Å以下の厚さで連続的であってもよい。本明細書で言及される連続性は、物理的連続性または電気的連続性であることができる。本開示のいくつかの実施形態では、材料膜が物理的に連続的であってもよい厚さは、膜が電気的に連続的である厚さと同じでなくてもよく、その逆もまた同じである。 The molybdenum metal film deposited by the methods disclosed herein may be a continuous film. In some embodiments, the molybdenum metal film may be continuous at a thickness of about 100 Å or less, or about 60 Å or less, or about 50 Å or less, or about 40 Å or less, or about 30 Å or less, or about 20 Å or less, or about 10 Å or less, or even 5 Å or less. The continuity referred to herein can be physical continuity or electrical continuity. In some embodiments of the present disclosure, the thickness at which the material film may be physically continuous may not be the same as the thickness at which the film is electrically continuous, and vice versa.

本開示のいくつかの実施形態では、本開示の実施形態にしたがって形成されたモリブデン金属膜は、約20オングストローム~約250オングストローム、または約50オングストローム~約200オングストローム、または更には約100オングストローム~約150オングストロームの厚さを有してもよい。いくつかの実施形態では、本明細書に記載されるいくつかの実施形態に従って堆積されたモリブデン金属膜は、約20Åを超える、または約30Åを超える、または約40Åを超える、または約50Åを超える、または約60Åを超える、または約100Åを超える、または約250Åを超える、または約500Åを超える、またはそれを超える、厚さを有し得る。いくつかの実施形態では、本明細書に記載されるいくつかの実施形態に従って堆積されたモリブデン金属膜は、約250Å未満、または約100Å未満、または約50Å未満、または約25Å未満、または約10Å未満、または更には約5Å未満の厚さを有し得る。いくつかの実施形態では、中間核形成膜を利用して誘電体表面上に配置されたモリブデン金属膜は、約100オングストローム~250オングストロームの厚さを有してもよい。 In some embodiments of the present disclosure, molybdenum metal films formed according to embodiments of the present disclosure may have a thickness of about 20 angstroms to about 250 angstroms, or about 50 angstroms to about 200 angstroms, or even about 100 angstroms to about 150 angstroms. In some embodiments, molybdenum metal films deposited according to some embodiments described herein may have a thickness of greater than about 20 Å, or greater than about 30 Å, or greater than about 40 Å, or greater than about 50 Å, or greater than about 60 Å, or greater than about 100 Å, or greater than about 250 Å, or greater than about 500 Å, or more. In some embodiments, molybdenum metal films deposited according to some embodiments described herein may have a thickness of less than about 250 Å, or less than about 100 Å, or less than about 50 Å, or less than about 25 Å, or less than about 10 Å, or even less than about 5 Å. In some embodiments, the molybdenum metal film disposed on the dielectric surface using the intermediate nucleation film may have a thickness of about 100 angstroms to 250 angstroms.

本開示のいくつかの実施形態では、モリブデン金属膜が結晶膜を含んでもよいように、中間核形成膜を利用して誘電体表面上にモリブデン金属膜を堆積させてもよい。いくつかの実施形態では、モリブデン金属膜は、多結晶性膜を含んでもよく、多結晶性モリブデン金属膜を含む複数の結晶粒子は、100Åより大きい、または200Åより大きい、または更には250Åより大きい粒子サイズを有してもよい。いくつかの実施形態では、結晶性モリブデン金属膜の結晶構造は体心立方構造を含むことができる。 In some embodiments of the present disclosure, an intermediate nucleation film may be utilized to deposit a molybdenum metal film on a dielectric surface, such that the molybdenum metal film may comprise a crystalline film. In some embodiments, the molybdenum metal film may comprise a polycrystalline film, and the multiple crystalline grains comprising the polycrystalline molybdenum metal film may have a grain size greater than 100 Å, or greater than 200 Å, or even greater than 250 Å. In some embodiments, the crystal structure of the crystalline molybdenum metal film may comprise a body-centered cubic structure.

本開示のいくつかの実施形態では、モリブデン金属膜を、垂直高アスペクト比の形体および/または水平高アスペクト比の形体を含む、一つまたは複数の高アスペクト比の形体を有する誘電体表面上に堆積させることができる。 In some embodiments of the present disclosure, molybdenum metal films can be deposited on a dielectric surface having one or more high aspect ratio features, including high vertical aspect ratio features and/or high horizontal aspect ratio features.

例えば、図4Cは、垂直高アスペクト比の形体404を有する誘電体材料402を備える半導体デバイス構造410を例示する。アスペクト比(高さ:幅)は、2:1より大きい、または5:1より大きい、または10:1より大きい、または25:1より大きい、または50:1より大きい、または更に100:1より大きくてもよく、この特定の例では、「より大きい」は、ギャップ形体のより高い高さを指す。本明細書で開示される堆積方法は、モリブデン金属膜412によって例示されるように、垂直高アスペクト比ギャップ形体404の表面上にモリブデン金属膜を堆積するために利用されることができる。いくつかの実施形態では、垂直高アスペクト比の誘電体ギャップ形体上でのモリブデン金属膜のステップカバレッジは、約50%以上、約80%以上、約90%以上、約95%以上、約98%以上、または約99%以上とすることができる。 For example, FIG. 4C illustrates a semiconductor device structure 410 comprising a dielectric material 402 having a vertical high aspect ratio feature 404. The aspect ratio (height:width) may be greater than 2:1, or greater than 5:1, or greater than 10:1, or greater than 25:1, or greater than 50:1, or even greater than 100:1, with "greater" referring to the greater height of the gap feature in this particular example. The deposition methods disclosed herein can be utilized to deposit a molybdenum metal film on the surface of the vertical high aspect ratio gap feature 404, as illustrated by the molybdenum metal film 412. In some embodiments, the step coverage of the molybdenum metal film on the vertical high aspect ratio dielectric gap feature can be about 50% or more, about 80% or more, about 90% or more, about 95% or more, about 98% or more, or about 99% or more.

非限定的な例として、半導体デバイス構造410は部分的に作製されたCMOSロジックデバイスを表してもよく、誘電材料402は層間誘電体を備えてもよく、またモリブデン金属膜412は一つまたは複数のトランジスタ構造(図示せず)への電気接続をもたらすための金属ギャップフィルを備えてもよい。図4Aに例示するように、モリブデン金属膜406は、誘電材料402の上に順番に直接配置される核形成膜404と直接、即ち中間バリア層材料を必要とせずに、接触し、それにより半導体デバイス構造410の全体の実効電気抵抗率を減少させる。 As a non-limiting example, the semiconductor device structure 410 may represent a partially fabricated CMOS logic device, the dielectric material 402 may comprise an interlayer dielectric, and the molybdenum metal film 412 may comprise a metal gap fill to provide electrical connection to one or more transistor structures (not shown). As illustrated in FIG. 4A, the molybdenum metal film 406 contacts the nucleation film 404 directly, i.e., without the need for an intermediate barrier layer material, which is in turn disposed directly on the dielectric material 402, thereby reducing the overall effective electrical resistivity of the semiconductor device structure 410.

いくつかの実施形態では、モリブデン金属膜412は、ギャップフィルメタライゼーションを含むことができ、モリブデン金属膜412は、シームを形成することなく、ギャップ形体、即ち垂直高アスペクト比のギャップ形体404を充填することができる。シームは、ギャップフィル材料中に形成される縁部の当接によって形成される線または一つもしくは複数のボイドを指す場合があり、走査透過形電子顕微鏡(STEM)または透過形電子顕微鏡(TEM)を用いてシームを確認することができる。観察によってギャップフィル材料中に明確な垂直線または一つもしくは複数の垂直ボイドが明らかになる場合、シームが存在する。 In some embodiments, the molybdenum metal film 412 can include a gap-fill metallization, where the molybdenum metal film 412 can fill the gap feature, i.e., the high vertical aspect ratio gap feature 404, without forming a seam. A seam can refer to a line or one or more voids formed by the abutting edges formed in the gap-fill material, and can be identified using a scanning transmission electron microscope (STEM) or a transmission electron microscope (TEM). A seam is present when observation reveals a distinct vertical line or one or more vertical voids in the gap-fill material.

更なる非限定的な例として、図5Cは、一つまたは複数の水平高アスペクト比のギャップ形体504を有する誘電材料502を備える半導体デバイス構造510を例示し、アスペクト比(高さ:幅)は、1:2より大きく、または1:5より大きく、または1:10より大きく、または1:25より大きく、または1:50より大きく、または更には1:100より大きくてもよく、この例では、用語「より大きい」は、一つまたは複数のギャップ形体の広い幅を指す。本明細書で開示される堆積方法は、中間核形成膜506を利用して水平高アスペクト比のギャップ形体504の表面上にモリブデン金属膜512を堆積するために利用されてもよい。いくつかの実施形態では、水平高アスペクト比の誘電体ギャップ形体上に堆積させるモリブデン金属膜のステップカバレッジは、約50%以上、約80%以上、約90%以上、約95%以上、約98%以上、または約99%以上とすることができる。 As a further non-limiting example, FIG. 5C illustrates a semiconductor device structure 510 comprising a dielectric material 502 having one or more horizontal high aspect ratio gap features 504, where the aspect ratio (height:width) may be greater than 1:2, or greater than 1:5, or greater than 1:10, or greater than 1:25, or greater than 1:50, or even greater than 1:100, where in this example the term "greater" refers to the wide width of the one or more gap features. The deposition methods disclosed herein may be utilized to deposit a molybdenum metal film 512 on the surface of the horizontal high aspect ratio gap feature 504 utilizing an intermediate nucleation film 506. In some embodiments, the step coverage of the molybdenum metal film deposited on the horizontal high aspect ratio dielectric gap feature may be about 50% or more, about 80% or more, about 90% or more, about 95% or more, about 98% or more, or about 99% or more.

非限定的な例示的な実施形態として、半導体デバイス構造510は、部分的に作製されたメモリ装置の一部分を表してもよく、誘電材料502は酸化アルミニウム(Al)を含み、およびモリブデン金属膜512は金属ゲート構造の少なくとも一部を含んでもよい。 As a non-limiting exemplary embodiment, the semiconductor device structure 510 may represent a portion of a partially fabricated memory device, the dielectric material 502 may include aluminum oxide ( Al2O3 ), and the molybdenum metal film 512 may include at least a portion of a metal gate structure.

前述のように、垂直ギャップフィルプロセスと同様に、(図5Cの)モリブデン金属膜512をシームを形成することなく、水平高アスペクト比の形体用ギャップフィルメタライゼーションとして利用することができる。 As previously discussed, similar to the vertical gap-fill process, molybdenum metal film 512 (FIG. 5C) can be utilized as gap-fill metallization for horizontal high aspect ratio features without forming seams.

本開示のいくつかの実施形態では、誘電体表面上に直接配置された核形成膜上に直接堆積させたモリブデン金属膜は、低電気抵抗率モリブデン金属膜を含むことができる。いくつかの実施形態では、中間核形成膜を利用して誘電体表面上に堆積させたモリブデン金属膜は、誘電体表面上に直接、即ち中間核形成膜を全く用いることなく堆積させたモリブデン膜よりも低い電気抵抗率を有することができる。例えば、いくつかの実施形態では、本開示のモリブデン金属膜は、3000μΩ-cm未満、または1000μΩ-cm未満、または500μΩ-cm未満、または200μΩ-cm未満、または100μΩ-cm未満、または50μΩ-cm未満、または25μΩ-cm未満、または15μΩ-cm、または更に10μΩ-cm未満の電気抵抗率を有することができる。非限定的な例として、モリブデン金属膜を、中間核形成膜を利用して、約60オングストローム未満のモリブデン金属膜厚まで誘電体材料の表面上に堆積してもよく、モリブデン金属膜は、40μΩ-cm未満、または35μΩ-cm未満、更には30μΩ-cm未満の電気抵抗率を示すことができる。 In some embodiments of the present disclosure, a molybdenum metal film deposited directly on a nucleation film disposed directly on a dielectric surface can include a low electrical resistivity molybdenum metal film. In some embodiments, a molybdenum metal film deposited on a dielectric surface utilizing an intermediate nucleation film can have a lower electrical resistivity than a molybdenum film deposited directly on a dielectric surface, i.e., without any intermediate nucleation film. For example, in some embodiments, a molybdenum metal film of the present disclosure can have an electrical resistivity of less than 3000 μΩ-cm, or less than 1000 μΩ-cm, or less than 500 μΩ-cm, or less than 200 μΩ-cm, or less than 100 μΩ-cm, or less than 50 μΩ-cm, or less than 25 μΩ-cm, or less than 15 μΩ-cm, or even less than 10 μΩ-cm. As a non-limiting example, a molybdenum metal film may be deposited on the surface of a dielectric material utilizing an intermediate nucleation film to a molybdenum metal film thickness of less than about 60 angstroms, and the molybdenum metal film may exhibit an electrical resistivity of less than 40 μΩ-cm, or less than 35 μΩ-cm, or even less than 30 μΩ-cm.

モリブデン金属膜の電気抵抗率を改善することに加えて、中間核形成膜の堆積はまた、堆積させたモリブデン金属膜の表面粗さを改善することができる。例えば、図6は、二つの例示的な60オングストロームの厚さのモリブデン金属膜について、オングストロームでのr.m.s.表面粗さ(R)を示す。ラベル600によって示されるモリブデン金属膜を、酸化アルミニウム(Al)誘電体表面上に直接堆積させた。対応するr.m.s.表面粗さ(R)は、約7.3オングストロームである。ラベル602によって示されるモリブデン金属膜を、酸化アルミニウム(Al)誘電体表面上に直接配置した4オングストローム厚さの窒化ケイ素核形成膜上に直接堆積させた。対応するr.m.s.表面粗さ(R)は、約3.3オングストロームである。モリブデン金属膜のr.m.s.表面粗さ(R)は、原子間力顕微鏡を利用して、例えば、1ミクロン×1ミクロンの表面積にわたって測定されることができることに留意されたい。 In addition to improving the electrical resistivity of the molybdenum metal film, the deposition of an intermediate nucleation film can also improve the surface roughness of the deposited molybdenum metal film. For example, FIG. 6 shows the r.m.s. surface roughness (R a ) in angstroms for two exemplary 60 angstrom thick molybdenum metal films. The molybdenum metal film, indicated by label 600, was deposited directly on an aluminum oxide (Al 2 O 3 ) dielectric surface. The corresponding r.m.s. surface roughness (R a ) is about 7.3 angstroms. The molybdenum metal film, indicated by label 602, was deposited directly on a 4 angstrom thick silicon nitride nucleation film that was disposed directly on an aluminum oxide (Al 2 O 3 ) dielectric surface. The corresponding r.m.s. surface roughness (R a ) is about 3.3 angstroms. The r.m.s. surface roughness of the molybdenum metal film is approximately 1.2 angstroms. It should be noted that surface roughness (R a ) can be measured over a surface area of, for example, 1 micron by 1 micron, using an atomic force microscope.

したがって、中間核形成膜の使用することにより、モリブデン金属膜の表面粗さを大幅に改善し、例えば、いくつかの実施形態では、中間核形成膜を利用して誘電体表面上に堆積させたモリブデン金属膜のr.m.s.表面粗さは、5オングストローム未満、または4オングストローム未満、または3オングストローム未満、または更には2オングストローム未満のr.m.s.表面粗さ(R)を有することができる。いくつかの実施形態では、r.m.s表面粗さ(R)を、総膜厚の粗さの百分率として表してもよい。例えば、いくつかの実施形態では、r.m.s.表面粗さ(Ra)は、モリブデン金属膜の総厚さの10パーセント未満、または5パーセント未満、または3パーセント未満、または更には1パーセント未満とすることができる。 Thus, the use of an intermediate nucleation film significantly improves the surface roughness of the molybdenum metal film, for example, in some embodiments, the rms surface roughness of a molybdenum metal film deposited on a dielectric surface utilizing an intermediate nucleation film can have an rms surface roughness (R a ) of less than 5 Angstroms, or less than 4 Angstroms, or less than 3 Angstroms, or even less than 2 Angstroms. In some embodiments, the rms surface roughness (R a ) may be expressed as a percentage of the roughness of the total film thickness. For example, in some embodiments, the rms surface roughness (R a ) can be less than 10 percent, or less than 5 percent, or less than 3 percent, or even less than 1 percent of the total thickness of the molybdenum metal film.

本開示のいくつかの実施形態では、中間核形成膜を利用して誘電体表面上にモリブデン金属膜を堆積させる方法は、低原子百分率(原子%)の不純物を有するモリブデン金属膜を堆積することを更に含んでもよい。例えば、本開示のモリブデン金属膜は、5at.%未満、または2at.%未満、または更には1at.%未満の不純物濃度を含み得る。いくつかの実施形態では、モリブデン金属膜内に配置された不純物は、少なくとも酸素および塩素を含み得る。 In some embodiments of the present disclosure, the method of depositing a molybdenum metal film on a dielectric surface utilizing an intermediate nucleation film may further include depositing a molybdenum metal film having a low atomic percentage (at.%) of impurities. For example, the molybdenum metal film of the present disclosure may include an impurity concentration of less than 5 at. %, or less than 2 at. %, or even less than 1 at. %. In some embodiments, the impurities disposed within the molybdenum metal film may include at least oxygen and chlorine.

本開示の実施形態はまた、モリブデン金属膜を含む半導体デバイス構造を提供することができる。いくつかの実施形態では、半導体デバイス構造は、誘電体表面を備える基材と、誘電体表面上に直接配置される核形成膜と、核形成膜上に直接配置されるモリブデン金属膜と、を備える。非限定的な例として、(図4Cの)半導体デバイス構造410および(図5Cの)半導体デバイス構造510は誘電体基材402/502を含み、誘電体基材は誘電体表面を備える。誘電体基材402上に核形成膜406/506が直接配置され、核形成膜406/506上にモリブデン金属膜412/512が直接配置される。したがって、いくつかの実施形態では、核形成406/506は、モリブデン金属膜412/512と誘電材料402/502との間に直接配置される。 Embodiments of the present disclosure may also provide a semiconductor device structure including a molybdenum metal film. In some embodiments, the semiconductor device structure includes a substrate having a dielectric surface, a nucleation film disposed directly on the dielectric surface, and a molybdenum metal film disposed directly on the nucleation film. As a non-limiting example, the semiconductor device structure 410 (of FIG. 4C) and the semiconductor device structure 510 (of FIG. 5C) include a dielectric substrate 402/502, the dielectric substrate having a dielectric surface. A nucleation film 406/506 is disposed directly on the dielectric substrate 402, and a molybdenum metal film 412/512 is disposed directly on the nucleation film 406/506. Thus, in some embodiments, the nucleation 406/506 is disposed directly between the molybdenum metal film 412/512 and the dielectric material 402/502.

いくつかの実施形態では、核形成膜406/506は連続膜を備えてもよいが、別の実施形態では、核形成膜406’/506’は不連続膜を備えてもよい。いくつかの実施形態では、連続核形成膜406/506は、20オングストローム未満、または10オングストローム未満、または5オングストローム未満、または更には3オングストローム未満の厚さであってもよい。いくつかの実施形態では、不連続核形成膜406’/506’は、20オングストローム未満、10オングストローム未満、または5オングストローム未満、または更に3オングストローム未満の厚さであってもよい。 In some embodiments, the nucleation film 406/506 may comprise a continuous film, while in other embodiments, the nucleation film 406'/506' may comprise a discontinuous film. In some embodiments, the continuous nucleation film 406/506 may be less than 20 Angstroms, or less than 10 Angstroms, or less than 5 Angstroms, or even less than 3 Angstroms thick. In some embodiments, the discontinuous nucleation film 406'/506' may be less than 20 Angstroms, or less than 10 Angstroms, or less than 5 Angstroms, or even less than 3 Angstroms thick.

いくつかの実施形態では、核形成膜406/506は化合物材料を含むことができ、特定の実施形態では、核形成膜406/506は、二成分化合物材料、例えばシリコン二成分化合物材料またはモリブデン二成分化合物材料を含むことができる。いくつかの実施形態では、核形成膜406/506は、三成分化合物材料、例えば、ケイ素三成分材料またはモリブデン三成分材料を含むことができる。 In some embodiments, the nucleation film 406/506 can include a compound material, and in certain embodiments, the nucleation film 406/506 can include a binary compound material, such as a silicon binary compound material or a molybdenum binary compound material. In some embodiments, the nucleation film 406/506 can include a ternary compound material, such as a silicon ternary material or a molybdenum ternary material.

非限定的な例として、シリコン二成分化合物材料は、窒化ケイ素、炭化ケイ素、または酸化ケイ素のうちの少なくとも一つを含むことができる。更なる非限定的な例として、モリブデン二成分化合物材料は、窒化モリブデン、炭化モリブデン、酸化モリブデン、またはケイ化モリブデンのうちの少なくとも一つを含むことができる。 As a non-limiting example, the silicon binary compound material can include at least one of silicon nitride, silicon carbide, or silicon oxide. As a further non-limiting example, the molybdenum binary compound material can include at least one of molybdenum nitride, molybdenum carbide, molybdenum oxide, or molybdenum silicide.

いくつかの実施形態では、モリブデン金属膜412/512は結晶質であってもよく、5原子%未満、または2原子%未満、または更に1原子%未満の不純物濃度を有してもよい。更に、モリブデン金属膜412/512は、60オングストローム未満の厚さで40μΩ・cm未満の電気抵抗率を有することができる。 In some embodiments, the molybdenum metal film 412/512 may be crystalline and may have an impurity concentration of less than 5 atomic %, or less than 2 atomic %, or even less than 1 atomic %. Additionally, the molybdenum metal film 412/512 may have an electrical resistivity of less than 40 μΩ-cm at a thickness of less than 60 Angstroms.

いくつかの実施形態では、モリブデン金属膜412/512は、5オングストローム未満、または4オングストローム未満、または3オングストローム未満、または更には2オングストローム未満のr.m.s.表面粗さ(R)を有することができる。いくつかの実施形態では、r.m.s表面粗さ(R)を、総膜厚の粗さの百分率として表してもよい。例えば、いくつかの実施形態では、r.m.s.表面粗さ(Ra)は、モリブデン金属膜の総厚さの10パーセント未満、または5パーセント未満、または3パーセント未満、または更には1パーセント未満とすることができる。モリブデン金属膜412および512によって例示するように、モリブデン金属膜412/512の低い表面粗さは、モリブデン金属膜が基材内および/または基材上に配置される一つまたは複数のギャップ形体、例えば垂直ギャップ形体404および/または水平ギャップ形体504をシームを形成することなく充填することを可能にすることができる。 In some embodiments, the molybdenum metal film 412/512 can have an rms surface roughness (R a ) of less than 5 Angstroms, or less than 4 Angstroms, or less than 3 Angstroms, or even less than 2 Angstroms. In some embodiments, the rms surface roughness (R a ) may be expressed as a percentage of the roughness of the total film thickness. For example, in some embodiments, the rms surface roughness (R a ) can be less than 10 percent, or less than 5 percent, or less than 3 percent, or even less than 1 percent of the total thickness of the molybdenum metal film. As exemplified by the molybdenum metal films 412 and 512, the low surface roughness of the molybdenum metal film 412/512 can enable the molybdenum metal film to fill one or more gap features disposed in and/or on the substrate, such as the vertical gap feature 404 and/or the horizontal gap feature 504, without forming a seam.

上に記載した本開示の例示的実施形態は、添付の特許請求の範囲およびその法的等価物により定義される、本発明の実施形態の単なる例であるため、これらの実施形態によって本発明の範囲は限定されない。いかなる同等の実施形態も、本発明の範囲内にあることを意図している。実際に、記載した要素の代替の有用な組み合わせなど、本明細書に示し記載したものに加えて、本開示の様々な改変が、記載から当業者に明らかとなってもよい。このような改変および実施形態もまた、添付の特許請求の範囲に入ると意図される。
本発明は以下の態様を含む。
[1]
周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法であって、
誘電体表面を備える基材を反応チャンバ内に供給することと、
核形成膜を前記誘電体表面上に直接堆積させることと、
モリブデン金属膜を前記核生成膜上に直接堆積させることと、を含み、前記モリブデン金属膜を堆積させることは、
前記基材をモリブデンハライド前駆体を含む第一の気相反応物質と接触させることと、
前記基材を還元剤前駆体を含む第二の気相反応物質と接触させることと、を含む、方法。
[2]
前記核形成膜は化合物材料を含む、[1]に記載の方法。
[3]
前記化合物材料は二成分化合物材料を含む、[2]に記載の方法。
[4]
前記二成分化合物材料は、シリコン二成分化合物材料を含む、[3]に記載の方法。
[5]
前記シリコン二成分化合物材料は、窒化ケイ素、炭化ケイ素、または酸化シリコンのうちの少なくとも一つを含む、[4]に記載の方法。
[6]
前記二成分化合物材料は、モリブデン二成分化合物材料を含む、[3]に記載の方法。
[7]
前記モリブデン二成分化合物材料は、窒化モリブデン、炭化モリブデン、酸化モリブデン、またはケイ化モリブデンのうちの少なくとも一つを含む、[6]に記載の方法。
[8]
前記核形成膜を堆積させることは、前記基材を600℃未満の基材温度に基材を加熱すること更に含む、[1]に記載の方法。
[9]
前記核形成膜を堆積させることは、周期的堆積プロセスの少なくとも一つの単位サイクルを行うことを更に含み、一単位サイクルは、
前記基材を第一のシリコン前駆体、またはモリブデン前駆体のうちの少なくとも一つを含む第一の気相反応物質と接触させることと、
前記基材を窒素前駆体、酸素前駆体、または第二のシリコン前駆体のうちの少なくとも一つを含む第二の気相反応物質と接触させることと、を含む、[1]に記載の方法。
[10]
前記モリブデン金属膜を堆積させることは、前記基材を400℃~700℃の基材温度に加熱することを更に含む、[1]に記載の方法。
[11]
前記モリブデンハライドはモリブデンカルコゲナイドハライドを含む、[1]に記載の方法。
[12]
前記モリブデンカルコゲナイドハライドは、オキシ塩化モリブデン、オキシヨウ化モリブデン、またはオキシ臭化モリブデンを含む群から選択される、モリブデンオキシハライドを含む、[11]に記載の方法。
[13]
前記オキシ塩化モリブデンは、モリブデン(IV)ジクロリドジオキシド(MoO Cl )を含む、[12]に記載の方法。
[14]
前記モリブデン金属膜は結晶質膜である、[1]に記載の方法。
[15]
前記誘電体表面はギャップ形体を含み、前記モリブデン金属膜はシームを形成することなく前記ギャップ形体を充填する、[1]に記載の方法。
[16]
半導体デバイス構造であって、
誘電体表面を備える基材と、
前記誘電体表面上に直接配置される核形成膜と、
前記核形成膜上に直接配置されるモリブデン金属膜と、を更に備える、半導体デバイス構造。
[17]
前記核形成膜は化合物材料を含む、[16]に記載の構造。
[18]
前記化合物材料は二成分化合物材料を含む、[17]に記載の構造。
[19]
前記二成分化合物材料はシリコン二成分化合物材料を含む、[18]に記載の構造。
[20]
前記シリコン二成分化合物材料は、窒化ケイ素、炭化ケイ素、または酸化シリコンのうちの少なくとも一つを含む、[19]に記載の構造。
[21]
前記二成分化合物材料はモリブデン二成分化合物材料を含む、[18]に記載の構造。
[22]
前記モリブデン二成分化合物材料は、窒化モリブデン、炭化モリブデン、酸化モリブデ
ン、またはケイ化モリブデンのうちの少なくとも一つを含む、[21]に記載の構造。
[23]
前記モリブデン膜は、60オングストローム未満の厚さで40μΩ-cm未満の電気抵抗率を有する、[16]に記載の構造。
[24]
前記モリブデン金属膜は結晶質膜である、[16]に記載の構造。
[25]
前記誘電体表面はギャップ形体を備え、前記モリブデン金属膜はシームを形成することなく前記ギャップ形体を充填する、[16]に記載の構造。
The exemplary embodiments of the present disclosure described above are merely examples of embodiments of the present invention, as defined by the appended claims and their legal equivalents, and therefore do not limit the scope of the present invention. Any equivalent embodiments are intended to be within the scope of the present invention. Indeed, various modifications of the present disclosure in addition to those shown and described herein may become apparent to those skilled in the art from the description, such as alternative useful combinations of the described elements. Such modifications and embodiments are also intended to fall within the scope of the appended claims.
The present invention includes the following aspects.
[1]
1. A method for depositing a molybdenum metal film on a dielectric surface of a substrate by a cyclic deposition process, comprising:
Providing a substrate having a dielectric surface in a reaction chamber;
depositing a nucleation film directly onto the dielectric surface;
depositing a molybdenum metal film directly onto the nucleation film, the depositing of the molybdenum metal film comprising:
contacting the substrate with a first gas phase reactant comprising a molybdenum halide precursor;
contacting the substrate with a second gas phase reactant comprising a reducing agent precursor.
[2]
The method according to [1], wherein the nucleation film comprises a compound material.
[3]
The method according to [2], wherein the compound material comprises a binary compound material.
[4]
The method of claim 3, wherein the binary compound material comprises a silicon binary compound material.
[5]
5. The method of claim 4, wherein the silicon binary compound material comprises at least one of silicon nitride, silicon carbide, or silicon oxide.
[6]
The method according to [3], wherein the binary compound material comprises a molybdenum binary compound material.
[7]
7. The method of claim 6, wherein the molybdenum binary compound material comprises at least one of molybdenum nitride, molybdenum carbide, molybdenum oxide, or molybdenum disilicide.
[8]
2. The method of claim 1, wherein depositing the nucleation film further comprises heating the substrate to a substrate temperature of less than 600° C.
[9]
Depositing the nucleation film further comprises performing at least one unit cycle of a cyclic deposition process, a unit cycle comprising:
contacting the substrate with a first gas-phase reactant comprising at least one of a first silicon precursor or a molybdenum precursor;
and contacting the substrate with a second gas-phase reactant comprising at least one of a nitrogen precursor, an oxygen precursor, or a second silicon precursor.
[10]
The method of claim 1, wherein depositing the molybdenum metal film further comprises heating the substrate to a substrate temperature of 400° C. to 700° C.
[11]
The method according to [1], wherein the molybdenum halide comprises a molybdenum chalcogenide halide.
[12]
The method of claim 11, wherein the molybdenum chalcogenide halide comprises a molybdenum oxyhalide selected from the group including molybdenum oxychloride, molybdenum oxyiodide, or molybdenum oxybromide.
[13]
The method according to [12], wherein the molybdenum oxychloride comprises molybdenum (IV) dichloride dioxide (MoO 2 Cl 2 ).
[14]
The method according to [1], wherein the molybdenum metal film is a crystalline film.
[15]
2. The method of claim 1, wherein the dielectric surface includes a gap feature and the molybdenum metal film fills the gap feature without forming a seam.
[16]
1. A semiconductor device structure comprising:
a substrate having a dielectric surface;
a nucleation film disposed directly on the dielectric surface;
a molybdenum metal film disposed directly on the nucleation film.
[17]
The structure according to [16], wherein the nucleation film comprises a compound material.
[18]
The structure of [17], wherein the compound material comprises a binary compound material.
[19]
The structure of [18], wherein the binary compound material comprises a silicon binary compound material.
[20]
20. The structure of claim 19, wherein the silicon binary compound material comprises at least one of silicon nitride, silicon carbide, or silicon oxide.
[21]
The structure of [18], wherein the binary compound material comprises a molybdenum binary compound material.
[22]
The molybdenum binary compound material may be selected from the group consisting of molybdenum nitride, molybdenum carbide, and molybdenum oxide.
The structure according to [21], further comprising at least one of: a silicide;
[23]
The structure of [16], wherein the molybdenum film has an electrical resistivity of less than 40 μΩ-cm at a thickness of less than 60 Angstroms.
[24]
The structure according to [16], wherein the molybdenum metal film is a crystalline film.
[25]
16. The structure of claim 15, wherein the dielectric surface comprises a gap feature, and the molybdenum metal film fills the gap feature without forming a seam.

Claims (25)

周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法
であって、
誘電体表面を備える基材を反応チャンバ内に供給することと、
核形成膜を前記誘電体表面上に直接堆積させることと、
モリブデン金属膜を前記核形成膜上に直接堆積させることと、を含み、
前記モリブデン金属膜を堆積させることは、
前記基材をモリブデンハライド前駆体を含む第一の気相反応物質と接触させることと、
前記基材を還元剤前駆体を含む第二の気相反応物質と接触させることと、を含み、
前記核形成膜を堆積させることは、周期的堆積プロセスの単位サイクルを繰り返すことを含み、
前記核形成膜は、窒化モリブデン、炭化モリブデン、酸化モリブデン、またはこれらの組み合わせを含み、
前記単位サイクルの少なくとも1つは、
前記基材をモリブデン前駆体を含む第一の気相反応物質と接触させることと、
前記基材を窒素前駆体、酸素前駆体、または炭素前駆体のうちの少なくとも一つを含む第二の気相反応物質と接触させることと、を含む、
方法。
1. A method for depositing a molybdenum metal film on a dielectric surface of a substrate by a cyclic deposition process, comprising:
Providing a substrate having a dielectric surface in a reaction chamber;
depositing a nucleation film directly onto the dielectric surface;
depositing a molybdenum metal film directly onto the nucleation film;
depositing the molybdenum metal film
contacting the substrate with a first gas phase reactant comprising a molybdenum halide precursor;
contacting the substrate with a second gas phase reactant comprising a reducing agent precursor ;
depositing the nucleation film includes repeating a unit cycle of a cyclic deposition process;
the nucleation film comprises molybdenum nitride, molybdenum carbide, molybdenum oxide, or a combination thereof;
At least one of the unit cycles comprises:
contacting the substrate with a first gas phase reactant comprising a molybdenum precursor;
contacting the substrate with a second gas phase reactant comprising at least one of a nitrogen precursor, an oxygen precursor, or a carbon precursor;
method.
前記モリブデン前駆体は、窒化モリブデン前駆体、炭化モリブデン前駆体、酸化モリブデン前駆体、及びケイ化モリブデン前駆体からなる群から選ばれる、請求項1に記載の方法。 10. The method of claim 1, wherein the molybdenum precursor is selected from the group consisting of a molybdenum nitride precursor, a molybdenum carbide precursor, a molybdenum oxide precursor, and a molybdenum silicide precursor. 前記核形成膜は、不連続膜である、請求項1に記載の方法。The method of claim 1 , wherein the nucleation film is a discontinuous film. 前記核形成膜は、10Å未満の厚さを有する、請求項1に記載の方法。The method of claim 1 , wherein the nucleation film has a thickness of less than 10 Å. 前記モリブデン金属膜を堆積させることは、周期的堆積プロセスの単位サイクルを繰り返して、50Å~200Åの厚さを有するモリブデン金属膜を形成することを含む、請求項1に記載の方法。10. The method of claim 1, wherein depositing the molybdenum metal film comprises repeating a unit cycle of a cyclic deposition process to form a molybdenum metal film having a thickness between 50 Å and 200 Å. 窒素前駆体は、アンモニア(NHThe nitrogen precursor is ammonia (NH 3 )、ヒドラジン(N), hydrazine (N 2 H 4 )、トリアザン(N), triazane (N 3 H 5 )、tert-ブチルヒドラジン(C), tert-butylhydrazine (C 4 H 9 N 2 H 3 )、メチルヒドラジン(CH), methylhydrazine (CH 3 NHNHN.H.N.H. 2 )、ジメチルヒドラジン((CH), dimethylhydrazine ((CH 3 ) 2 N 2 H 2 )、または窒素プラズマのうちの少なくとも一つを含み、酸素前駆体は、水(H), or nitrogen plasma, and the oxygen precursor is water (H 2 O)、過酸化水素(HO), hydrogen peroxide (H 2 O 2 )、オゾン(O), ozone (O 3 )、または、一酸化窒素(NO)、亜酸化窒素(N), or nitric oxide (NO), nitrous oxide (N 2 O)、または二酸化窒素(NOO), or nitrogen dioxide (NO 2 )のうちの少なくとも一つを含む窒素酸化物、のうちの少なくとも一つを含み、炭素前駆体は、炭化水素を含む、請求項1に記載の方法。10. The method of claim 1, wherein the carbon precursor comprises at least one of: nitrogen oxides including at least one of the following: 前記核形成膜は、5Å未満の厚さを有する、請求項1に記載の方法。The method of claim 1 , wherein the nucleation film has a thickness of less than 5 Å. 前記核形成膜を堆積させることは、前記基材を600℃未満の基材温度に基材を加熱す
ること更に含む、請求項1に記載の方法。
The method of claim 1 , wherein depositing the nucleation film further comprises heating the substrate to a substrate temperature less than 600° C.
前記核形成膜は、3Å未満の厚さを有する、請求項1に記載の方法。The method of claim 1 , wherein the nucleation film has a thickness of less than 3 Å. 前記モリブデン金属膜を堆積させることは、前記基材を400℃~700℃の基材温度
に加熱することを更に含む、請求項1に記載の方法。
The method of claim 1 , wherein depositing the molybdenum metal film further comprises heating the substrate to a substrate temperature of between 400° C. and 700° C.
前記モリブデンハライドはモリブデンカルコゲナイドハライドを含む、請求項1に記載
の方法。
The method of claim 1 , wherein the molybdenum halide comprises a molybdenum chalcogenide halide.
前記モリブデンカルコゲナイドハライドは、オキシ塩化モリブデン、オキシヨウ化モリ
ブデン、またはオキシ臭化モリブデンを含む群から選択される、モリブデンオキシハライ
ドを含む、請求項11に記載の方法。
12. The method of claim 11, wherein the molybdenum chalcogenide halide comprises a molybdenum oxyhalide selected from the group including molybdenum oxychloride, molybdenum oxyiodide, or molybdenum oxybromide.
前記オキシ塩化モリブデンは、モリブデン(IV)ジクロリドジオキシド(MoO
)を含む、請求項12に記載の方法。
The molybdenum oxychloride is molybdenum (IV) dichloride dioxide ( MoO2C
The method of claim 12 , further comprising:
前記モリブデン金属膜は結晶質膜である、請求項1に記載の方法。 The method of claim 1, wherein the molybdenum metal film is a crystalline film. 前記誘電体表面はギャップ形体を含み、前記モリブデン金属膜はシームを形成すること
なく前記ギャップ形体を充填する、請求項1に記載の方法。
The method of claim 1 , wherein the dielectric surface includes a gap feature, and the molybdenum metal film fills the gap feature without forming a seam.
半導体デバイス構造であって、
誘電体表面を備える基材と、
前記誘電体表面上に直接配置される核形成膜と、
前記核形成膜上に直接配置されるモリブデン金属膜と、を更に備え
前記核形成膜は、窒化モリブデン、炭化モリブデン、酸化モリブデン、またはこれらの組み合わせを含む、半導体デバイス
構造。
1. A semiconductor device structure comprising:
a substrate having a dielectric surface;
a nucleation film disposed directly on the dielectric surface;
a molybdenum metal film disposed directly on the nucleation film ;
A semiconductor device structure, wherein the nucleation film comprises molybdenum nitride, molybdenum carbide, molybdenum oxide, or a combination thereof .
前記核形成膜は、炭化モリブデンを含む、請求項16に記載の構造。 17. The structure of claim 16, wherein the nucleation film comprises molybdenum carbide . 前記核形成膜は、酸化モリブデンを含む、請求項16に記載の構造。17. The structure of claim 16, wherein the nucleation film comprises molybdenum oxide. 前記核形成膜は、窒化モリブデンを含む、請求項16に記載の構造。17. The structure of claim 16, wherein the nucleation film comprises molybdenum nitride. 前記核形成膜は、モリブデン三成分化合物を含む、請求項16に記載の構造。20. The structure of claim 16, wherein the nucleation film comprises a molybdenum ternary compound. 前記モリブデン三成分化合物は、MoONまたはMoSiOを含む、請求項20に記載の構造。21. The structure of claim 20, wherein the molybdenum ternary compound comprises MoON or MoSiO. 前記核形成膜は、10Å未満の厚さを有する、請求項16に記載の構造。 20. The structure of claim 16 , wherein the nucleation film has a thickness of less than 10 Å . 前記モリブデン膜は、60オングストローム未満の厚さで40μΩ-cm未満の電気抵抗率を有する、請求項16に記載の構造。 The structure of claim 16, wherein the molybdenum film has an electrical resistivity of less than 40 μΩ-cm at a thickness of less than 60 angstroms. 前記モリブデン金属膜は結晶質膜である、請求項16に記載の構造。 The structure of claim 16, wherein the molybdenum metal film is a crystalline film. 前記誘電体表面はギャップ形体を備え、前記モリブデン金属膜はシームを形成すること
なく前記ギャップ形体を充填する、請求項16に記載の構造。
17. The structure of claim 16, wherein the dielectric surface comprises a gap feature, and the molybdenum metal film fills the gap feature without forming a seam.
JP2019149005A 2018-08-20 2019-08-15 Method for depositing molybdenum metal films on dielectric surfaces of substrates by a cyclic deposition process and related semiconductor device structures - Patents.com Active JP7547037B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/105,802 US11295980B2 (en) 2017-08-30 2018-08-20 Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US16/105,802 2018-08-20

Publications (2)

Publication Number Publication Date
JP2020029618A JP2020029618A (en) 2020-02-27
JP7547037B2 true JP7547037B2 (en) 2024-09-09

Family

ID=69623951

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019149005A Active JP7547037B2 (en) 2018-08-20 2019-08-15 Method for depositing molybdenum metal films on dielectric surfaces of substrates by a cyclic deposition process and related semiconductor device structures - Patents.com

Country Status (1)

Country Link
JP (1) JP7547037B2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7433132B2 (en) * 2020-05-19 2024-02-19 東京エレクトロン株式会社 Film-forming method and film-forming equipment
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
JPWO2022059170A1 (en) * 2020-09-18 2022-03-24
CN115989338A (en) * 2020-09-23 2023-04-18 株式会社国际电气 Method for manufacturing semiconductor device, recording medium, and substrate processing apparatus
KR20230052933A (en) * 2020-09-23 2023-04-20 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing method, semiconductor device manufacturing method, program and substrate processing device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170062224A1 (en) 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors
WO2017070634A1 (en) 2015-10-23 2017-04-27 Applied Materials, Inc. Methods for spatial metal atomic layer deposition
US20180019165A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. CVD Mo DEPOSITION BY USING MoOCl4
JP2018516465A (en) 2015-06-05 2018-06-21 東京エレクトロン株式会社 Feature filling with ruthenium metal for interconnects

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02170536A (en) * 1988-12-23 1990-07-02 Fujitsu Ltd Semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018516465A (en) 2015-06-05 2018-06-21 東京エレクトロン株式会社 Feature filling with ruthenium metal for interconnects
US20170062224A1 (en) 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors
WO2017070634A1 (en) 2015-10-23 2017-04-27 Applied Materials, Inc. Methods for spatial metal atomic layer deposition
US20180019165A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. CVD Mo DEPOSITION BY USING MoOCl4

Also Published As

Publication number Publication date
JP2020029618A (en) 2020-02-27

Similar Documents

Publication Publication Date Title
US11908736B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102553413B1 (en) Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
TWI794276B (en) Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US11996292B2 (en) Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
JP7547037B2 (en) Method for depositing molybdenum metal films on dielectric surfaces of substrates by a cyclic deposition process and related semiconductor device structures - Patents.com
KR102646467B1 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR20210024418A (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
CN112420489A (en) Method of depositing molybdenum nitride film and semiconductor device structure including molybdenum nitride film
JP7542939B2 (en) Method for filling gap features on substrate surfaces and associated semiconductor device structures - Patents.com
JP7422971B2 (en) Method for depositing molybdenum metal films on dielectric surfaces of substrates and associated semiconductor device structures
CN118241177A (en) Plasma enhanced method for depositing molybdenum

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220801

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231120

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20231225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240729

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240828

R150 Certificate of patent or registration of utility model

Ref document number: 7547037

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150