JP7503547B2 - Selective deposition of metal silicides and selective removal of oxides - Google Patents

Selective deposition of metal silicides and selective removal of oxides Download PDF

Info

Publication number
JP7503547B2
JP7503547B2 JP2021524215A JP2021524215A JP7503547B2 JP 7503547 B2 JP7503547 B2 JP 7503547B2 JP 2021524215 A JP2021524215 A JP 2021524215A JP 2021524215 A JP2021524215 A JP 2021524215A JP 7503547 B2 JP7503547 B2 JP 7503547B2
Authority
JP
Japan
Prior art keywords
substrate
exposing
silicon oxide
precursor
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021524215A
Other languages
Japanese (ja)
Other versions
JPWO2020101806A5 (en
JP2022506677A (en
Inventor
レイモンド ハン,
ナムスン キム,
シュリーニヴァース ディー. ネマニ,
エリー ワイ. イー,
ジョン チョイ,
クリストファー アルズ,
アンドリュー キュンメル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
University of California
Original Assignee
Applied Materials Inc
University of California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/189,429 external-priority patent/US10586707B2/en
Application filed by Applied Materials Inc, University of California filed Critical Applied Materials Inc
Publication of JP2022506677A publication Critical patent/JP2022506677A/en
Publication of JPWO2020101806A5 publication Critical patent/JPWO2020101806A5/ja
Application granted granted Critical
Publication of JP7503547B2 publication Critical patent/JP7503547B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本開示の実施態様は、概して、金属シリサイドの堆積及び自然酸化ケイ素の選択的エッチングのための方法に関する。 Embodiments of the present disclosure generally relate to methods for depositing metal silicide and selectively etching native silicon oxide.

ナノスケールデバイス上の材料の正確な配置は、次世代ナノエレクトロニクスの原子スケールの特性の操作にとって重要である。半導体製造では、コスト、歩留まり、及びスループットの要求を満たすために、優れた共形性及び化学量論を備えた材料の詳細な配置が利用される。金属酸化物半導体電界効果トランジスタ(MOSFET)のチャネル長が縮小し続けているため、反応性イオンエッチングによる損傷や構造アライメントの構造の複雑さといった、トップダウンプロセスに起因する制約を克服することが望ましい。 Precise placement of materials on nanoscale devices is critical for engineering atomic-scale properties for next-generation nanoelectronics. Semiconductor manufacturing utilizes detailed placement of materials with excellent conformality and stoichiometry to meet cost, yield, and throughput demands. As channel lengths in metal-oxide-semiconductor field-effect transistors (MOSFETs) continue to shrink, it is desirable to overcome limitations imposed by top-down processes, such as reactive ion etching damage and structural complexity in structure alignment.

最近、MOSFETデバイスが3D構造(FinFET)で製造されているため、共形の膜の品質を維持しながら、ナノスケールの領域選択的堆積に関心が高まってきた。領域選択的堆積への1つのアプローチは、原子層堆積(ALD)プロセスと組み合わせて、自己組織化単分子層(SAM)をパッシベーション層として利用することである。パッシベーション層は、ALD前駆体に対して反応性のある表面官能基をブロック又は排除するため、選択性を得ることができる。しかしながら、SAMのアプローチは依然としてパッシベーション層の選択的堆積を利用している。さらに、選択的堆積の後にパッシベーション層が選択的に除去され、これは、プロセスがさらに複雑になり、スループットが低下することを余儀なくさせる。 Recently, as MOSFET devices are fabricated in 3D structures (FinFETs), there has been an increased interest in nanoscale area selective deposition while maintaining conformal film quality. One approach to area selective deposition is to utilize self-assembled monolayers (SAMs) as passivation layers in combination with atomic layer deposition (ALD) processes. The passivation layer blocks or eliminates surface functional groups that are reactive to the ALD precursors, so selectivity can be obtained. However, the SAM approach still utilizes selective deposition of a passivation layer. Furthermore, the passivation layer is selectively removed after selective deposition, which forces the process to become more complex and reduce throughput.

さらに、高度な選択的領域堆積を可能にするためには、その上に選択的に堆積するために内在する材料を露出させるために、自然酸化物材料を除去する必要がある。ただし、高度なノードでは、基板上に自然酸化物材料に加えて他の酸化物材料が存在するとき、自然酸化物の除去がますます複雑になり、選択は困難になる。 Furthermore, to enable highly selective area deposition, native oxide material must be removed to expose underlying materials for selective deposition thereon. However, at advanced nodes, removal of native oxide becomes increasingly complex and selective when other oxide materials are present on the substrate in addition to the native oxide material.

よって、当該技術分野では、材料の選択的堆積及び酸化物の選択的除去のための改善された方法が必要とされる。 Thus, there is a need in the art for improved methods for selective deposition of materials and selective removal of oxides.

一実施態様では、基板処理方法が提供される。この方法は、ケイ素含有表面を有する基板を第1の温度に加熱することと、基板を水素を含むプラズマに曝露することと、基板をMoF前駆体の第1の供給量に曝露することと、基板をSi前駆体の第2の供給量に曝露することとを含む。基板を第1の供給量に曝露すること及び基板を第2の供給量に曝露することは逐次的に循環され、その連続サイクルの後、基板はSi前駆体の第3の供給量に曝露される。 In one embodiment, a substrate processing method is provided, which includes heating a substrate having a silicon - containing surface to a first temperature, exposing the substrate to a plasma containing hydrogen, exposing the substrate to a first supply of MoF6 precursor, and exposing the substrate to a second supply of Si2H6 precursor. The exposing of the substrate to the first supply and the exposing of the substrate to the second supply are cycled sequentially, and after each successive cycle, the substrate is exposed to a third supply of Si2H6 precursor .

別の実施態様では、基板処理方法が提供される。この方法は、チャンバ壁を有する反応チャンバ中のヒータ上に基板を配置することと、ヒータ上の基板を第1の温度に加熱することと、チャンバ壁を第1の温度未満の第2の温度で維持することと、基板のケイ素含有表面を水素に曝露することとを含む。基板はMoF前駆体の第1の供給量に曝露され、基板はSi前駆体の第2の供給量に曝露され、基板を第1の供給量に曝露すること及び基板を第2の供給量に曝露することは逐次的に循環され、その連続サイクルの後、基板はSi前駆体の第3の供給量に曝露される。 In another embodiment, a method for processing a substrate is provided. The method includes placing a substrate on a heater in a reaction chamber having a chamber wall, heating the substrate on the heater to a first temperature, maintaining the chamber wall at a second temperature less than the first temperature, and exposing a silicon-containing surface of the substrate to hydrogen. The substrate is exposed to a first supply of MoF6 precursor, the substrate is exposed to a second supply of Si2H6 precursor, and the substrate is exposed to the first supply and the substrate is exposed to the second supply sequentially cycled , and after the successive cycles, the substrate is exposed to a third supply of Si2H6 precursor.

さらに別の実施態様では、基板処理方法が提供される。この方法は、基板を第1の温度に加熱することと、基板のケイ素含有表面を水素含有プラズマに曝露することと、基板をMoF前駆体の第1の供給量に曝露することと、基板をSi前駆体の第2の供給量に曝露することとを含む。基板を第1の供給量に曝露すること及び基板を第2の供給量に曝露することは逐次的に循環され、その連続サイクルの後、基板はSi前駆体の第3の供給量に曝露され、基板を第3の供給量に曝露した後、基板は約500℃と約550℃の間の第2の温度でアニールされる。 In yet another embodiment, a method for processing a substrate is provided, which includes heating a substrate to a first temperature, exposing a silicon-containing surface of the substrate to a hydrogen-containing plasma, exposing the substrate to a first supply of MoF6 precursor, and exposing the substrate to a second supply of Si2H6 precursor. The exposing of the substrate to the first supply and the exposing of the substrate to the second supply are cycled sequentially, and after the successive cycles, the substrate is exposed to a third supply of Si2H6 precursor, and after the exposing of the substrate to the third supply, the substrate is annealed at a second temperature between about 500°C and about 550°C.

特許又は出願ファイルは、カラーで作成された少なくとも一つの図面を含有する。カラー図面付きのこの特許又は特許出願公開の写しは、請求に応じて、必要な料金を支払うことにより、特許庁から提供される。 The patent or application file contains at least one drawing executed in color. Copies of this patent or patent application publication with color drawing(s) will be provided by the Office upon request and payment of the necessary fee.

本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施態様を参照することによって得られ、それらの実施態様の一部が添付図面に示される。しかし、添付図面は例示的な実施態様を示しているにすぎず、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施態様も許容され得ることに留意されたい。 So that the above-mentioned features of the present disclosure can be understood in detail, a more particular description of the present disclosure, briefly summarized above, will be obtained by reference to embodiments, some of which are illustrated in the accompanying drawings. It should be noted, however, that the accompanying drawings show only exemplary embodiments and therefore should not be considered as limiting the scope of the present disclosure, as other equally effective embodiments may be permitted.

本明細書に記載の実施態様による、ケイ素基板上のMoSi膜選択性のX線光電子分光法(XPS)データを示す。1 shows X-ray photoelectron spectroscopy (XPS) data of MoSi x film selectivity on silicon substrate according to embodiments described herein. 本明細書に記載の実施態様による、酸窒化ケイ素基板上のMoSi膜選択性のXPSデータを示す。1 shows XPS data of MoSi x film selectivity on silicon oxynitride substrate according to embodiments described herein. 本明細書に記載の実施態様による、ケイ素基板上のSi及びMoのXPS酸化状態データを示す。1 shows XPS oxidation state data for Si and Mo on a silicon substrate according to embodiments described herein. 本明細書に記載の実施態様による、ケイ素基板上のSi及びMoのXPS酸化状態データを表す。1 depicts XPS oxidation state data for Si and Mo on a silicon substrate according to embodiments described herein. 本明細書に記載の実施態様による、ALD処理の前の異なる基板タイプ上に存在するさまざまな要素のXPS化学組成データを示す。1 shows XPS chemical composition data of various elements present on different substrate types prior to ALD processing, according to embodiments described herein. 本明細書に記載の実施態様による、5回のALDサイクルの後の異なる基板タイプ上に存在するさまざまな要素のXPS化学組成データを示す。1 shows XPS chemical composition data of various elements present on different substrate types after five ALD cycles according to embodiments described herein. 本明細書に記載の実施態様による、追加のALDサイクルの後の異なる基板タイプ上に存在するさまざまな要素のXPS化学組成データを示す。13 shows XPS chemical composition data of various elements present on different substrate types after additional ALD cycles according to embodiments described herein. 本明細書に記載の実施態様による、ALD処理の前の異なる基板タイプ上に存在するさまざまな要素のXPS化学組成データを示す。1 shows XPS chemical composition data of various elements present on different substrate types prior to ALD processing, according to embodiments described herein. 本明細書に記載の実施態様による、5回のALDサイクルの後の異なる基板タイプ上に存在するさまざまな要素のXPS化学組成データを示す。1 shows XPS chemical composition data of various elements present on different substrate types after five ALD cycles according to embodiments described herein. 本明細書に記載の実施態様による、アニーリング処理の後の図4Bの基板のXPS化学組成データを示す。4C shows XPS chemical composition data of the substrate of FIG. 4B after an annealing treatment according to embodiments described herein. 本明細書に記載の実施態様による、Arスパッタリングの後のMoSi膜のXPS深さプロファイリングデータを示す。13 shows XPS depth profiling data of MoSi x films after Ar sputtering according to embodiments described herein. 本明細書に記載の実施態様による、MoSi膜のXPS化学組成データを示す。1 shows XPS chemical composition data for MoSi x films according to embodiments described herein. 本明細書に記載の実施態様による、時間に対するMoSi膜の化学組成を表すデータを示す。1 shows data depicting chemical composition of MoSi x films versus time according to embodiments described herein. 本明細書に記載の実施態様による、Arスパッタリングの後のMoSi膜のXPS深さプロファイリングデータを示す。13 shows XPS depth profiling data of MoSi x films after Ar sputtering according to embodiments described herein. 本明細書に記載の実施態様による、MoSi膜の表面組成データを示す。1 shows surface composition data of MoSi x films according to embodiments described herein. 本明細書に記載の実施態様による、図6BのMoSi膜のバルク組成データを示す。6C shows bulk composition data for the MoSi x film of FIG. 6B according to embodiments described herein. 本明細書に記載の実施態様による、時間に対するMoSi膜の化学組成を表すデータを示す。1 shows data depicting chemical composition of MoSi x films versus time according to embodiments described herein. 本明細書に記載の実施態様による、基板上に存在する他の材料に対して有利にケイ素上に選択的に堆積したMoSi膜の断面トンネル電子顕微鏡写真(TEM)である。1 is a cross-sectional tunneling electron micrograph (TEM) of a MoSi x film selectively deposited on silicon relative to other materials present on the substrate according to embodiments described herein. 本明細書に記載の実施態様による、バルク酸化ケイ素に対する自然酸化ケイ素の選択的エッチングを示すグラフである。1 is a graph illustrating selective etching of native silicon oxide relative to bulk silicon oxide according to embodiments described herein. 本明細書に記載の実施態様による、接触構造の一部の断面概略図である。2 is a cross-sectional schematic diagram of a portion of a contact structure according to an embodiment described herein.

理解が容易になるよう、可能な場合には、各図に共通する同一の要素を示すために同一の参照番号を使用した。一実施態様の構成要素及び特徴は、さらなる記述がなくとも、他の実施態様に有益に組み込まれ得ると想定されている。 To facilitate understanding, wherever possible, identical reference numbers have been used to designate identical elements common to the figures. It is contemplated that components and features of one embodiment may be beneficially incorporated in other embodiments without further description.

本明細書に記載の実施態様は、領域選択的堆積のためのALD前駆体の、基板に応じた反応性を利用する方法を含む。より具体的には、本開示の実施態様は、MoF及びSiの基板選択性を使用する、SiO、SiON、及びSiNよりも優先的にSi上にMoSiを選択的に堆積させることに関する。化学量論的なMoSi膜を実現するために、Moが豊富なMoSi膜にSiを供給することにより、MoF及びSiのALDサイクルの後に膜への追加のSiの導入が実施された。本明細書に記載の方法は、バルク酸化物材料をエッチングすることなく自然酸化物材料の除去を可能にする、自然酸化物の選択的除去も提供する。 The embodiments described herein include methods that utilize the substrate-dependent reactivity of ALD precursors for area-selective deposition. More specifically, the embodiments of the present disclosure relate to selectively depositing MoSi x on Si in preference to SiO 2 , SiON, and SiN x using the substrate selectivity of MoF 6 and Si 2 H 6. To achieve stoichiometric MoSi 2 films, additional Si was introduced into the film after the ALD cycle of MoF 6 and Si 2 H 6 by supplying Si 2 H 6 to the Mo-rich MoSi x film. The methods described herein also provide selective removal of native oxide, allowing removal of native oxide material without etching the bulk oxide material.

SiO及びSiNよりも優先的にSi上にMoSiを高度に選択的に堆積させることは、MoF及びSi前駆体を使用する原子層堆積(ALD)を介して実現された。堆積の選択性は、反応物質(MoF及びSi)とSiO及びSiN含有基板との間の化学反応性の欠如によって可能になった。対照的に、自己制御的にH終端Si上に核形成されたMoFと、それに続くSiの曝露は、MoFをMoに還元させた。これはMo-Si結合形成と一致する。 Highly selective deposition of MoSi x on Si in preference to SiO 2 and SiN x was achieved via atomic layer deposition (ALD) using MoF 6 and Si 2 H 6 precursors. The selectivity of the deposition was made possible by the lack of chemical reactivity between the reactants (MoF 6 and Si 2 H 6 ) and the SiO 2 and SiN x containing substrates. In contrast, MoF 6 nucleated on H-terminated Si in a self-limiting manner, followed by exposure to Si 2 H 6 reduced MoF x to Mo 0 , consistent with Mo-Si bond formation.

X線光電子分光法(XPS)により、MoF及びSiの5回のALDサイクルが準化学量論的なMoSi膜をSi基板上に選択的に堆積させたことが明らかになった。ALDプロセスでは、MoF及びSi前駆体は、それぞれの連続する前駆体の曝露間にパージを伴って繰り返して、逐次的に循環された。追加のSiを準化学量論的なMoSi膜へ供給することにより、SiO及びSiNに対する堆積選択性を妨げることなく、より多くのSiが膜に導入された。一実施態様では、MoSi膜のバルクは、約1.7と約1.9の間のSi:Mo比を有し、約10%未満のF及びO不純物を有する。本明細書に記載の実施態様は、従来の高圧Si ALDサイクルよりも、例えばソース/ドレインコンタクト構造の形成における、ケイ化物材料の形成に有利であると考えられる。 X-ray photoelectron spectroscopy (XPS) revealed that five ALD cycles of MoF6 and Si2H6 selectively deposited substoichiometric MoSi2 films on Si substrates. In the ALD process, MoF6 and Si2H6 precursors were cycled sequentially, with repeated purging between each successive precursor exposure. By supplying additional Si2H6 to the substoichiometric MoSi2 film, more Si was introduced into the film without interfering with the deposition selectivity to SiO2 and SiNx . In one embodiment, the bulk of the MoSi x film has a Si:Mo ratio between about 1.7 and about 1.9, and has less than about 10% F and O impurities. It is believed that the embodiments described herein are more advantageous for the formation of silicide materials, for example in the formation of source/drain contact structures, than conventional high pressure Si ALD cycles.

MoSiの堆積選択性は、本明細書に記載の実施態様に従って、3次元(3D)ナノスケールSiO及びSiNの特徴を含有するパターン化されたSi基板について分析された。断面透過型電子顕微鏡法(TEM)は、MoSiの選択的堆積がナノスケール3D構造上で実現されることを示した。一実施態様では、1μmあたり約10未満の核がSiO上に存在する。SiOがおよそ10/μmのOH基を有するため、これは、SiO上のOH基とSi上のSi-H基との間の約10:1の選択性に対応している。よって、ケイ化物堆積に関する基板に応じた選択性は、パッシバント(すなわちSAM)を用いないことを可能にすると考えられる。 The deposition selectivity of MoSi x was analyzed on patterned Si substrates containing three-dimensional (3D) nanoscale SiO 2 and SiN x features according to embodiments described herein. Cross-sectional transmission electron microscopy (TEM) showed that selective deposition of MoSi x was achieved on nanoscale 3D structures. In one embodiment, there are less than about 10 nuclei per μm 2 on SiO 2 . Since SiO 2 has approximately 10 7 /μm 2 of OH groups, this corresponds to a selectivity of about 10 7 :1 between OH groups on SiO 2 and Si-H groups on Si. Thus, it is believed that the substrate-dependent selectivity for silicide deposition allows for the elimination of the use of a passivant (i.e., a SAM).

実験
本明細書に記載のMoSiケイ化物形成プロセスにさまざまな基板タイプを用いた。4つのタイプの基板:Pタイプ Si(100)、熱的に成長したSiO/Si(100)、SiON、及びSとSiOとSiNの材料表面を単一基板上に有するパターン化基板を用いた。本明細書に記載されるSiON(酸窒化ケイ素)は、別途記載のない限りSiであり、これは、製造中に反応性イオンエッチングと酸素中プラズマ灰化とを施された。よって、SiON基板は、集積3Dナノスケールデバイスで処理した後のSiの状態と同様の酸素を含有する。
Experimental Various substrate types were used for the MoSi x silicide formation process described herein. Four types of substrates were used: P-type Si(100), thermally grown SiO 2 /Si(100), SiON, and patterned substrates with S, SiO 2 and SiN x material surfaces on a single substrate. The SiON (silicon oxynitride) described herein is Si 3 N 4 unless otherwise stated, which was subjected to reactive ion etching and plasma ashing in oxygen during fabrication. Thus, the SiON substrate contains oxygen similar to the state of Si 3 N 4 after processing with integrated 3D nanoscale devices.

基板を12mm×3mmのピースにダイシングし、アセトン、メタノール、及び脱イオン(DI)HOで脱脂した。脱脂した基板を0.5%のHF(aq)溶液に30秒間浸漬して、Siの自然酸化物を除去した。洗浄手順の一貫性のために、SiO、SiON、及びパターン化基板に同じ洗浄手順を施した。ある実施態様では、自然酸化物の除去プロセスは、米国カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なSICONI(登録商標)前洗浄プロセスである。 The substrates were diced into 12 mm x 3 mm pieces and degreased with acetone, methanol, and deionized (DI) H2O . The degreased substrates were immersed in 0.5% HF(aq) solution for 30 seconds to remove the native oxide of Si. For consistency of the cleaning procedure, the SiO2 , SiON, and patterned substrates were subjected to the same cleaning procedure. In one embodiment, the native oxide removal process is the SICONI® pre-clean process available from Applied Materials, Inc., Santa Clara, Calif., USA.

プラズマベースの自然酸化物の除去プロセスが用いられ得ることも検討される。例えば、NF/H及び/又はNF3/NH3プラズマ洗浄プロセスを用いて、基板のケイ素含有表面を洗浄及び水素終端し得る。SiON基板上では、NFプラズマ処理は、活性ヒドロキシル核形成部位のパッシベーションにより、堆積選択性の損失を防止するか又は実質的に低減すると考えられる。 It is also contemplated that plasma-based native oxide removal processes may be used. For example, NF3 / H2 and/or NF3/NH3 plasma cleaning processes may be used to clean and hydrogen terminate the silicon-containing surface of the substrate. On SiON substrates, NF3 plasma treatment is believed to prevent or substantially reduce the loss of deposition selectivity due to passivation of active hydroxyl nucleation sites.

図8は、プラズマ処理中の時間に応じた自然酸化ケイ素の厚さ及びバルク酸化ケイ素の厚さの選択的エッチングの速度を示すグラフ800である。データ802は、NF/NHプラズマに曝露されたときのバルク酸化ケイ素の厚さを表す。データ804は、NF/NHプラズマに曝露されたときの自然酸化ケイ素の厚さを表す。時間806はNF/NHプラズマがオンにされたときを表し、時間808はNF/NHプラズマがオフにされたときを表す。 8 is a graph 800 showing the rate of selective etching of native silicon oxide thickness and bulk silicon oxide thickness as a function of time during plasma processing. Data 802 represents the bulk silicon oxide thickness when exposed to a NF3 / NH3 plasma. Data 804 represents the native silicon oxide thickness when exposed to a NF3 / NH3 plasma. Time 806 represents when the NF3 / NH3 plasma is turned on and time 808 represents when the NF3 / NH3 plasma is turned off.

一実施態様では、バルク酸化ケイ素に対して選択的に自然酸化ケイ素を選択的にエッチングするためのプラズマは、処理チャンバ中in-situで形成される。あるいは、バルク酸化ケイ素に対して選択的に自然酸化ケイ素を選択的にエッチングするためのプラズマは、処理チャンバへの供給前に、例えば、遠隔プラズマ源によって、遠隔で形成される。プラズマを形成するのに用いられる前駆体はNF及びNHを含む。一実施態様では、自然酸化ケイ素の選択的除去のために、Arなどの不活性ガスを用いて、活性種の基板への供給が容易にされる。 In one embodiment, the plasma for selectively etching native silicon oxide relative to bulk silicon oxide is formed in-situ in the process chamber. Alternatively, the plasma for selectively etching native silicon oxide relative to bulk silicon oxide is formed remotely, for example by a remote plasma source, prior to delivery to the process chamber. Precursors used to form the plasma include NF3 and NH3 . In one embodiment, an inert gas such as Ar is used to facilitate delivery of active species to the substrate for selective removal of native silicon oxide.

一実施態様では、NF:NHの比は、約1:5と約1:20の間、例えば約1:10である。Arキャリアガスを用いる実施態様では、ArはNFよりも多いがNHよりも少ない量で提供される。例えば、NF:NH:Arの比は1:10:1.5である。自然酸化物の選択的除去プロセスが実施される処理チャンバ環境の圧力は、約10mTorrと約1,000mTorrの間、例えば約100mTorrと約500mTorrの間、例えば約200mTorrである。一実施態様では、圧力は約190mTorrである。プラズマを生成するのに用いられる電力は、約10Wと約500Wの間、例えば約50Wと約250Wの間、例えば約100Wである。自然酸化物の除去プロセスが実施される環境の温度は、約30℃と約70℃の間、例えば約40℃と約50℃の間、例えば約45℃である。 In one embodiment, the ratio of NF3 : NH3 is between about 1:5 and about 1:20, e.g., about 1:10. In an embodiment using an Ar carrier gas, Ar is provided in an amount greater than NF3 but less than NH3 . For example, the ratio of NF3 : NH3 :Ar is 1:10:1.5. The pressure of the processing chamber environment in which the selective native oxide removal process is performed is between about 10 mTorr and about 1,000 mTorr, e.g., between about 100 mTorr and about 500 mTorr, e.g., about 200 mTorr. In one embodiment, the pressure is about 190 mTorr. The power used to generate the plasma is between about 10 W and about 500 W, e.g., between about 50 W and about 250 W, e.g., about 100 W. The temperature of the environment in which the native oxide removal process is carried out is between about 30°C and about 70°C, such as between about 40°C and about 50°C, for example about 45°C.

時間806では、プラズマは開始され、自然酸化ケイ素804は、自然酸化ケイ素材料の厚さの減少によって示される厚さの減少を経験する。一実施態様では、プラズマ処理は、1分未満の時間で、例えば、約15秒と約30秒の間といった、40秒未満の時間で実施される。プラズマ曝露の最初の1分以内に、自然酸化ケイ素804はエッチングされ、バルク酸化ケイ素は実質的に厚さの減少を経験しない。これは、バルク酸化ケイ素に対して優先的に自然酸化ケイ素を除去するための高度な選択性を示している。自然酸化物除去プロセスは、窒化ケイ素材料に対しても選択的であるため、自然酸化ケイ素が窒化ケイ素よりも優先的に除去されることも考えられる。 At time 806, the plasma is initiated and the native silicon oxide 804 experiences a reduction in thickness as indicated by a decrease in the thickness of the native silicon oxide material. In one embodiment, the plasma treatment is performed for a time period of less than 1 minute, for example, less than 40 seconds, such as between about 15 seconds and about 30 seconds. Within the first minute of plasma exposure, the native silicon oxide 804 is etched and the bulk silicon oxide experiences substantially no reduction in thickness. This indicates a high degree of selectivity for removing the native silicon oxide preferentially over the bulk silicon oxide. It is also believed that the native oxide removal process is selective to silicon nitride material as well, such that the native silicon oxide is removed preferentially over the silicon nitride.

自然酸化ケイ素の選択的除去後の基板の原子力間顕微鏡分析によって、曝露されたケイ素表面(自然酸化ケイ素が除去された場所)は、サブオングストロームの表面粗さを示すことが明らかになった。ケイ素材料のエッチングは表面を粗くすることが予想されるため、このような粗さは、自然酸化物の除去後に内在するケイ素材料がエッチングされないか又は実質的にエッチングされないことと一致する。 Atomic force microscopy analysis of the substrate after selective removal of the native silicon oxide revealed that the exposed silicon surface (where the native silicon oxide was removed) exhibited sub-Angstrom surface roughness. Since etching of silicon material is expected to roughen the surface, such roughness is consistent with the underlying silicon material not being etched or not substantially etched after removal of the native oxide.

ある実施態様では、(NH)SiF塩などの残留する材料は、自然酸化物の選択的な除去プロセスを実施した後に基板上に留まる場合がある。その塩を除去するために、任意選択的なアニーリング処理が実施される。一実施態様では、アニーリング処理は、約80℃と約160℃の間、例えば、約100℃と約140℃、例えば約120℃である。アニーリングは、例えば塩を揮発させることによって、基板の表面、例えば基板のケイ素表面から塩を除去すると考えられる。 In some embodiments, residual materials such as ( NH4 ) 2 ) SiF6 salts may remain on the substrate after performing the native oxide selective removal process. An optional annealing process is performed to remove the salts. In one embodiment, the annealing process is between about 80°C and about 160°C, e.g., about 100°C and about 140°C, e.g., about 120°C. The annealing is believed to remove the salts from the surface of the substrate, e.g., the silicon surface of the substrate, e.g., by volatilizing the salts.

図9は、本明細書に記載の実施態様による、上に形成された接触構造910を有する基板900の断面概略図である。基板900は、ケイ素材料膜902と、ケイ素材料膜902上に形成されたバルク酸化ケイ素904とを含む。接触構造910は、ケイ素材料膜902の表面906上に形成される。自然酸化物の選択的除去の前に、表面906は、その上に形成された自然酸化物の薄膜を有する。上記の実施態様を用いて、バルク酸化ケイ素904又は内在するケイ素膜材料902を実質的に変更又は除去することなく、自然酸化物は表面906から除去される。 9 is a cross-sectional schematic diagram of a substrate 900 having a contact structure 910 formed thereon, according to embodiments described herein. The substrate 900 includes a silicon material film 902 and a bulk silicon oxide 904 formed on the silicon material film 902. The contact structure 910 is formed on a surface 906 of the silicon material film 902. Prior to selective removal of the native oxide, the surface 906 has a thin layer of native oxide formed thereon. Using the above embodiments, the native oxide is removed from the surface 906 without substantially altering or removing the bulk silicon oxide 904 or the underlying silicon film material 902.

表面906上に形成された接触構造910は、ゲート酸化物914と、スペーサ918と、キャップ920とによって囲まれたゲート916を含む。一実施態様では、ゲート916は金属含有材料である。スペーサ918及びキャップ920は、窒化ケイ素材料などの窒化物含有材料を含む。接触構造910の形成前又は後に、本明細書に記載の自然酸化物の選択的除去プロセスを用いることによって、後に続く金属堆積のための表面906の調整が可能になる。隣接する接触構造910間で形成されたチャネル912における金属堆積は、表面906からキャップ920へ向かって延在する。自然酸化物を表面906から選択的に除去することによって、内在するケイ素材料膜902への金属接着が改善される。 A contact structure 910 formed on the surface 906 includes a gate 916 surrounded by a gate oxide 914, a spacer 918, and a cap 920. In one embodiment, the gate 916 is a metal-containing material. The spacer 918 and the cap 920 include a nitride-containing material, such as a silicon nitride material. The native oxide selective removal process described herein can be used before or after the formation of the contact structure 910 to prepare the surface 906 for subsequent metal deposition. The metal deposition in the channel 912 formed between adjacent contact structures 910 extends from the surface 906 toward the cap 920. Selective removal of the native oxide from the surface 906 improves metal adhesion to the underlying silicon material film 902.

自然酸化物の除去後、基板は高純度のNガスを使用して送風乾燥された。Si、SiO、SiON、及びパターン化基板は、単一基板ホルダに一緒にロードされて、基板が同じALD条件に曝露された。基板は、ターボ分子ポンプで汲み上げ、機械式ポンプでバッキングされたロードロックチャンバにロードされた。ロードロックのベース圧力は、約2.0×10-7Torrであった。続いて、基板をin-situで約3.0×10-10Torrのベース圧力を有する超高真空チャンバに移した。このチャンバは、イオンポンプ及びチタン昇華ポンプによって汲み上げられた。超高真空チャンバには、単色XPS装置、走査型トンネル顕微鏡(STM)、熱分解窒化ホウ素(PBN)ヒータを使用したアニーリングシステムが備えられていた。 After removal of the native oxide, the substrates were blown dry using high purity N2 gas. The Si, SiO2 , SiON, and patterned substrates were loaded together on a single substrate holder to expose the substrates to the same ALD conditions. The substrates were loaded into a load lock chamber pumped by a turbomolecular pump and backed by a mechanical pump. The base pressure of the load lock was about 2.0× 10-7 Torr. The substrates were then transferred in-situ to an ultra-high vacuum chamber with a base pressure of about 3.0× 10-10 Torr. The chamber was pumped by an ion pump and a titanium sublimation pump. The ultra-high vacuum chamber was equipped with a monochromatic XPS instrument, a scanning tunneling microscope (STM), and an annealing system using a pyrolytic boron nitride (PBN) heater.

基板を初めに超高真空チャンバ中で120℃でアニールし、XPSを使用して基板の化学組成を決定した。基板をin-situで約5.0×10-7Torrnベース圧力を有する反応チャンバに移した。MoSiの堆積には、MoF(99%純度)及びSi(99.99%純度)前駆体を用いた。 The substrates were first annealed at 120° C. in an ultra-high vacuum chamber and XPS was used to determine the chemical composition of the substrates. The substrates were transferred in-situ into a reaction chamber with a base pressure of about 5.0×10 −7 Torrn. MoF 6 (99% purity) and Si 2 H 6 (99.99% purity) precursors were used for the deposition of MoSi x .

ALDサイクル中、Nの一定のパージ(80mTorr)を使用し、このパージの圧力はリークバルブを使用して制御された。MoF及びSiの供給は空気圧バルブを使用して制御された。MoF及びSiの供給には膨張用空間を用いた。膨張用空間の利用には、二次空間をMoF又はSiで充填することと、それぞれの二次空間からの前駆体を供給することが含まれる。MoFの充填時間は約10msと約10msの間、例えば約40msである。MoFの供給時間は約10msと約100msの間、例えば約50msである。Siの充填時間は約1msと約50msの間、例えば約18msである。Siの供給時間は約1msと約50msの間、例えば約18msである。 A constant purge of N2 (80 mTorr) was used during the ALD cycle, and the pressure of this purge was controlled using a leak valve. The supply of MoF6 and Si2H6 was controlled using a pneumatic valve. An expansion space was used to supply MoF6 and Si2H6 . The use of the expansion space includes filling the secondary space with MoF6 or Si2H6 and supplying the precursor from the respective secondary space. The filling time of MoF6 is between about 10 ms and about 10 ms, e.g. , about 40 ms. The supply time of MoF6 is between about 10 ms and about 100 ms, e.g., about 50 ms. The filling time of Si2H6 is between about 1 ms and about 50 ms, e.g., about 18 ms. The supply time of Si2H6 is between about 1 ms and about 50 ms, e.g. , about 18 ms .

MoF及びSiの曝露は、ラングミュア(L)を単位として計算され、ここで、1L=1×10-6Torr×1secであった。曝露中の圧力スパイクは、反応チャンバ中の対流ゲージ(convectron gauge)を使用してモニタリングされた。供給量は、MoFに関しては約1.8MegaLであり、Siに関しては約4.2MegaLであった。供給の間に2分の待機時間があった。基板はPBNヒータを使用して加熱され、温度は約100℃と約150℃の間の温度、例えば約120℃で維持された。チャンバ壁は約65℃と約85℃の間の温度で維持された。一実施態様では、MoFの供給量は約1.0MegaLと約10MegaLとの間であった。別の実施態様では、Siの供給量は約1.0MegaLと約10MegaLの間であった。 The exposure of MoF6 and Si2H6 was calculated in Langmuir (L), where 1L= 1x10-6 Torrx1sec. Pressure spikes during exposure were monitored using a convectron gauge in the reaction chamber. The feed rate was about 1.8 MegaL for MoF6 and about 4.2 MegaL for Si2H6 . There was a 2 minute wait between feeds. The substrate was heated using a PBN heater and the temperature was maintained at a temperature between about 100°C and about 150 ° C , for example about 120°C. The chamber walls were maintained at a temperature between about 65°C and about 85°C. In one embodiment, the feed rate of MoF6 was between about 1.0 MegaL and about 10 MegaL. In another embodiment, the supply of Si2H6 was between about 1.0 MegaL and about 10 MegaL.

堆積サイクルの後、XPS及びSTM分析のために、基板をin-situで超高真空チャンバに移した。XPS測定に関して、Al Kαアノード(1486.7 eV)でX線を生成した。0.1eVのステップ幅と50eVのパスエネルギーを有するコンスタントアナライザエネルギー(CAE)を使用してXPSデータを得た。XPS検出器は、基板法線に対して60°(基板表面からの取り出し角度30°)に配置され、検出器の受け入れ角度は7°であった。それぞれの相対感度因子で各ピーク面積を補正した後、Casa XPS v.2.3プログラムを使用してXPSスペクトルを分析した。この研究における化学構成要素のすべては、すべての構成要素の合計に対して正規化された。-1.8Vの基板バイアス及び200pAの定電流で走査型トンネル顕微鏡法を実施した。 After the deposition cycle, the substrates were transferred in-situ to an ultra-high vacuum chamber for XPS and STM analysis. For XPS measurements, X-rays were generated with an Al Kα anode (1486.7 eV). XPS data were obtained using constant analyzer energy (CAE) with a step width of 0.1 eV and a pass energy of 50 eV. The XPS detector was positioned at 60° to the substrate normal (take-off angle 30° from the substrate surface) and the detector acceptance angle was 7°. XPS spectra were analyzed using the Casa XPS v. 2.3 program after correcting each peak area with its respective relative sensitivity factor. All of the chemical components in this study were normalized to the sum of all components. Scanning tunneling microscopy was performed at a substrate bias of -1.8 V and a constant current of 200 pA.

膜のバルクの元素組成を調べるために、XPSと併せてArスパッタリングを実施した。5kVのレンズ電圧と6.0×10-7TorrのArで1.2μAのビーム電流とを使用した。ラスターが基板領域全体をカバーするために使用されたため、電流密度はおよそ1.2uA/50mmであった。MoSi基板はスパッタリング中25℃で維持され、熱脱離が最小限に抑えられた。 Ar + sputtering was performed in conjunction with XPS to investigate the bulk elemental composition of the films. A lens voltage of 5 kV and a beam current of 1.2 μA at 6.0 × 10-7 Torr of Ar were used. A raster was used to cover the entire substrate area, so the current density was approximately 1.2 uA/ 50 mm2. The MoSi x substrates were kept at 25 °C during sputtering to minimize thermal desorption.

結果
図1Aは、120℃でのMoF及びSiの連続供給の前と後のHFで洗浄したSi表面のXPS化学組成のデータを示している。5.4MegaLのMoFの二つのセットを、HFで洗浄したSi基板上に120℃で供給した。XPSは16%でMOの飽和を示した。その後、4.2MegaLのSi及び追加の42MegaLのSiを、MoF飽和Si表面上に120℃で供給し、Siが59%で飽和した。一実施態様では、MoFは約1MegaLと約10MegaLの間で供給された。別の実施態様では、SIは約1MegaLと約10MegaLの間で供給された。別の実施態様では、追加のSiの供給量は約20MegaLと約50MegaLの間であった。
Results FIG. 1A shows XPS chemical composition data of a HF-cleaned Si surface before and after sequential delivery of MoF6 and Si2H6 at 120° C. Two sets of 5.4 MegaL of MoF6 were delivered at 120° C. onto a HF-cleaned Si substrate. XPS showed saturation of MO at 16%. Then, 4.2 MegaL of Si2H6 and an additional 42 MegaL of Si2H6 were delivered onto the MoF6- saturated Si surface at 120° C., resulting in 59% saturation of Si. In one embodiment, MoF6 was delivered between about 1 MegaL and about 10 MegaL. In another embodiment, SI2H6 was delivered between about 1 MegaL and about 10 MegaL. In another embodiment, the amount of additional Si2H6 provided was between about 20 MegaL and about 50 MegaL.

HFで洗浄した後、すべてのSiは、9%のO及び12%Cの汚染物質を含み、0の酸化状態にあった。汚染物質は、基板を真空へ移す間の不定炭化水素吸着によって引き起こされると考えられる。HF(aq)を用いてSi上の自然酸化物を除去し、Si表面をH終端させておく。図1のSi 2pデータはSiの総量を示すのに対し、Si(0)データは0の酸化状態にあるSiの量を示すことに留意されたい。 After cleaning with HF, all the Si was in an oxidation state of 0 with 9% O and 12% C contaminants. The contaminants are believed to be caused by adventitious hydrocarbon adsorption during transfer of the substrate to vacuum. HF(aq) was used to remove the native oxide on the Si, leaving the Si surface H-terminated. Note that the Si 2p data in Figure 1 indicates the total amount of Si, whereas the Si(0) data indicates the amount of Si in an oxidation state of 0.

120℃で5.4MegaLのMoFの後、14%のMo及び38%のFがHF洗浄したSi表面上に堆積した。120℃で追加の5.4MegaLのMoFを供給した後、Mo濃度は14%から16%に増加し、F濃度は38%から42%に増加した。追加の5.4MegaLのMoF後のMo及びF含有量のこの小さな増加は、HF洗浄したSiに対するMoFの反応が自己制御的であることを示している。Si表面がMoFで飽和した後、F/Moの比は2.6であり、Siのすべては0の酸化状態にあった。4.2MegaLのSiと42MegaLのSiの連続供給は、Si反応もMoFで覆われたSi表面上で飽和することを示す。より厚い準化学量論的なMoSi膜を用いると、Siがさらに表面上に導入され得ると考えられる。しかしながら、SiはMoの薄膜(単分子層)に対して自己制御的に反応する。 After 5.4 MegaL of MoF6 at 120°C, 14% Mo and 38% F were deposited on the HF-cleaned Si surface. After supplying an additional 5.4 MegaL of MoF6 at 120°C, the Mo concentration increased from 14% to 16%, and the F concentration increased from 38% to 42%. This small increase in Mo and F content after an additional 5.4 MegaL of MoF6 indicates that the reaction of MoF6 on HF-cleaned Si is self-limiting. After the Si surface was saturated with MoFx , the ratio of F/Mo was 2.6, and all of the Si was in the 0 oxidation state. The successive supply of 4.2 MegaL of Si2H6 and 42 MegaL of Si2H6 indicates that the Si2H6 reaction also saturates on the MoFx -covered Si surface. It is believed that with thicker substoichiometric MoSi2 films, more Si could be introduced onto the surface, however , Si2H6 reacts self-limitingly with thin films (monolayers) of Mo.

Siの飽和後、Si含有量は59%であり、Fは10%に減少した。基板はSiであるため、Si供給後のSi含有量のこの増加は、Fの脱着が発生したため部分的に基板に起因する可能性がある。しかしながら、Si供給後にMoの減衰が観察された。これはSiの堆積と一致している。MoF及びSiのH終端Siに対する反応は、Si-H終端Siに対するMoSi ALDの可能性を説明している。 After saturation of Si2H6 , the Si content was 59% and F was reduced to 10%. Since the substrate is Si, this increase in Si content after Si2H6 supply can be partially attributed to the substrate as F desorption occurred. However, a decay of Mo was observed after Si2H6 supply, which is consistent with Si deposition. The reaction of MoF6 and Si2H6 on H - terminated Si illustrates the feasibility of MoSi x ALD on Si-H-terminated Si.

図1Bは、図1に関して上に記載した同じシリーズのMoF及びSi飽和供給量のXPS化学組成データを示しているが、SiON基板上のものである。説明しているように、反応は観察されなかった。SiON基板は名目上SiONであったが、XPSは表面上ごく少量のNしか示さなかったため、この基板はほとんどがイオン損傷SiOであることに留意されたい。MoFの初めの3回のパルスの後、8%のF及びごく少量のMo(<1%)が観察された。残りの飽和供給量では、SiON表面はMoFとSiの両方に対して非反応性のままであった。この調査で使用されるSiONはイオン損傷しているが、Siは+3及び+4の酸化状態にあり、データは強力なSi-O、Si-N、SiO-H結合と一致しており、よって、SiがMoと結合するのを実質的に防ぐ。 FIG. 1B shows XPS chemical composition data for the same series of MoF6 and Si2H6 saturation doses described above with respect to FIG. 1, but on a SiON substrate. As explained, no reaction was observed. Note that although the SiON substrate was nominally SiON, the XPS showed only small amounts of N on the surface, so this substrate is mostly ion-damaged SiOx . After the first three pulses of MoF6 , 8% F and very small amounts of Mo (<1%) were observed. At the remaining saturation dose, the SiON surface remained unreactive to both MoF6 and Si2H6 . Although the SiON used in this study is ion-damaged, the Si is in the +3 and +4 oxidation states, and the data is consistent with strong Si-O, Si-N, and SiO-H bonds, thus substantially preventing Si from bonding with Mo.

図2A及び2Bは、各実験操作での酸化状態を比較するために示された、HF洗浄したSi基板のSi 2p及びMo 3dのXPSスペクトルを示している。図2Aは、MoF及びSの逐次供給後のSi 2pピークを示しており、120℃で10.8MegaLのMoFの供給後(青線)、Siが0の酸化状態のままであり、これはMo-Si結合形成に一致し、FによるSiのエッチングはなかったことを示している。120℃で4.2MegaLのSi供給後(赤線)、Siのバルクは0の酸化状態に留まった。これは、MoSiの単層の形成に一致する。わずかに酸化したSiピークは、表面でSiH4-x(x=2又は3)又はSiOである可能性のある、高い結合エネルギーで出現した。図2Bは、MoF及びSの逐次供給後のMo 3dピークを示しており、Mo 3dピークがMoFの飽和供給後(黒線及び青線)に多数の酸化状態で存在したことを示す。Siの供給後(赤線)、Moのすべては減少し、ピークは227.4eVで中心にあった。これはMoSi形成に一致する。 Figures 2A and 2B show the Si 2p and Mo 3d XPS spectra of HF-cleaned Si substrates, shown to compare the oxidation states for each experimental run. Figure 2A shows the Si 2p peaks after sequential feeding of MoF6 and S2H6 , indicating that after 10.8 MegaL of MoF6 at 120°C (blue line), Si remained at an oxidation state of 0, consistent with Mo-Si bond formation and no etching of Si by F. After 4.2 MegaL of Si2H6 at 120°C (red line), the bulk of the Si remained at an oxidation state of 0, consistent with the formation of a monolayer of MoSi2 . A slightly oxidized Si peak appeared at the surface at high bond energy, which could be SiHxF4 -x (x=2 or 3) or SiOx . FIG. 2B shows the Mo 3d peaks after sequential feeding of MoF6 and S2H6 , showing that the Mo 3d peaks were present in multiple oxidation states after saturation feeding of MoF6 (black and blue lines). After feeding of Si2H6 (red line), all of the Mo was reduced and the peak was centered at 227.4 eV , consistent with the formation of MoSi2 .

初めの5.4MegaLのMoFの後、Si 2pピークは0の酸化状態のままであった。これはSi-Mo結合形成に一致している。Mo 3dピークは多数の酸化状態で出現した。これは、表面種がMoFであり、x=4、5及び6(黒線)であることを示している。追加の5.4MegaLのMoFは、Si 2pピーク又はMo 3dピークの酸化状態を変更しなかった(青線)。データは、表面でのSi-Mo-F形成を示唆している。MoF飽和供給量の後、F/Mo比は2.6であった(図1A XPSデータ)が、Moは4-6の酸化状態にあったため、いくらかのMo-O結合が形成あると考えられることに留意されたい。4.2MegaLのSi供給後(赤線)、Si 2p XPSピーク上により高い結合エネルギー(103eV)で小さなショルダーピークが出現した。これは、Si-F又はSi-O形成に一致している。Mo 3dスペクトルは、単一のSi供給後、MoのすべてがMoに還元され、結合エネルギーは227.4eVであることを示す。これは、MoSiの単層の形成と、残留する酸素又はフッ素の、Si-O及びSi-F結合の形態のMoからSへの遷移とに一致している。MoF及びSiの簡略化された反応は:
と記載することができる。
After the first 5.4 MegaL of MoF 6 , the Si 2p peak remained at 0 oxidation state, which is consistent with Si-Mo bond formation. The Mo 3d peak appeared in multiple oxidation states, indicating that the surface species was MoF x with x = 4, 5, and 6 (black line). An additional 5.4 MegaL of MoF 6 did not change the oxidation state of the Si 2p or Mo 3d peaks (blue line). The data suggest Si-Mo-F x formation at the surface. Note that after the MoF 6 saturation dosage, the F/Mo ratio was 2.6 (Figure 1A XPS data), but Mo was in oxidation states 4-6, so some Mo-O bonds are likely formed. After 4.2 MegaL of Si 2 H 6 dosage (red line), a small shoulder peak appeared at higher binding energy (103 eV) on the Si 2p XPS peak. This is consistent with Si-F or Si-O formation. The Mo 3d spectrum shows that after a single Si 2 H 6 supply, all of the Mo is reduced to Mo 0 with a bond energy of 227.4 eV. This is consistent with the formation of a single layer of MoSi x and the transfer of residual oxygen or fluorine from Mo to S in the form of Si-O and Si-F bonds. The simplified reaction of MoF 6 and Si 2 H 6 is:
It can be written as follows.

Si基板上のMoSiのALD特性と、SiO及びSiN基板に対する選択性とは、パターン化基板上のMoSi堆積のXPSを介して検証された。図3Aは、三つの基板:HF洗浄したSi、HF洗浄したSiO、及びHF洗浄したパターン化基板のセットの化学組成を示している。図3Bは、120℃でのMoF及びSiの5回のALDサイクルの後の、図3Aの基板のそれぞれの化学組成を示している。データは、Si欠乏MoSiがSi上に選択的に堆積されるがSiO上には堆積されないことを示した。パターン化試料のSi構成要素も、MoSi堆積によって選択的に減衰した。図3Cは、追加の25.2MegaL(3パルスと10パルスの間)のSiの後の、図3Bの基板のそれぞれの化学組成を示している。追加のSiはSiをMoSi表面上に導入した。追加のSiパルス中にSiOに対する選択性は維持された(ALDプロセスを通して、SiOは0%のMo及び0%のSiを有した)。 The ALD characteristics of MoSi x on Si substrates and the selectivity to SiO 2 and SiN x substrates were verified via XPS of MoSi x deposition on patterned substrates. FIG. 3A shows the chemical composition of a set of three substrates: HF-cleaned Si, HF-cleaned SiO 2 , and HF-cleaned patterned substrate. FIG. 3B shows the chemical composition of each of the substrates in FIG. 3A after five ALD cycles of MoF 6 and Si 2 H 6 at 120° C. The data showed that Si-deficient MoSi x was selectively deposited on Si but not on SiO 2 . The Si 0 component of the patterned sample was also selectively attenuated by MoSi x deposition. FIG. 3C shows the chemical composition of each of the substrates in FIG. 3B after an additional 25.2 MegaL (between 3 and 10 pulses) of Si 2 H 6 . The additional Si2H6 introduced Si onto the MoSi x surface. The selectivity to SiO2 was maintained during the additional Si2H6 pulses ( SiO2 had 0% Mo and 0% Si0 throughout the ALD process).

三つの基板を単一基板ホルダ上に一緒にロードし、それらが確実に同一の堆積条件に曝露されるようにした。Si基板及びSiO基板は、パターン化基板上への堆積中に選択性の検証を可能にした。パターン化基板は、Si基板の上部でSiNに挟まれたSiO層を有する。パターン化基板上のSiNは、イオン損傷しており、製造中にOに灰化されたため、実際にはSiONであったことに留意されたい。図3Aに示すように、30sのHF洗浄により、Si上の自然酸化物は除去された。熱的に成長したSiOは300nmの厚さであり、30sのHF洗浄によって、SiOの元素組成又は酸化状態は変更されなかった。HF洗浄したパターン化基板は、SiN、SiO、及びSiの混合物で構成された。 The three substrates were loaded together on a single substrate holder to ensure that they were exposed to the same deposition conditions. The Si and SiO2 substrates allowed for the verification of selectivity during deposition onto the patterned substrate. The patterned substrate has a SiO2 layer sandwiched between SiNx on top of the Si substrate. Note that the SiNx on the patterned substrate was actually SiON since it was ion damaged and ashed to O2 during fabrication. As shown in FIG. 3A, a 30s HF clean removed the native oxide on the Si. The thermally grown SiO2 was 300 nm thick, and the 30s HF clean did not change the elemental composition or oxidation state of the SiO2 . The HF cleaned patterned substrate consisted of a mixture of SiNx , SiOx , and Si0 .

図3Bに示すように、120℃でのMoF及びSiの5回のALDサイクルの後にXPSを実施した。XPSは、Si基板上の32%のMo及び10%のSiの表面組成を示した。これは、Siが非常に欠乏したMoSiに対応する。SiO基板上にMoSiは堆積されず、これは高度に選択的なALDに一致していた。パターン化基板上、XPSは、5%のMoが堆積され、Siが1%に減衰したことを示した。表面上のN及びOの留分は、パターン化基板上でのALD中に有意に変化しない。このデータは、Si欠乏MoSiがパターン化基板上6%のSiに選択的に堆積されていることに一致している。 As shown in FIG . 3B, XPS was performed after five ALD cycles of MoF6 and Si2H6 at 120° C. XPS showed a surface composition of 32% Mo and 10% Si on the Si substrate, which corresponds to MoSi x that is highly depleted in Si. No MoSi x was deposited on the SiO2 substrate, which was consistent with highly selective ALD. On the patterned substrate, XPS showed that 5% Mo was deposited and Si 0 decayed to 1%. The fraction of N and O on the surface does not change significantly during ALD on the patterned substrate. This data is consistent with Si-deficient MoSi x being selectively deposited on 6% Si 0 on the patterned substrate.

パターン化基板上の堆積選択性は、本明細書に記載の実施態様の三つの態様に一致している。(1)MoSi堆積はSi基板上では生じたがSiO基板上では生じなかった。(2)MoSi堆積の後、Si(Si-N及びSi-Oからのより高い酸化状態Siピークではない)はパターン化基板上で減衰した。(3)数値的に、6%のSiを有するパターン化基板上約4%のMo堆積は、Si基板上に32%のMoを有し、HF洗浄した表面上に54%のSiを有することに比例する。 The deposition selectivity on the patterned substrate is consistent with three aspects of the embodiment described herein: (1) MoSi x deposition occurred on the Si substrate but not on the SiO 2 substrate; (2) after MoSi x deposition, the Si 0 (but not the higher oxidation state Si peaks from Si-N and Si-O) attenuated on the patterned substrate; and (3) numerically, the approximately 4% Mo deposition on the patterned substrate with 6% Si 0 is proportional to 32% Mo on the Si substrate and 54% Si 0 on the HF cleaned surface.

MoSiの単層は図1及び図2に記載のALD飽和実験においてSi上に堆積することができたが、連続したALDサイクルでは化学量論的なMoSiは生成されなかった。Si欠乏MoSiの形成は、フルオロシラン除去プロセス中に脱着する表面Si-H種と、標準的なSiの供給では容易に除去されない残留するMo-F結合とによるものであると考えられる。初めの1-3単層では、フッ素の脱着を助けるために存在する基板からの過剰なSiがあるが、より厚い膜では、利用可能なSiはガス状のSiのみであるため、Mo-F表面結合が持続する場合がある。MoF及びSiを使用する全体的なフルオロシラン除去化学は、二つの化学反応のうちの一つに一致している。
1:
2:
Although monolayers of MoSi2 could be deposited on Si in the ALD saturation experiments described in Figures 1 and 2, successive ALD cycles did not produce stoichiometric MoSi2. The formation of Si-deficient MoSi x is believed to be due to surface Si-H species that desorb during the fluorosilane removal process and residual Mo-F bonds that are not easily removed with standard Si2H6 supply. In the first 1-3 monolayers, there is excess Si from the substrate present to aid in fluorine desorption, but in thicker films, the only Si available is gaseous Si2H6 , so Mo-F surface bonds may persist. The overall fluorosilane removal chemistry using MoF6 and Si2H6 is consistent with one of two chemical reactions.
1:
2:

MoSiを形成するために、三つの基板を120℃で追加の25.2MegaL(3パルスと10パルスの間、例えば6パルス)のSiに曝露した(図3Cを参照)。追加のSi曝露後、SiはSi基板上で20%増加した。これは、Siが膜中に又は基板の表面上に導入されていることに一致している。追加のSi供給は、SiOに対するSi上への堆積選択性を低減しなかった。 To form MoSi2 , the three substrates were exposed to an additional 25.2 MegaL (between 3 and 10 pulses, e.g., 6 pulses) of Si2H6 at 120°C (see Figure 3C ). After the additional Si2H6 exposure, Si increased by 20% on the Si substrate, consistent with Si being incorporated into the film or on the surface of the substrate. The additional Si2H6 supply did not reduce the deposition selectivity onto Si relative to SiO2 .

図4A-4Cは、堆積後にアニールされた、HF洗浄したSi、SiO及びSiON上へのMoSiの選択的堆積のXPS化学組成データを示している。図4Aは、HF洗浄後のSi、SiO及びSiON基板のXPS化学組成を示している。図4Bは、MoSiの5回のALDサイクルとそれに続く120℃でのSiの追加の6パルス(25.2MegaL)の後に、MoSiがSi上にのみ選択的に堆積されることを示すXPS化学組成データを示している。図4Cは、520℃で3分間堆積後アニール(PDA)が実施された基板のXPS化学組成データを示している。示しているように、PDAはFをMoSi膜から除去し、MoをMoに還元させた。 4A-4C show XPS chemical composition data for selective deposition of MoSi x on HF cleaned Si, SiO 2 and SiON that were post-deposition annealed. FIG. 4A shows the XPS chemical composition of the Si, SiO 2 and SiON substrates after HF cleaning. FIG. 4B shows XPS chemical composition data indicating that MoSi x is selectively deposited only on Si after five ALD cycles of MoSi x followed by an additional six pulses (25.2 MegaL) of Si 2 H 6 at 120° C. FIG. 4C shows XPS chemical composition data for a substrate that was post-deposition annealed (PDA) at 520° C. for 3 minutes. As shown, the PDA removed F from the MoSi x film and reduced Mo to Mo 0 .

図4Aは、HF洗浄後にSiON表面が主にSiNで構成されることを示している。MoSiの5回のALDとそれに続くSiの追加の25.2MegaLの後、図4Bに示すように、24%のMoと18%のSiがHF洗浄したSi上に存在したのに対して、1%未満のMoがSiO及びSiN表面上で検出された。続いて、三つの基板を520℃で3分間アニールした。これにより、Si基板上でFは25%から3%に減少した。520℃のPDAはまた、Si基板上でMoをMoに還元させ、表面でSi:Mo比を約0.75から約0.5に低下させた。これは、SiHF又はSiFの形態の表面Fの脱着に一致している。PDAのXPS分析は、PDAによりFが膜から除去され、これにより、隣接するMOSFETデバイス構造へのFの拡散の可能性が減少することを示している。 FIG. 4A shows that after HF cleaning, the SiON surface is composed primarily of SiN x . After five ALDs of MoSi x followed by an additional 25.2 MegaL of Si 2 H 6 , less than 1% Mo was detected on the SiO x and SiN x surfaces, as shown in FIG. 4B, compared to 24% Mo and 18% Si present on the HF-cleaned Si. The three substrates were then annealed at 520° C. for 3 minutes, which reduced F from 25% to 3% on the Si substrate. PDA at 520° C. also reduced Mo to Mo 0 on the Si substrate, lowering the Si:Mo ratio at the surface from about 0.75 to about 0.5. This is consistent with the desorption of surface F in the form of SiHF 3 or SiF 4. XPS analysis of the PDA indicates that PDA removes F from the film, thereby reducing the possibility of F diffusion into adjacent MOSFET device structures.

in-situでのSTM及びex-situでの原子力間顕微鏡法(AFM)を使用して、堆積とSi及びSiO基板上PDAとの後に表面トポグラフィーを調査した。MoF及びSiの20サイクル後のHF洗浄したSiの別個の基板をin-situでのSTMのために調製した。STMデータは、MoSi膜が原子的に平坦で、RMS粗さが約2.8Åの共形であることを示した。上記の基板を、約5.0×10-10Torrの圧力の超高真空チャンバ中、500℃で3分間in-situでアニールした。500℃でのアニール後、膜はより平坦で、RMS厚さは約1.7Åになった。 In-situ STM and ex-situ atomic force microscopy (AFM) were used to investigate the surface topography after deposition and PDA on Si and SiO2 substrates. Separate substrates of HF-cleaned Si after 20 cycles of MoF6 and Si2H6 were prepared for in-situ STM. STM data showed that the MoSi x films were atomically flat and conformal with an RMS roughness of about 2.8 Å. The above substrates were annealed in-situ at 500°C for 3 minutes in an ultra-high vacuum chamber at a pressure of about 5.0× 10-10 Torr. After annealing at 500°C, the films were much flatter with an RMS thickness of about 1.7 Å.

120℃で5回のALDサイクルとそれに続くin-situで550℃でのアニール後のMoSi/HF洗浄したSiの別の基板を、N平衡した5% H中900℃でスパイクアニールするためにex-situ炉に入れた。900℃のスパイクアニール後、AFMを使用して表面形態を得た。膜は4.75ÅのサブナノスケールのRMS粗さを保持した。これは、MoSi膜が最大約900℃の高熱安定性を有することを実証した。 Another substrate of MoSi x /HF cleaned Si after five ALD cycles at 120 °C followed by in-situ anneal at 550 °C was placed in an ex-situ furnace for spike annealing at 900 °C in N balanced 5% H 2 . After the 900 °C spike anneal, the surface morphology was obtained using AFM. The film retained a sub-nanoscale RMS roughness of 4.75 Å. This demonstrated that the MoSi x film has high thermal stability up to about 900 °C.

120℃で5回のALDサイクルの供給と、それに続くin-situで550℃で3分間のアニールの後のSiO基板表面のex-situAFM画像データを、選択性を確かめるために、基板表面上の核の数をカウントすることにより実施した。核の密度は約9核/μmであり、これは、SiOよりもSiが優先的に堆積することを裏付けた。本明細書に記載の実施態様の高い堆積選択性は、反応チャンバの壁の温度を制御することにより、及び短い高圧Siパルスと長いパージサイクルを使用してALDを容易にし、CVD堆積計画を回避することによって、さらに改善されると考えられる。 Ex-situ AFM imaging data of the SiO2 substrate surface after five ALD cycles at 120°C followed by in-situ annealing at 550°C for 3 minutes was performed by counting the number of nuclei on the substrate surface to confirm the selectivity. The density of nuclei was about 9 nuclei/ μm2 , confirming the preferential deposition of Si over SiO2 . It is believed that the high deposition selectivity of the embodiments described herein can be further improved by controlling the temperature of the reaction chamber walls and by using short high pressure Si2H6 pulses and long purge cycles to facilitate ALD and avoid CVD deposition regimes.

MoSi膜の内部組成を決定するために、深さプロファイルの調査も実施した。図5Aは、120℃でのMoF及びSiの5回のサイクルの後、HF洗浄したSi上でのArスパッタリング後のXPS化学組成データを示している。図5Bは、逐次的なArスパッタリング後のSi 2pピークを示しており、この結果は、MoSi膜のバルクがほぼSiからなることを示している。図5Cは、120℃でのMoF及びSiの5回のサイクル後のSiでのArスパッタリング時間に対してプロットされた堆積膜の化学組成データを示している。 Depth profile studies were also performed to determine the internal composition of the MoSi x films. Figure 5A shows the XPS chemical composition data after Ar + sputtering on HF-cleaned Si after five cycles of MoF 6 and Si 2 H 6 at 120 °C. Figure 5B shows the Si 2p peaks after sequential Ar + sputtering, indicating that the bulk of the MoSi x film consists of mostly Si 0. Figure 5C shows the chemical composition data of the deposited film plotted against Ar + sputtering time on Si after five cycles of MoF 6 and Si 2 H 6 at 120 °C.

図5Aに示すXPSデータは、追加のSi導入を伴わずにMoF及びSiの5回のALDサイクルを使用して120℃でHF洗浄したSi基板上に堆積されたMoSi膜に由来する。スパッタリング時間が増加すると、内在するSi基板が曝露されるまでMoSi膜は薄くなった。スパッタリングの初めの10分で、Fは35%から8%に減少したのに対して、Moは酸化MoとMoの混合物から純粋なMoに変わった。このデータは、表面Fが主にMoに結合していることに一致している。 The XPS data shown in FIG. 5A is from a MoSi x film deposited on a HF-cleaned Si substrate at 120° C. using five ALD cycles of MoF 6 and Si 2 H 6 without additional Si 2 H 6 introduction. As the sputtering time was increased, the MoSi x film thinned until the underlying Si substrate was exposed. In the first 10 minutes of sputtering, F decreased from 35% to 8%, while Mo changed from a mixture of Mo oxide and Mo 0 to pure Mo 0. This data is consistent with the surface F being primarily bound to Mo.

連続したスパッタリングサイクルの後、Siの量は増加し、Moの量は減少した。さらに、Siの量はSiの総量と共に増加し、合計100分間のスパッタリング時間の後に最大値43%に達した。純粋なMoSi相を区別するためにSi対Moの比が用いられた。これは、純粋なMoSi相では、MoとSiの両方が互いに結合し、0の酸化状態を有するためである。基板表面で酸化ケイ素とMoF種とを除去した後、SiのパーセンテージはMoのパーセンテージを超えた。MoSi膜のバルクにおけるSi:Mo比は1.41であった。これはSi欠乏MoSi膜に対応する。膜の中心ではSi:Mo比は1.77であり、したがって、バックグラウンドO/HOが存在しない場合はSi:Mo比は2に近い可能性があることに留意されたい。 After successive sputtering cycles, the amount of Si increased and the amount of Mo decreased. Furthermore, the amount of Si0 increased with the total amount of Si, reaching a maximum value of 43% after a total sputtering time of 100 min. The ratio of Si0 to Mo0 was used to distinguish the pure MoSi x phase, because in the pure MoSi x phase, both Mo and Si are bonded to each other and have an oxidation state of 0. After removing the silicon oxide and MoF x species at the substrate surface, the percentage of Si0 exceeded the percentage of Mo0 . The Si0 : Mo0 ratio in the bulk of the MoSi x film was 1.41, which corresponds to a Si-deficient MoSi x film. It is noted that in the center of the film, the Si:Mo ratio was 1.77, and therefore the Si0 : Mo0 ratio could be close to 2 in the absence of background O2 / H2O .

図5Bは、図5Aの各XPS測定値に相当するSi 2pの未処理のXPSスペクトルを示している。4回目のスパッタリングサイクルの後、99.2eVでのSiピークは増加し、より高い結合エネルギーに拡大した。対照的に、各スパッタリングサイクルの後、MoピークのエネルギーはMoに対応した。よって、バルクMoSi膜は主としてMoSiの形態のSi及びMoであるが、上面と底部インターフェースはSiOが豊富であると考えられる。上部SiOはチャンバ環境からの汚染物質に一致しているが、底部インターフェースの酸化物は不完全なex-situでのHF洗浄に一致している。 Figure 5B shows the raw XPS spectrum of Si 2p corresponding to each XPS measurement in Figure 5A. After the fourth sputtering cycle, the Si peak at 99.2 eV increased and extended to higher binding energies. In contrast, after each sputtering cycle, the energy of the Mo peak corresponded to Mo 0. Thus, it is believed that the bulk MoSi x film is primarily Si 0 and Mo 0 in the form of MoSi x , while the top and bottom interfaces are rich in SiO x . The top SiO x is consistent with contaminants from the chamber environment, while the oxide at the bottom interface is consistent with an incomplete ex-situ HF clean.

底部インターフェースの準化学量論的な酸化物は、堆積及び膜の品質に影響を及ぼさなかった。これは、MoSi ALDの選択性がSiOの品質の影響を受けやすいことを示している。図5Cは、図5AのXPS測定値から得られた化学構成要素のパーセンテージを示している。第2のスパッタリングサイクル(合計スパッタリング時間の40分間)の後、Fは3%未満に減少し、最終的に0%に達した。膜のバルクのOは<10%であったが、MoSi-Siインターフェースで15%に徐々に増加した。これは、インターフェース酸化物層の存在に一致している。 The substoichiometric oxide at the bottom interface did not affect the deposition and film quality. This indicates that the selectivity of MoSi x ALD is sensitive to the quality of SiO 2 . Figure 5C shows the percentages of the chemical constituents obtained from the XPS measurements in Figure 5A. After the second sputtering cycle (40 min of total sputtering time), F decreased to less than 3% and eventually reached 0%. O in the bulk of the film was <10% but gradually increased to 15% at the MoSi x -Si interface, consistent with the presence of an interface oxide layer.

MoSi膜のSi:Mo比に対する追加のSi供給の影響を理解するために、追加のSiが導入されたMoSiでXPS深さプロファイリングを実施した。120℃でのMoF及びSiの5回のALDと、それに続いて、乾燥洗浄したSiで530℃で3分間アニールした最後に、Siの追加の6パルス(25.2MegaL)を供給した。本明細書に記載のアニール後の乾燥洗浄プロセスは、キャリアガスとしてArを用いてNF及びNHのプラズマを利用する。 To understand the effect of additional Si2H6 supply on the Si:Mo ratio of MoSi x films, XPS depth profiling was performed on MoSi x with additional Si introduced. Five ALD passes of MoF6 and Si2H6 at 120 °C were followed by an additional six pulses (25.2 MegaL) of Si2H6 at the end of a 3 min anneal at 530 °C on dry cleaned Si. The post-anneal dry clean process described herein utilizes a plasma of NF3 and NH3 with Ar as the carrier gas.

図6A-6Dは、追加のSi供給への曝露後のMoSi膜のXPSプロファイルデータを示している。図6Aは、MoF及びSiの5サイクルと、それに続く120℃でのSiの追加の6パルス(25.2MegaL)の後の、Arスパッタリング乾燥洗浄したSi後のXPS化学組成データを示している。図6Bは、MoF及びSiの5回のALDサイクル後、追加のSiパルス無しの、XPS表面組成データを示している。Si:Mo比は5回のALDについては0.33であり、5ALD+6×Siについては0.89であった。これは、表面上のSi導入に一致している。図6Cは、Arスパッタリングを使用して表面汚染物質を除去した後の、Siパルスを伴う場合と伴わない場合のMoSiのXPSバルク組成データを示している。Si:Mo比は5回のALDについては1.77であり、5ALD+6×Siについては1.96であった。図6Dは、MoF及びSiの5サイクルと、それに続く120℃での追加のSiパルスの後のSiでのArスパッタ時間に対してプロットされたMoSi膜のXPS化学組成データを示している。 Figures 6A-6D show XPS profile data of MoSi x films after exposure to additional Si 2 H 6 supply. Figure 6A shows XPS chemical composition data after Ar + sputter dry cleaned Si after 5 cycles of MoF 6 and Si 2 H 6 followed by 6 additional pulses (25.2 MegaL) of Si 2 H 6 at 120°C. Figure 6B shows XPS surface composition data after 5 ALD cycles of MoF 6 and Si 2 H 6 , but without additional Si 2 H 6 pulses. The Si:Mo ratio was 0.33 for 5 ALD and 0.89 for 5 ALD + 6xSi 2 H 6 , which is consistent with Si incorporation on the surface. Figure 6C shows the XPS bulk composition data of MoSi x with and without a Si 2 H 6 pulse after Ar + sputtering was used to remove surface contaminants. The Si:Mo ratio was 1.77 for 5 ALD and 1.96 for 5 ALD + 6 × Si 2 H 6. Figure 6D shows the XPS chemical composition data of MoSi x films plotted against Ar + sputter time on Si after 5 cycles of MoF 6 and Si 2 H 6 followed by an additional Si 2 H 6 pulse at 120 °C.

図6Aは、乾燥洗浄基板で実施された各操作後の一連の深さプロファイルXPSを表している。6×Si/5ALDサイクルの後、28%のF、20%のSi、及び28%のMoが基板表面に存在した。表面のFは、530℃でのアニール後にほとんどが除去され、MoはすべてMoに還元した。これは、図4Cに表されているように表面からのFの脱着に一致していた。この操作では、Si:Mo比は0.89であった。比較すると、図6Bに示されているように、追加のSi供給を伴わないMoSi膜のSi:Mo比はわずか0.33であった。 Figure 6A shows a series of depth profile XPS after each run performed on a dry cleaned substrate. After 6xSi2H6 /5ALD cycles, 28% F, 20% Si, and 28% Mo were present on the substrate surface. The surface F was almost completely removed after annealing at 530°C, and all Mo was reduced to Mo0 . This was consistent with the desorption of F from the surface as shown in Figure 4C. In this run, the Si:Mo ratio was 0.89. In comparison, the Si:Mo ratio of the MoSi x film without additional Si2H6 supply was only 0.33, as shown in Figure 6B.

表面酸化物汚染物質を除去した後、追加のSiパルスを伴うMoSiについて、バルクのSi:Moは1.32(Si:Mo=1.96)であった。これは、図6Cに示されている追加のSi導入を伴わないMoSiのバルクにおけるSi:Mo=1.41(Si:Mo=1.77)と同等であった。よって、追加のSiパルスはALDサイクル後のSi欠乏MoSi表面のSi含有量を増加させると考えられる。対照的に、MoSi膜のバルクにおけるSi:Mo比は化学量論的なMoSiに近かった。図6Dは、Arスパッタ時間に応じた各化学構成要素のXPSパーセンテージを示す。これは、MoSi膜のバルクにおけるMoSiの形成に一致している。 After removing the surface oxide contaminants, the bulk Si0 : Mo0 was 1.32 (Si:Mo=1.96) for MoSi x with the additional Si2H6 pulse, which was comparable to the Si0 :Mo0=1.41 (Si:Mo= 1.77 ) in the bulk of MoSi x without additional Si2H6 introduction shown in FIG. 6C. Thus, the additional Si2H6 pulse is believed to increase the Si content of the Si-deficient MoSi x surface after the ALD cycle. In contrast, the Si:Mo ratio in the bulk of the MoSi x film was close to stoichiometric MoSi2 . FIG. 6D shows the XPS percentage of each chemical component as a function of Ar + sputter time, which is consistent with the formation of MoSi x in the bulk of the MoSi x film.

一実施態様では、4.2MegaLのSiが空気圧バルブを使用して6秒間の持続時間にわたって反応チャンバに導入された。Siプロセス特性は、従来のSi供給パラメータよりも約10倍短い供給持続時間にわたるおよそ3倍のSi曝露を用いる。よって、本明細書に記載の実施態様は、ALD供給中に従来の供給計画と比較して30倍高い分圧を用いる。供給中の30倍高い瞬間圧力は、前駆体介在Si化学吸着層がMoと反応してより多くのSiをMoSi膜に導入するのに十分長く表面上に留まると考えられる。Si導入はまた、自己制御的であり、これによりMoSiの成長速度が約1.2nm/サイクルであることを可能にすると考えられる。 In one embodiment, 4.2 MegaL of Si2H6 was introduced into the reaction chamber using a pneumatic valve for a duration of 6 seconds. The Si2H6 process profile uses approximately 3 times the Si2H6 exposure over a delivery duration that is approximately 10 times shorter than conventional Si2H6 delivery parameters. Thus, the embodiment described herein uses a 30 times higher partial pressure during the ALD delivery compared to conventional delivery schemes. The 30 times higher instantaneous pressure during delivery is believed to allow the precursor-mediated Si2H6 chemisorbed layer to remain on the surface long enough to react with Mo and incorporate more Si into the MoSi x film. The Si incorporation is also believed to be self-limiting, which allows the MoSi x growth rate to be approximately 1.2 nm/cycle.

4端子プローブ測定を使用してMoSi膜の抵抗を測定した。電気測定では、>10000ohm・cmの抵抗を有する、アップドープされたSi(001)が基板として使用された。電気測定では、120℃でのMoSi ALDの10サイクルがHFで洗浄された固有の(半絶縁性)Si基板上に堆積され、それに続いて、in-situでの550℃で3分間のアニールと、N平衡した5%のH中900℃でのスパイクアニールとがなされた。少量のNiがプローブコンタクトとして堆積された。抵抗は110Ohmであり、抵抗は無限シート近似を使用して以下:
[式中、kは定数であり、tは厚さであり、Rmaxは測定された最大抵抗値である]
のように計算された。
The resistance of the MoSi x films was measured using four-point probe measurements. For electrical measurements, up-doped Si(001) with a resistivity of >10000 ohm-cm was used as the substrate. For electrical measurements, 10 cycles of MoSi x ALD at 120° C. were deposited on HF-cleaned intrinsic (semi-insulating) Si substrates, followed by an in-situ anneal at 550° C. for 3 minutes and a spike anneal at 900° C. in 5% H 2 balanced with N 2. A small amount of Ni was deposited as the probe contact. The resistance was 110 Ohm and the resistance was calculated using the infinite sheet approximation as follows:
where k is a constant, t is the thickness, and Rmax is the maximum resistance measured.
was calculated as follows:

ナノ構造パターン上でのMoSiの選択性を確かめるために、パターン化基板上で断面TEM調査が実施された。図7は、MoSi/HF洗浄したパターン化基板の断面TEM画像である。HF洗浄したパターン化基板では、MoSi ALDの5を5サイクルと、それに続いて、追加の25.2MegaLのSiを120℃で供給した。各堆積工程におけるこの基板の元素組成を図3A-3Cに示す。TEM画像は、SiNでもSiOでもなく、Si上へのMoSiの堆積の完全な選択性を示す。5回のALDサイクルと、それに続く追加の25.2MegaLの後のSi上に堆積したMoSi膜の厚さは、約6.3nmであった。これは約1.2nm/サイクルの成長速度を達成した。MoSi ALDの1サイクル当たりの成長速度により、コンタクト材料及びコンタクトデバイス構造には5ALDサイクルで十分であると考えられる。 To confirm the selectivity of MoSi x on the nanostructured patterns, cross-sectional TEM studies were performed on the patterned substrate. Figure 7 shows a cross-sectional TEM image of a MoSi x /HF cleaned patterned substrate. The HF cleaned patterned substrate was subjected to 5 x 5 cycles of MoSi x ALD followed by an additional 25.2 MegaL of Si 2 H 6 at 120°C. The elemental composition of this substrate at each deposition step is shown in Figures 3A-3C. The TEM images show the complete selectivity of the deposition of MoSi x on Si, not on SiN x or SiO 2. The thickness of the MoSi x film deposited on Si after 5 ALD cycles followed by an additional 25.2 MegaL was about 6.3 nm. This achieved a growth rate of about 1.2 nm/cycle. Due to the growth rate per cycle of MoSi x ALD, 5 ALD cycles are considered sufficient for contact materials and contact device structures.

熱成長させたSiO、イオン損傷SiON、及びSiNに対して、水素終端Si上に選択的プロセスを行うことによって、準化学量論的なMoSiの選択的原子層堆積が達成された。選択性は、SiO又はSiNではなく、H-Si上のMoF及びSiの好適な反応性に基づくものであり、これは、Si-O、Si-N,及びSiO-H結合が十分に強いために、120℃でいずれかの前駆体によってそれらを切断することができないためである。Both MoF及びSiはどちらも自己制御的な挙動を示した。これは、2.8Åの二乗平均(RMS)粗さを有する共形性の高い滑らかな膜の堆積を可能にした。約500℃から550℃の温度での超高真空内での3分間のPDAにより、RMS粗さが1.7Åまでさらに減少した。H/N環境での900℃のスパイクアニール後でさえも、MoSi膜の品質が保たれ、これは高い熱安定性に一致する。 Selective atomic layer deposition of substoichiometric MoSi2 was achieved by selective processing on hydrogen-terminated Si relative to thermally grown SiO2 , ion-damaged SiON , and SiNx . The selectivity was based on the preferred reactivity of MoF6 and Si2H6 on H-Si, but not SiO2 or SiNx , because the Si-O, Si-N, and SiO-H bonds are strong enough that they cannot be broken by either precursor at 120°C. Both MoF6 and Si2H6 exhibited self-limiting behavior. This allowed the deposition of highly conformal, smooth films with a root-mean-square (RMS) roughness of 2.8 Å . Three minutes of PDA in ultra-high vacuum at temperatures of about 500°C to 550°C further reduced the RMS roughness to 1.7 Å. The quality of the MoSi x films is preserved even after a spike anneal at 900° C. in a H 2 /N 2 environment, which is consistent with high thermal stability.

深さプロファイリングXPS調査によって、MoSiのバルクは、<10%の酸素及びフッ素を有する化学量論的MoSi(Si:Mo=1.7-1.9)に近いことが明らかとなった。5回のALDサイクルの後のMoSi膜の表面は、0.33のSi:Mo比を有する、Siが非常に欠乏しているMoSi表面を示し、この表面のSi:Mo比は、追加のSiをパルスすることによって、0.89に改善される。断面TEM画像は、ナノスケールで選択性が保持され、基板を消耗せずにSi上にMoSiを選択的に堆積することができることを示している。 Depth profiling XPS studies reveal that the bulk of the MoSi x is close to stoichiometric MoSi 2 (Si:Mo=1.7-1.9) with <10% oxygen and fluorine. The surface of the MoSi x film after five ALD cycles shows a highly Si-depleted MoSi x surface with a Si:Mo ratio of 0.33, which is improved to 0.89 by pulsing additional Si 2 H 6. Cross-sectional TEM images show that selectivity is preserved at the nanoscale, allowing selective deposition of MoSi x on Si without consuming the substrate.

約1.2nm/サイクルのMoSi膜の成長速度により、MoSi膜をコンタクト材料として用いるのに10回未満のALDサイクル、例えば5回のALDサイクルで十分になる。したがって、従来のALDプロセスと比較すると、本明細書書に記載の実施態様を用いることによってプロセススループットが向上する。MoSiの選択的堆積は、複雑な3D MOSFET構造(例えばFinFET)のためにリソグラフィ処理への依存を排除する、又は依存を実質的に低減すると考えられる。SiO-H結合に対するSi-H結合の選択性は、10を超える。よって、追加のパッシベーション層さえも使用せずに、ナノスケールの高い選択性が可能である。本明細書に記載の実施態様は、還元剤のALDパルス中の分圧を変えることによって、選択性を保持しながら、金属に対するシリサイドのALDを容易に切り替えることができることも示している。 The growth rate of the MoSi x film of about 1.2 nm/cycle makes less than 10 ALD cycles, e.g., 5 ALD cycles, sufficient to use the MoSi x film as a contact material. Thus, compared to conventional ALD processes, process throughput is improved using the embodiments described herein. The selective deposition of MoSi x is believed to eliminate or substantially reduce the dependency on lithographic processing for complex 3D MOSFET structures (e.g., FinFETs). The selectivity of Si-H bonds over SiO-H bonds is greater than 10 6. Thus, high nanoscale selectivity is possible, even without the use of additional passivation layers. The embodiments described herein also show that ALD of silicide over metal can be easily switched while retaining selectivity by changing the partial pressure during the ALD pulse of the reducing agent.

上記の説明は本開示の実施態様を対象としているが、本開示の基本的な範囲から逸脱しなければ、本開示の他の実施態様及び更なる実施態様が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。 While the above description is directed to embodiments of the present disclosure, other and further embodiments of the present disclosure may be devised without departing from the basic scope of the present disclosure, the scope of which is determined by the following claims.

Claims (13)

基板処理方法であって、
バルク酸化ケイ素及び自然酸化ケイ素を含むケイ素含有基板を、NF前駆体及びNH前駆体から形成されたプラズマ並びにArに曝露して、前記基板に含まれるバルク酸化ケイ素及び自然酸化ケイ素のうち、前記自然酸化ケイ素を選択的かつ優先的に除去することを含み、前記曝露することが、
前記基板を約40℃と約50℃の間の温度に加熱すること、及び
約40秒未満の期間、前記基板を前記プラズマとArに曝露すること、
を含み、
前記NF 前駆体、前記NH 前駆体、及びArの比(NF :NH :Ar)は、1:10:1.5である、方法。
A method for processing a substrate, comprising:
The method includes exposing a silicon-containing substrate, the silicon-containing substrate including bulk silicon oxide and native silicon oxide, to a plasma formed from a NF3 precursor and a NH3 precursor and Ar to selectively and preferentially remove the native silicon oxide from the bulk silicon oxide and native silicon oxide contained in the substrate, the exposing comprising:
heating the substrate to a temperature between about 40° C. and about 50° C.; and exposing the substrate to the plasma and Ar for a period of less than about 40 seconds.
Including,
The method wherein the ratio of the NF3 precursor , the NH3 precursor , and Ar (NF3 : NH3 : Ar) is 1:10:1.5 .
基板処理方法であって、
バルク酸化ケイ素及び自然酸化ケイ素を含むケイ素含有基板を、NF前駆体及びNH前駆体から形成されたプラズマに曝露して、前記基板に含まれるバルク酸化ケイ素及び自然酸化ケイ素のうち、前記自然酸化ケイ素を選択的かつ優先的に除去することであって、
前記基板を約40℃と約50℃の間の温度に加熱すること、及び
約40秒未満の期間、前記基板を前記プラズマに曝露すること、
を含む、前記自然酸化ケイ素を選択的かつ優先的に除去することと、
前記基板を第1の温度に加熱することと、
前記基板を、水素を含むプラズマに曝露することと、
前記基板をMoF前駆体の第1の供給量に曝露することと、
前記基板をSi前駆体の第2の供給量に曝露することと、
前記基板を前記第1の供給量に曝露することと、前記基板を前記第2の供給量に曝露することとを連続サイクルで行うことと、
前記連続サイクル後に、前記基板をSi前駆体の第3の供給量に曝露することと、
を含む、方法。
A method for processing a substrate, comprising:
1. Exposing a silicon-containing substrate, comprising bulk silicon oxide and native silicon oxide, to a plasma formed from a NF3 precursor and a NH3 precursor to selectively and preferentially remove the native silicon oxide from among the bulk silicon oxide and native silicon oxide contained in the substrate,
heating the substrate to a temperature between about 40° C. and about 50° C.; and exposing the substrate to the plasma for a period of less than about 40 seconds.
selectively and preferentially removing the native silicon oxide, comprising:
heating the substrate to a first temperature;
exposing the substrate to a plasma comprising hydrogen;
exposing the substrate to a first supply of MoF6 precursor;
exposing the substrate to a second supply of Si2H6 precursor ;
exposing the substrate to the first supply and exposing the substrate to the second supply in successive cycles;
exposing the substrate to a third supply of Si2H6 precursor after the successive cycles;
A method comprising:
前記基板を約500℃と約550℃の間の第2の温度で前記第3の供給量に曝露した後に、前記基板をアニールすることをさらに含む、請求項に記載の方法。 The method of claim 2 , further comprising annealing the substrate after exposing the substrate to the third dose at a second temperature between about 500° C. and about 550° C. 前記第1の温度が約100℃と約150℃の間である、請求項に記載の方法。 The method of claim 2 , wherein the first temperature is between about 100° C. and about 150° C. 前記連続サイクルが10回未満実施される、請求項に記載の方法。 3. The method of claim 2 , wherein the successive cycles are performed less than 10 times. 水素を含む前記プラズマが、NF、NH、及びHからなる群より選択される前駆体から形成される、請求項に記載の方法。 The method of claim 2 , wherein the plasma containing hydrogen is formed from a precursor selected from the group consisting of NF 3 , NH 3 , and H. を用いる窒素パージプロセスが前記連続サイクル中に実施される、請求項に記載の方法。 3. The method of claim 2 , wherein a nitrogen purge process using N2 is performed during said successive cycles. 前記第1の供給量が約10msと約100msの間の持続時間で実施される、請求項に記載の方法。 The method of claim 2 , wherein the first delivery is performed for a duration between about 10 ms and about 100 ms. 前記第1の供給量が、約1MegaLと約10MegaLの間のMoF流量を含む、請求項に記載の方法。 9. The method of claim 8 , wherein the first supply comprises a MoF6 flow rate between about 1 MegaL and about 10 MegaL. 前記第2の供給量が約1msと約50msの間の持続時間で実施される、請求項に記載の方法。 9. The method of claim 8 , wherein the second delivery is performed for a duration between about 1 ms and about 50 ms. 前記第2の供給量が、約1MegaLと約10MegaLの間のSi流量を含む、請求項10に記載の方法。 The method of claim 10 , wherein the second supply rate comprises a Si 2 H 6 flow rate between about 1 MegaL and about 10 MegaL. 前記第3の供給量が、約20MegaLと約50MegaLの間のSi流量を含む、請求項11に記載の方法。 The method of claim 11 , wherein the third supply rate comprises a Si 2 H 6 flow rate between about 20 MegaL and about 50 MegaL. 基板処理方法であって、
バルク酸化ケイ素及び自然酸化ケイ素を含むケイ素含有基板を、チャンバ壁を有する反応チャンバ中のヒータ上に配置することと、
前記基板をNF前駆体及びNH前駆体から形成されたプラズマに曝露して、前記基板に含まれるバルク酸化ケイ素及び自然酸化ケイ素のうち、前記自然酸化ケイ素を選択的かつ優先的に除去することであって、
前記基板を約40℃と約50℃の間の温度に加熱すること、及び
約40秒未満の期間、前記基板を前記プラズマに曝露すること、
を含む、前記自然酸化ケイ素を選択的かつ優先的に除去することと、
前記ヒータ上の前記基板を第1の温度に加熱することと、
前記チャンバ壁を前記第1の温度より低い第2の温度で維持することと、
前記基板のケイ素含有表面を水素に曝露することと、
前記基板をMoF前駆体の第1の供給量に曝露することと、
前記基板をSi前駆体の第2の供給量に曝露することと、
前記基板を前記第1の供給量に曝露することと、前記基板を前記第2の供給量に曝露することとを連続サイクルで行うことと、
前記連続サイクル後に、前記基板をSi前駆体の第3の供給量に曝露することと、
を含む、方法。
A method for processing a substrate, comprising:
placing a silicon-containing substrate comprising bulk silicon oxide and native silicon oxide on a heater in a reaction chamber having chamber walls;
exposing the substrate to a plasma formed from a NF3 precursor and a NH3 precursor to selectively and preferentially remove the native silicon oxide from among bulk silicon oxide and native silicon oxide contained in the substrate;
heating the substrate to a temperature between about 40° C. and about 50° C.; and exposing the substrate to the plasma for a period of less than about 40 seconds.
selectively and preferentially removing the native silicon oxide, comprising:
heating the substrate on the heater to a first temperature;
maintaining the chamber walls at a second temperature lower than the first temperature;
exposing the silicon-containing surface of the substrate to hydrogen;
exposing the substrate to a first supply of MoF6 precursor;
exposing the substrate to a second supply of Si2H6 precursor ;
exposing the substrate to the first supply and exposing the substrate to the second supply in successive cycles;
exposing the substrate to a third supply of Si2H6 precursor after the successive cycles;
A method comprising:
JP2021524215A 2018-11-13 2019-09-25 Selective deposition of metal silicides and selective removal of oxides Active JP7503547B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/189,429 US10586707B2 (en) 2017-05-26 2018-11-13 Selective deposition of metal silicides
US16/189,429 2018-11-13
PCT/US2019/052967 WO2020101806A1 (en) 2018-11-13 2019-09-25 Selective deposition of metal silicides and selective oxide removal

Publications (3)

Publication Number Publication Date
JP2022506677A JP2022506677A (en) 2022-01-17
JPWO2020101806A5 JPWO2020101806A5 (en) 2022-10-03
JP7503547B2 true JP7503547B2 (en) 2024-06-20

Family

ID=70731667

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021524215A Active JP7503547B2 (en) 2018-11-13 2019-09-25 Selective deposition of metal silicides and selective removal of oxides

Country Status (6)

Country Link
EP (1) EP3881349A4 (en)
JP (1) JP7503547B2 (en)
KR (1) KR20210076166A (en)
CN (1) CN113348532A (en)
TW (1) TWI833831B (en)
WO (1) WO2020101806A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115739190B (en) * 2022-11-14 2024-02-13 江南大学 Metal complex catalyst for phytic acid and preparation method and application thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009533877A (en) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド Process for forming cobalt-containing materials
JP2011508433A (en) 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド Passivation layer formation by plasma clean process to reduce native oxide growth

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20040102033A1 (en) * 2002-11-21 2004-05-27 Texas Instruments, Incorporated Method for forming a ternary diffusion barrier layer
US8455352B1 (en) * 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US9875907B2 (en) * 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
TWI716511B (en) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 Conformal amorphous silicon as nucleation layer for w ald process
US10468263B2 (en) * 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009533877A (en) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド Process for forming cobalt-containing materials
JP2011508433A (en) 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド Passivation layer formation by plasma clean process to reduce native oxide growth

Also Published As

Publication number Publication date
TWI833831B (en) 2024-03-01
KR20210076166A (en) 2021-06-23
WO2020101806A1 (en) 2020-05-22
TW202035759A (en) 2020-10-01
JP2022506677A (en) 2022-01-17
EP3881349A1 (en) 2021-09-22
EP3881349A4 (en) 2022-08-24
CN113348532A (en) 2021-09-03

Similar Documents

Publication Publication Date Title
TWI804706B (en) Method of topology-selective film formation of silicon oxide
EP3424070B1 (en) Improved self-assembled monolayer blocking with intermittent air-water exposure
TW202129053A (en) Method of topology-selective film formation of silicon oxide
KR100660890B1 (en) Method for forming silicon dioxide film using atomic layer deposition
US7183208B2 (en) Methods for treating pluralities of discrete semiconductor substrates
TW202225459A (en) METHOD OF FORMING SiOCN THIN FILM
US20060024959A1 (en) Thin tungsten silicide layer deposition and gate metal integration
US10483097B2 (en) Method for cleaning, passivation and functionalization of Si—Ge semiconductor surfaces
US20140349480A1 (en) Cobalt selectivity improvement in selective cobalt process sequence
US10475655B2 (en) Selective deposition of metal silicides
JP2004006699A (en) Manufacturing method for semiconductor device, and substrate processing apparatus
KR20190128668A (en) Treatment approach to improve film roughness by improving nucleation / adhesion of silicon oxide
JP7503547B2 (en) Selective deposition of metal silicides and selective removal of oxides
US20180019116A1 (en) Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ald
US10586707B2 (en) Selective deposition of metal silicides
TWI780157B (en) Selective deposition of metal silicides
JP5177660B2 (en) Insulating film formation method
TWI842531B (en) Method of topology-selective film formation of silicon oxide
KR20190097560A (en) Atomic layer etching method for Si
Choi Area-selective Atomic Layer Deposition of Silicide and Oxides Using Inherent Substrate Dependent Processes
TW201842534A (en) Surface functionalization and passivation with a control layer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220922

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220922

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240610

R150 Certificate of patent or registration of utility model

Ref document number: 7503547

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150