JP7495404B2 - Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, method for manufacturing electronic device, composition container - Google Patents

Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, method for manufacturing electronic device, composition container Download PDF

Info

Publication number
JP7495404B2
JP7495404B2 JP2021527426A JP2021527426A JP7495404B2 JP 7495404 B2 JP7495404 B2 JP 7495404B2 JP 2021527426 A JP2021527426 A JP 2021527426A JP 2021527426 A JP2021527426 A JP 2021527426A JP 7495404 B2 JP7495404 B2 JP 7495404B2
Authority
JP
Japan
Prior art keywords
group
moiety
composition
compound
represented
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021527426A
Other languages
Japanese (ja)
Other versions
JPWO2020261753A1 (en
Inventor
和博 丸茂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Corp
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of JPWO2020261753A1 publication Critical patent/JPWO2020261753A1/ja
Application granted granted Critical
Publication of JP7495404B2 publication Critical patent/JP7495404B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明は、感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法、及び組成物収容体に関する。The present invention relates to an actinic ray-sensitive or radiation-sensitive resin composition, a resist film, a pattern forming method, a method for manufacturing an electronic device, and a composition container.

KrFエキシマレーザー(248nm)用レジスト以降、光吸収による感度低下を補うべく、化学増幅を利用したパターン形成方法が用いられている。例えば、ポジ型の化学増幅法では、まず、露光部に含まれる光酸発生剤が、光照射により分解して酸を発生する。そして、露光後のベーク(PEB:Post Exposure Bake)過程等において、発生した酸の触媒作用により、感活性光線性又は感放射線性樹脂組成物に含まれる樹脂が有するアルカリ不溶性の基をアルカリ可溶性の基に変化させる等して現像液に対する溶解性を変化させる。その後、例えば塩基性水溶液を用いて、現像を行う。これにより、露光部を除去して、所望のパターンを得る。
半導体素子の微細化のために、露光光源の短波長化及び投影レンズの高開口数(高NA)化が進み、現在では、193nmの波長を有するArFエキシマレーザーを光源とする露光機が開発されている。
このような現状のもと、感活性光線性又は感放射線性樹脂組成物(レジスト組成物)として、種々の構成が提案されている
After the resist for KrF excimer laser (248 nm), a pattern formation method using chemical amplification has been used to compensate for the decrease in sensitivity due to light absorption. For example, in the positive-type chemical amplification method, first, a photoacid generator contained in the exposed portion is decomposed by light irradiation to generate an acid. Then, in a post-exposure bake (PEB) process or the like, the catalytic action of the generated acid changes the alkali-insoluble group of the resin contained in the actinic ray-sensitive or radiation-sensitive resin composition to an alkali-soluble group, thereby changing the solubility in the developer. Then, development is performed using, for example, a basic aqueous solution. As a result, the exposed portion is removed to obtain a desired pattern.
In order to miniaturize semiconductor elements, the wavelength of exposure light sources has become shorter and the numerical aperture (NA) of projection lenses has become higher, and currently, exposure machines that use an ArF excimer laser having a wavelength of 193 nm as a light source have been developed.
Under these circumstances, various compositions have been proposed for actinic ray- or radiation-sensitive resin compositions (resist compositions).

例えば、特許文献1では、レジスト組成物に使用する成分として、下記式(I)で表される塩を含む酸発生剤が開示されている。For example, Patent Document 1 discloses an acid generator containing a salt represented by the following formula (I) as a component used in a resist composition.

特開2015-024989号JP 2015-024989 A

本発明者らは、特許文献1に記載されたレジスト組成物について検討したところ、所定期間保管後の上記レジスト組成物を用いてパターンを形成した際、パターンに欠陥が多く発生していることを知見した。つまり、上記レジスト組成物には、所定期間保管後にパターン形成に供される場合であっても、得られるパターンに欠陥を生じさせないための更なる改善が必要であることを明らかとした。The present inventors have studied the resist composition described in Patent Document 1 and have found that when a pattern is formed using the resist composition after storage for a specified period of time, many defects occur in the pattern. In other words, it has become clear that the resist composition requires further improvement so as to prevent defects from occurring in the resulting pattern even when the resist composition is used to form a pattern after storage for a specified period of time.

そこで、本発明は、所定期間保管された後であっても欠陥が抑制されたパターンを形成し得る感活性光線性又は感放射線性樹脂組成物を提供することを課題とする。
また、本発明は、上記感活性光線性又は感放射線性樹脂組成物を用いたレジスト膜及びパターン形成方法、並びに上記パターン形成方法を用いた電子デバイスの製造方法を提供することを課題とする。
また、本発明は、上記感活性光線性又は感放射線性樹脂組成物を含む、組成物収容体を提供することを課題とする。
Therefore, an object of the present invention is to provide an actinic ray-sensitive or radiation-sensitive resin composition capable of forming a pattern with reduced defects even after storage for a predetermined period of time.
Another object of the present invention is to provide a resist film and a pattern forming method using the actinic ray-sensitive or radiation-sensitive resin composition, as well as a method for manufacturing an electronic device using the pattern forming method.
Another object of the present invention is to provide a composition container containing the actinic ray-sensitive or radiation-sensitive resin composition.

本発明者らは、上記課題を解決すべく鋭意検討した結果、以下の構成により上記課題を解決できることを見出した。As a result of intensive research into solving the above problems, the inventors have discovered that the above problems can be solved by the following configuration.

〔1〕 酸の作用により分解して極性が増大する樹脂と、活性光線又は放射線の照射によって酸を発生する化合物と、溶剤とを含む感活性光線性又は感放射線性樹脂組成物であって、
上記活性光線又は放射線の照射によって酸を発生する化合物が、後述する化合物(I)~化合物(III)からなる群より選ばれる1種以上を含み、
含水率が、組成物の全質量に対して、1.00質量%以下である、感活性光線性又は感放射線性樹脂組成物。
〔2〕 上記含水率が、組成物の全質量に対して、0.50質量%以下である、〔1〕に記載の感活性光線性又は感放射線性樹脂組成物。
〔3〕 上記含水率が、組成物の全質量に対して、0.30質量%以下である、〔1〕又は〔2〕に記載の感活性光線性又は感放射線性樹脂組成物。
〔4〕 上記含水率が、組成物の全質量に対して、0.03~0.30質量%である、〔1〕~〔3〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物。
〔5〕 下記式(1X)で表される量比Tが、4.0~500.0である、〔1〕~〔4〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物。
式(1X):量比T=組成物中の固形分量に対する、上記化合物(I)~上記化合物(III)からなる群より選ばれる化合物の含有率(質量%)/組成物の全質量に対する含水率(質量%)
〔6〕 〔1〕~〔5〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物を用いて形成された、レジスト膜。
〔7〕 〔1〕~〔5〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物を用いて、支持体上にレジスト膜を形成する工程と、
上記レジスト膜を露光する工程と、
上記露光されたレジスト膜を、現像液を用いて現像する工程と、を有する、パターン形成方法。
〔8〕 〔7〕に記載のパターン形成方法を含む、電子デバイスの製造方法。
〔9〕 収容容器と、上記収容容器に収容された〔1〕~〔5〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物とを有する、組成物収容体。
〔10〕 上記収容容器内の上記感活性光線性又は感放射線性樹脂組成物と接触する領域の材料が樹脂である、〔9〕に記載の組成物収容体。
〔11〕 上記収容容器内の上記感活性光線性又は感放射線性樹脂組成物と接触する領域の材料が、ポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上である、〔9〕又は〔10〕に記載の組成物収容体。
[1] An actinic ray-sensitive or radiation-sensitive resin composition comprising a resin that decomposes under the action of an acid to increase its polarity, a compound that generates an acid when irradiated with actinic rays or radiation, and a solvent,
the compound that generates an acid upon irradiation with actinic rays or radiation includes at least one selected from the group consisting of compounds (I) to (III) described below,
An actinic ray-sensitive or radiation-sensitive resin composition having a water content of 1.00 mass % or less, based on the total mass of the composition.
[2] The actinic ray-sensitive or radiation-sensitive resin composition according to [1], wherein the water content is 0.50 mass% or less, based on the total mass of the composition.
[3] The actinic ray-sensitive or radiation-sensitive resin composition according to [1] or [2], wherein the water content is 0.30 mass% or less based on the total mass of the composition.
[4] The actinic ray-sensitive or radiation-sensitive resin composition according to any one of [1] to [3], wherein the water content is 0.03 to 0.30 mass % based on the total mass of the composition.
[5] The actinic ray-sensitive or radiation-sensitive resin composition according to any one of [1] to [4], wherein the quantitative ratio T represented by the following formula (1X) is 4.0 to 500.0:
Formula (1X): Amount ratio T = content (mass%) of the compound selected from the group consisting of the above-mentioned compounds (I) to (III) relative to the solid content in the composition / water content (mass%) relative to the total mass of the composition
[6] A resist film formed using the actinic ray-sensitive or radiation-sensitive resin composition according to any one of [1] to [5].
[7] A process for forming a resist film on a support using the actinic ray-sensitive or radiation-sensitive resin composition according to any one of [1] to [5];
exposing the resist film to light;
and developing the exposed resist film with a developer.
[8] A method for manufacturing an electronic device, comprising the pattern forming method according to [7].
[9] A composition container comprising a container and the actinic ray-sensitive or radiation-sensitive resin composition according to any one of [1] to [5] contained in the container.
[10] The composition container according to [9], wherein a material of a region in the container that comes into contact with the actinic ray-sensitive or radiation-sensitive resin composition is a resin.
[11] The composition container according to [9] or [10], wherein a material of a region in the container that comes into contact with the actinic ray-sensitive or radiation-sensitive resin composition is one or more selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins.

本発明によれば、所定期間保管された後であっても欠陥が抑制されたパターンを形成し得る感活性光線性又は感放射線性樹脂組成物を提供できる。
また、本発明によれば、上記感活性光線性又は感放射線性樹脂組成物を用いたレジスト膜及びパターン形成方法、並びに上記パターン形成方法を用いた電子デバイスの製造方法を提供できる。
また、本発明によれば、上記感活性光線性又は感放射線性樹脂組成物を含む、組成物収容体を提供できる。
According to the present invention, there is provided an actinic ray-sensitive or radiation-sensitive resin composition capable of forming a pattern with reduced defects even after storage for a predetermined period of time.
Furthermore, according to the present invention, there can be provided a resist film and a pattern forming method using the above-mentioned actinic ray-sensitive or radiation-sensitive resin composition, and a method for manufacturing an electronic device using the above-mentioned pattern forming method.
Furthermore, according to the present invention, there is provided a composition container containing the actinic ray-sensitive or radiation-sensitive resin composition.

パターン形成後欠陥評価の評価方法を説明するための模式図であり、測長走査型電子顕微鏡(SEM)にて観察される欠陥の一例である。FIG. 1 is a schematic diagram for explaining an evaluation method for evaluating defects after pattern formation, which is an example of a defect observed with a critical dimension scanning electron microscope (SEM). パターン形成後欠陥評価の評価方法を説明するための模式図であり、測長走査型電子顕微鏡(SEM)にて観察される欠陥の他の一例である。FIG. 11 is a schematic diagram for explaining an evaluation method for evaluating defects after pattern formation, which is another example of a defect observed with a critical dimension scanning electron microscope (SEM).

以下、本発明について詳細に説明する。
以下に記載する構成要件の説明は、本発明の代表的な実施態様に基づいてなされる場合があるが、本発明はそのような実施態様に限定されない。
本明細書中における基(原子団)の表記について、本発明の趣旨に反しない限り、置換及び無置換を記していない表記は、置換基を有さない基と共に置換基を有する基をも包含する。例えば、「アルキル基」とは、置換基を有さないアルキル基(無置換アルキル基)のみならず、置換基を有するアルキル基(置換アルキル基)をも包含する。また、本明細書中における「有機基」とは、少なくとも1個の炭素原子を含む基をいう。
置換基は、特に断らない限り、1価の置換基が好ましい。
本明細書中における「活性光線」又は「放射線」とは、例えば、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線(EUV光: Extreme Ultraviolet)、X線、及び電子線(EB:Electron Beam)等を意味する。本明細書中における「光」とは、活性光線又は放射線を意味する。
本明細書中における「露光」とは、特に断らない限り、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線、X線、及びEUV光等による露光のみならず、電子線、及びイオンビーム等の粒子線による描画も含む。
本明細書において、「~」とはその前後に記載される数値を下限値及び上限値として含む意味で使用される。
本明細書において表記される二価の基の結合方向は、特に断らない限り制限されない。例えば、「X-Y-Z」なる一般式で表される化合物中の、Yが-COO-である場合、Yは、-CO-O-であってもよく、-O-CO-であってもよい。また、上記化合物は「X-CO-O-Z」であってもよく「X-O-CO-Z」であってもよい。
The present invention will be described in detail below.
The following description of the components may be based on a representative embodiment of the present invention, but the present invention is not limited to such an embodiment.
In the present specification, the notation of groups (atomic groups) that does not indicate whether they are substituted or unsubstituted includes both unsubstituted and substituted groups, unless it is contrary to the spirit of the present invention. For example, the term "alkyl group" includes not only alkyl groups that do not have a substituent (unsubstituted alkyl groups), but also alkyl groups that have a substituent (substituted alkyl groups). In addition, the term "organic group" in the present specification refers to a group that contains at least one carbon atom.
Unless otherwise specified, the substituent is preferably a monovalent substituent.
In this specification, "actinic rays" or "radiation" refers to, for example, the emission line spectrum of a mercury lamp, far ultraviolet rays represented by excimer lasers, extreme ultraviolet rays (EUV light: extreme ultraviolet), X-rays, and electron beams (EB: electron beam), etc. In this specification, "light" refers to actinic rays or radiation.
In this specification, unless otherwise specified, "exposure" includes not only exposure to the emission line spectrum of a mercury lamp, far ultraviolet light represented by an excimer laser, extreme ultraviolet light, X-rays, EUV light, and the like, but also drawing with particle beams such as electron beams and ion beams.
In this specification, the word "to" is used to mean that the numerical values before and after it are included as the lower limit and upper limit.
The bonding direction of the divalent group described in this specification is not limited unless otherwise specified. For example, when Y is -COO- in a compound represented by the general formula "X-Y-Z", Y may be -CO-O- or -O-CO-. In addition, the above compound may be "X-CO-O-Z" or "X-O-CO-Z".

本明細書において、(メタ)アクリレートはアクリレート及びメタクリレートを表し、(メタ)アクリルはアクリル及びメタクリルを表す。
本明細書において、樹脂の重量平均分子量(Mw)、数平均分子量(Mn)、及び分散度(分子量分布ともいう)(Mw/Mn)は、GPC(Gel Permeation Chromatography)装置(東ソー社製HLC-8120GPC)によるGPC測定(溶媒:テトラヒドロフラン、流量(サンプル注入量):10μL、カラム:東ソー社製TSK gel Multipore HXL-M、カラム温度:40℃、流速:1.0mL/分、検出器:示差屈折率検出器(Refractive Index Detector))によるポリスチレン換算値として定義される。
In this specification, (meth)acrylate refers to acrylate and methacrylate, and (meth)acrylic refers to acrylic and methacrylic.
In this specification, the weight average molecular weight (Mw), number average molecular weight (Mn), and dispersity (also referred to as molecular weight distribution) (Mw/Mn) of a resin are defined as polystyrene-equivalent values measured using a Gel Permeation Chromatography (GPC) device (HLC-8120GPC manufactured by Tosoh Corporation) (solvent: tetrahydrofuran, flow rate (sample injection amount): 10 μL, column: TSK gel Multipore HXL-M manufactured by Tosoh Corporation, column temperature: 40° C., flow rate: 1.0 mL/min, detector: refractive index detector).

本明細書において酸解離定数(pKa)とは、水溶液中でのpKaを表し、具体的には、下記ソフトウェアパッケージ1を用いて、ハメットの置換基定数及び公知文献値のデータベースに基づいた値を、計算により求められる値である。本明細書中に記載したpKaの値は、全て、このソフトウェアパッケージを用いて計算により求めた値を示す。In this specification, the acid dissociation constant (pKa) refers to the pKa in an aqueous solution, and specifically, is a value calculated using the following software package 1 based on a database of Hammett's substituent constants and known literature values. All pKa values described in this specification are values calculated using this software package.

ソフトウェアパッケージ1: Advanced Chemistry Development (ACD/Labs) Software V8.14 for Solaris (1994-2007 ACD/Labs)。 Software Package 1: Advanced Chemistry Development (ACD/Labs) Software V8.14 for Solaris (1994-2007 ACD/Labs).

一方で、pKaは、分子軌道計算法によっても求められる。この具体的な方法としては、熱力学サイクルに基づいて、水溶液中におけるH解離自由エネルギーを計算することで算出する手法が挙げられる。H解離自由エネルギーの計算方法については、例えばDFT(密度汎関数法)により計算することができるが、他にも様々な手法が文献等で報告されており、これに制限されるものではない。なお、DFTを実施できるソフトウェアは複数存在するが、例えば、Gaussian16が挙げられる。 On the other hand, pKa can also be obtained by molecular orbital calculation. A specific example of this method is a method of calculating H + dissociation free energy in an aqueous solution based on a thermodynamic cycle. The H + dissociation free energy can be calculated, for example, by DFT (density functional theory), but various other methods have been reported in literature, and the calculation method is not limited to this. There are several software programs that can perform DFT, and Gaussian16 is an example.

本明細書中のpKaとは、上述した通り、ソフトウェアパッケージ1を用いて、ハメットの置換基定数及び公知文献値のデータベースに基づいた値を計算により求められる値を指すが、この手法によりpKaが算出できない場合には、DFT(密度汎関数法)に基づいてGaussian16により得られる値を採用するものとする。
また、本明細書中のpKaは、上述した通り「水溶液中でのpKa」を指すが、水溶液中でのpKaが算出できない場合には、「ジメチルスルホキシド(DMSO)溶液中でのpKa」を採用するものとする。
As described above, the pKa in this specification refers to a value calculated using the software package 1 based on a database of Hammett's substituent constants and known literature values. However, when the pKa cannot be calculated by this method, a value obtained by Gaussian 16 based on DFT (density functional theory) is adopted.
In addition, the pKa in this specification refers to "pKa in an aqueous solution" as described above, but when the pKa in an aqueous solution cannot be calculated, "pKa in a dimethyl sulfoxide (DMSO) solution" will be adopted.

本明細書において、ハロゲン原子としては、例えば、フッ素原子、塩素原子、臭素原子、及びヨウ素原子が挙げられる。As used herein, halogen atoms include, for example, fluorine atoms, chlorine atoms, bromine atoms, and iodine atoms.

[感活性光線性又は感放射線性樹脂組成物]
本発明の感活性光線性又は感放射線性樹脂組成物(以下「レジスト組成物」ともいう)の特徴点としては、活性光線又は放射線の照射によって酸を発生する化合物(以下、単に「光酸発生剤」ともいう。)として、後述する化合物(I)~化合物(III)からなる群より選ばれる1種以上(以下、「光酸発生剤B」ともいう。)を含む点と、レジスト組成物の含水率が、組成物の全質量に対して、1.00質量%以下である点と、が挙げられる。
[Actinic ray- or radiation-sensitive resin composition]
[0043] The actinic ray-sensitive or radiation-sensitive resin composition of the present invention (hereinafter also referred to as "resist composition") is characterized in that it contains one or more compounds (hereinafter also referred to as "photoacid generator B") selected from the group consisting of compounds (I) to (III) described below, as a compound that generates an acid upon irradiation with actinic rays or radiation (hereinafter also referred to simply as a "photoacid generator"), and that the water content of the resist composition is 1.00 mass % or less, relative to the total mass of the composition.

親水性の高い光酸発生剤は、親水性が高いために凝集性が強い傾向がある。特許文献1にて使用される上記一般式(I)で表される光酸発生剤及び上記光酸発生剤Bの如く、分子内に多価(例えば2価)の塩構造を含む光酸発生剤についても、その塩構造に起因して親水性が高いために凝集性が強く、レジスト組成物に配合されると、レジスト組成物中に微量に含まれる水分を核として凝集体を形成しやすいと推測される。このため、このような光酸発生剤を含み、且つ含水率の高いレジスト組成物を所定期間保管した場合、レジスト組成物内に光酸発生剤の凝集体が多く発生し、この結果として、上記レジスト組成物を用いて形成されたパターンは、欠陥が多く発生すると考えられる。
今般の本発明者らの検討により、分子内に多価の塩構造を含む光酸発生剤を含むレジスト組成物において、レジスト組成物中の含水率を組成物の全質量に対して1.00質量%以下とした場合、光酸発生剤の凝集体の形成が顕著に抑制されることを明らかとした。すなわち、上記レジスト組成物によれば、欠陥抑制能に優れたパターンを形成できることを明らかとした。
Photoacid generators with high hydrophilicity tend to have strong aggregating properties due to their high hydrophilicity. As for photoacid generators containing a polyvalent (e.g., divalent) salt structure in the molecule, such as the photoacid generator represented by the above general formula (I) used in Patent Document 1 and the above photoacid generator B, it is assumed that photoacid generators containing a polyvalent (e.g., divalent) salt structure in the molecule have strong aggregating properties due to their high hydrophilicity due to their salt structure, and when they are added to a resist composition, they tend to form aggregates with trace amounts of moisture contained in the resist composition as nuclei. For this reason, when a resist composition containing such a photoacid generator and having a high water content is stored for a certain period of time, a large number of aggregates of the photoacid generator are generated in the resist composition, and as a result, the pattern formed using the resist composition is thought to have many defects.
The present inventors have recently found that in a resist composition containing a photoacid generator that contains a polyvalent salt structure in its molecule, when the water content in the resist composition is 1.00 mass % or less relative to the total mass of the composition, the formation of aggregates of the photoacid generator is significantly suppressed. In other words, it has been found that the resist composition enables the formation of a pattern with excellent defect suppression ability.

また、後述するように、本発明のレジスト組成物は、得られるパターンの欠陥をより抑制できる点で、含水率は、組成物の全質量に対して、0.03質量%以上であることが好ましい。上述したとおり、分子内に多価の塩構造を含む光酸発生剤は、その塩構造に起因して親水性が高いために凝集性が強く、光酸発生剤同士でも凝集する(つまり、自己凝集する)場合がある。レジスト組成物の含水率が組成物の全質量に対して0.03質量%以上であれば、光酸発生剤同士での凝集も抑制でき、欠陥がより抑制されたパターンを形成できる。本発明のレジスト組成物の含水率が、特に、組成物の全質量に対して、0.03~0.30質量%である場合、形成されるパターンの欠陥抑制能が著しく優れる。 As described below, the resist composition of the present invention preferably has a water content of 0.03% by mass or more relative to the total mass of the composition, in order to further suppress defects in the resulting pattern. As described above, a photoacid generator containing a polyvalent salt structure in the molecule has high hydrophilicity due to its salt structure, and therefore has strong coagulation properties, and may aggregate with other photoacid generators (i.e., self-aggregate). If the water content of the resist composition is 0.03% by mass or more relative to the total mass of the composition, aggregation between other photoacid generators can be suppressed, and a pattern with more suppressed defects can be formed. In particular, when the water content of the resist composition of the present invention is 0.03 to 0.30% by mass relative to the total mass of the composition, the defect suppression ability of the formed pattern is remarkably excellent.

また、後述するように、本発明のレジスト組成物を保管するための収容容器としては、上記レジスト組成物と接触する領域(例えば、その収容容器内のレジスト組成物を収容する収容部の内壁及び/又はレジスト組成物の流路)の材料が樹脂であることが好ましい。例えば、収容容器のレジスト組成物と接触する領域の材料がガラスである場合、ガラスは親水性が高いため、レジスト組成物中に含まれる水分がガラスの表面に付着しやすい。分子内に多価の塩構造を含む光酸発生剤は、その塩構造に起因して親水性が高いために凝集性が強く、ガラス表面に付着した水分を核として凝集体を形成しやすい。これに対して、収容容器のレジスト組成物と接触する領域の材料が樹脂(好ましくは、ポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上の樹脂)である場合、水分の上記領域への付着が抑制されて、光酸発生剤が凝集体を形成しにくくなり、欠陥がより抑制されたパターンを形成できる。 As described later, in the case of a container for storing the resist composition of the present invention, the material of the region in contact with the resist composition (for example, the inner wall of the container that contains the resist composition and/or the flow path of the resist composition) is preferably a resin. For example, when the material of the region in contact with the resist composition of the container is glass, the moisture contained in the resist composition is likely to adhere to the surface of the glass because glass is highly hydrophilic. Photoacid generators containing a polyvalent salt structure in the molecule have strong coagulation properties due to their high hydrophilicity caused by the salt structure, and are likely to form aggregates with the moisture attached to the glass surface as a nucleus. In contrast, when the material of the region in contact with the resist composition of the container is a resin (preferably one or more resins selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins), adhesion of moisture to the above region is suppressed, making it difficult for the photoacid generator to form aggregates, and a pattern with more suppressed defects can be formed.

以下、本発明のレジスト組成物について詳細に説明する。
本発明のレジスト組成物は、ポジ型のレジスト組成物であっても、ネガ型のレジスト組成物であってもよい。また、アルカリ現像用のレジスト組成物であっても、有機溶剤現像用のレジスト組成物であってもよい。
本発明のレジスト組成物は、典型的には、化学増幅型のレジスト組成物である。
The resist composition of the present invention will be described in detail below.
The resist composition of the present invention may be a positive resist composition or a negative resist composition. Furthermore, it may be a resist composition for alkali development or a resist composition for organic solvent development.
The resist composition of the present invention is typically a chemically amplified resist composition.

〔含水率〕
本発明のレジスト組成物の含水率は、組成物の全質量に対して、1.00質量%以下であり、0.50質量%以下が好ましく、0.30質量%以下がより好ましい。下限値としては、例えば、組成物の全質量に対して、0.00質量%以上であり、形成されるパターンの欠陥がより抑制される点で、0.01質量%以上が好ましく、0.03質量%以上がより好ましい。なお、含水率は、カールフィッシャー水分計(例えば、京都電子工業株式会社製MKC-510N)により測定できる。
[Moisture content]
The water content of the resist composition of the present invention is 1.00% by mass or less, preferably 0.50% by mass or less, and more preferably 0.30% by mass or less, based on the total mass of the composition. The lower limit is, for example, 0.00% by mass or more, based on the total mass of the composition, and from the viewpoint of further suppressing defects in the pattern to be formed, is preferably 0.01% by mass or more, and more preferably 0.03% by mass or more. The water content can be measured using a Karl Fischer moisture meter (for example, MKC-510N manufactured by Kyoto Electronics Manufacturing Co., Ltd.).

本発明のレジスト組成物は、更に、形成されるパターンの欠陥がより抑制される点で、下記式(1X)で表される量比Tが、1.0~1600.0であることが好ましく、2.0~1000.0であることがより好ましく、4.0~500.0であることが更に好ましい。
式(1X):量比T=組成物中の固形分量に対する、化合物(I)~化合物(III)からなる群より選ばれる化合物(光酸発生剤B)の含有率(質量%)/組成物の全質量に対する含水率(質量%)
In order to further suppress defects in the pattern formed, the resist composition of the present invention preferably has a quantitative ratio T represented by the following formula (1X) of 1.0 to 1600.0, more preferably 2.0 to 1000.0, and even more preferably 4.0 to 500.0.
Formula (1X): Amount ratio T = content (mass %) of the compound (photoacid generator B) selected from the group consisting of compounds (I) to (III) relative to the solid content in the composition / water content (mass %) relative to the total mass of the composition

以下において、本発明のレジスト組成物の各種成分について詳述する。
〔光酸発生剤〕
以下において、まず、活性光線又は放射線の照射によって酸を発生する化合物(光酸発生剤)について説明する。
本発明のレジスト組成物中、光酸発生剤の含有量(複数種含まれる場合は、その合計含有量)は、組成物の全固形分に対して、0.1~45.0質量%が好ましく、2.0~40.0質量%がより好ましく、4.0~30.0質量%が更に好ましい。
なお、「固形分」とは、レジスト膜を形成する成分を意図し、溶剤は含まれない。また、レジスト膜を形成する成分であれば、その性状が液体状であっても、固形分とみなす。
The various components of the resist composition of the present invention are described in detail below.
[Photoacid generator]
First, a compound that generates an acid upon irradiation with actinic rays or radiation (a photoacid generator) will be described below.
In the resist composition of the present invention, the content of the photoacid generator (when a plurality of types are contained, the total content thereof) is preferably 0.1 to 45.0 mass %, more preferably 2.0 to 40.0 mass %, and even more preferably 4.0 to 30.0 mass %, based on the total solid content of the composition.
The term "solid content" refers to components that form a resist film and does not include solvents. In addition, any component that forms a resist film is considered to be a solid content even if it is in a liquid state.

上記光酸発生剤は、後述する化合物(I)~(III)からなる群より選ばれる化合物(光酸発生剤B)を1種以上含む。
上記光酸発生剤Bの含有量は、組成物の全固形分に対して、0.1~45.0質量%が好ましく、2.0~40.0質量%がより好ましく、4.0~30.0質量%が更に好ましい。
上記光酸発生剤Bは、1種単独で使用してもよく、2種以上を使用してもよい。2種以上使用する場合は、その合計含有量が、上記好適含有量の範囲内であるのが好ましい。
The photoacid generator contains one or more compounds (photoacid generator B) selected from the group consisting of compounds (I) to (III) described below.
The content of the photoacid generator B is preferably from 0.1 to 45.0% by mass, more preferably from 2.0 to 40.0% by mass, and even more preferably from 4.0 to 30.0% by mass, based on the total solid content of the composition.
The photoacid generator B may be used alone or in combination of two or more. When two or more types are used, the total content is preferably within the above-mentioned suitable content range.

また、本発明のレジスト組成物は、上記光酸発生剤B以外のその他の光酸発生剤(以下、「光酸発生剤C」ともいう。)を含んでいてもよい。上記光酸発生剤Cとしては特に制限されないが、形成されるパターンのLWR性能がより優れる点で、後述する一般式(1)で表される化合物及び後述する一般式(2)で表される化合物からなる群より選ばれる化合物が好ましい。
上記光酸発生剤Cの含有量は、組成物の全固形分に対して、0.1~20.0質量%が好ましく、0.1~15.0質量%がより好ましく、0.1~12.0質量%が更に好ましく、0.1~8.0質量%が特に好ましい。
上記光酸発生剤Cは1種単独で使用してもよく、2種以上を使用してもよい。2種以上使用する場合は、その合計含有量が、上記好適含有量の範囲内であるのが好ましい。
The resist composition of the present invention may further contain a photoacid generator (hereinafter also referred to as "photoacid generator C") other than the photoacid generator B. The photoacid generator C is not particularly limited, but is preferably a compound selected from the group consisting of the compounds represented by general formula (1) described below and the compounds represented by general formula (2) described below, in that the LWR performance of the formed pattern is more excellent.
The content of the photoacid generator C is preferably 0.1 to 20.0 mass %, more preferably 0.1 to 15.0 mass %, even more preferably 0.1 to 12.0 mass %, and particularly preferably 0.1 to 8.0 mass %, based on the total solid content of the composition.
The photoacid generator C may be used alone or in combination of two or more. When two or more types are used, the total content is preferably within the above-mentioned suitable content range.

以下において、光酸発生剤B及び光酸発生剤Cについて、各々説明する。 Below, photoacid generator B and photoacid generator C are each explained.

<光酸発生剤B>
光酸発生剤Bは、下記化合物(I)~(III)からなる群より選ばれる化合物である。
<Photoacid Generator B>
The photoacid generator B is a compound selected from the group consisting of the following compounds (I) to (III).

光酸発生剤Bを含むレジスト組成物により形成されるパターンは、LWR性能に優れる。上記光酸発生剤Bは、光酸発生剤に相当する機能を有する構造部位Xと、酸拡散制御剤に相当する機能を有する構造部位(構造部位Y又は構造部位Z)との両方を一分子中に含むため、レジスト膜中で、上記構造部位のそれぞれの存在比率を一定にできる。この結果として、レジスト膜中にて光酸発生剤と酸拡散制御剤との濃度分布を均一にできるため、露光時に酸の量及び拡散が均一になりやすく、現像後に得られるパターンの幅が安定しやすい。つまり、形成されるパターンのLWR性能が優れる。
以下、化合物(I)~(III)について各々説明する。
The pattern formed by the resist composition containing the photoacid generator B has excellent LWR performance. The photoacid generator B contains both a structural part X having a function corresponding to the photoacid generator and a structural part (structural part Y or structural part Z) having a function corresponding to the acid diffusion controller in one molecule, so that the presence ratio of each of the structural parts can be constant in the resist film. As a result, the concentration distribution of the photoacid generator and the acid diffusion controller can be made uniform in the resist film, so that the amount and diffusion of acid during exposure are likely to be uniform, and the width of the pattern obtained after development is likely to be stable. In other words, the LWR performance of the formed pattern is excellent.
Compounds (I) to (III) will be described below.

(化合物(I))
以下において、化合物(I)について説明する。
化合物(I):下記構造部位Xと下記構造部位Yとを各々1つずつ有する化合物であって、活性光線又は放射線の照射によって、下記構造部位Xに由来する下記第1の酸性部位と下記構造部位Yに由来する下記第2の酸性部位とを含む酸を発生する化合物
構造部位X:アニオン部位A とカチオン部位M とからなり、且つ活性光線又は放射線の照射によってHAで表される第1の酸性部位を形成する構造部位
構造部位Y:アニオン部位A とカチオン部位M とからなり、且つ活性光線又は放射線の照射によって、上記構造部位Xにて形成される上記第1の酸性部位とは異なる構造のHAで表される第2の酸性部位を形成する構造部位
但し、化合物(I)は、下記条件Iを満たす。
条件I:上記化合物(I)において上記構造部位X中の上記カチオン部位M 及び上記構造部位Y中の上記カチオン部位M をHに置き換えてなる化合物PIが、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a1と、上記構造部位Y中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a2を有し、且つ、上記酸解離定数a1よりも上記の酸解離定数a2の方が大きい。
なお、酸解離定数a1及び酸解離定数a2は、上述した方法により求められる。化合物PIの酸解離定数a1及び酸解離定数a2とは、より具体的に説明すると、化合物PIの酸解離定数を求めた場合において、化合物PI(化合物PIは、「HAとHAを有する化合物」に該当する。)が「A とHAを有する化合物」となる際のpKaが酸解離定数a1であり、上記「A とHAを有する化合物」が「A とA を有する化合物」となる際のpKaが酸解離定数a2である。
また、上記化合物PIとは、化合物(I)に活性光線又は放射線を照射することにより発生する酸に該当する。
(Compound (I))
Compound (I) will be described below.
Compound (I): a compound having each of the following structural moieties X and Y, which generates an acid containing the following first acidic moiety derived from the following structural moiety X and the following second acidic moiety derived from the following structural moiety Y upon irradiation with actinic rays or radiation. Structural moiety X: a structural moiety consisting of an anionic moiety A 1 - and a cationic moiety M 1 + , and which forms a first acidic moiety represented by HA 1 upon irradiation with actinic rays or radiation. Structural moiety Y: a structural moiety consisting of an anionic moiety A 2 - and a cationic moiety M 2 + , and which forms a second acidic moiety represented by HA 2 having a structure different from the first acidic moiety formed at the structural moiety X upon irradiation with actinic rays or radiation. However, compound (I) satisfies the following condition I.
Condition I: Compound PI, which is obtained by replacing the cationic moiety M 1 + in the structural moiety X and the cationic moiety M 2 + in the structural moiety Y in compound (I) with H + , has an acid dissociation constant a1 derived from the acidic moiety represented by HA 1 , which is obtained by replacing the cationic moiety M 1 + in the structural moiety X with H + , and an acid dissociation constant a2 derived from the acidic moiety represented by HA 2 , which is obtained by replacing the cationic moiety M 2 + in the structural moiety Y with H + , and the acid dissociation constant a2 is greater than the acid dissociation constant a1.
The acid dissociation constant a1 and the acid dissociation constant a2 are determined by the method described above. More specifically, when the acid dissociation constant of compound PI is determined, the pKa of compound PI (compound PI corresponds to a "compound having HA 1 and HA 2 ") when it becomes a "compound having A 1 - and HA 2 " is the acid dissociation constant a1, and the pKa of the "compound having A 1 - and HA 2 " when it becomes a "compound having A 1 - and A 2 - " is the acid dissociation constant a2.
The compound PI corresponds to an acid generated by irradiating compound (I) with actinic rays or radiation.

形成されるパターンのLWR性能がより優れる点で、上記化合物PIにおいて、酸解離定数a1と上記酸解離定数a2との差は、2.0以上が好ましく、3.0以上がより好ましい。なお、酸解離定数a1と上記酸解離定数a2との差の上限値は特に制限されないが、例えば、15.0以下である。In order to obtain a more excellent LWR performance of the pattern formed, the difference between the acid dissociation constant a1 and the acid dissociation constant a2 in the compound PI is preferably 2.0 or more, more preferably 3.0 or more. The upper limit of the difference between the acid dissociation constant a1 and the acid dissociation constant a2 is not particularly limited, but is, for example, 15.0 or less.

また、上記化合物PIにおいて、酸解離定数a2は、例えば、6.5以下であり、レジスト組成物内での化合物(I)のカチオン部位の安定性がより優れる点で、2.0以下が好ましく、1.0以下がより好ましい。なお、酸解離定数a2の下限値としては、例えば、-3.5以上であり、-2.0以上が好ましい。In addition, in the above compound PI, the acid dissociation constant a2 is, for example, 6.5 or less, and is preferably 2.0 or less, and more preferably 1.0 or less, in terms of superior stability of the cationic moiety of compound (I) in the resist composition. The lower limit of the acid dissociation constant a2 is, for example, -3.5 or more, and preferably -2.0 or more.

また、形成されるパターンのLWR性能がより優れる点で、上記化合物PIにおいて、酸解離定数a1は、2.0以下が好ましく、0.5以下がより好ましく、-0.1以下が更に好ましい。なお、酸解離定数a1の下限値としては、-15.0以上が好ましい。In addition, in order to obtain a pattern having better LWR performance, the acid dissociation constant a1 of the compound PI is preferably 2.0 or less, more preferably 0.5 or less, and even more preferably -0.1 or less. The lower limit of the acid dissociation constant a1 is preferably -15.0 or more.

化合物(I)としては特に制限されないが、例えば、下記一般式(Ia)で表される化合物が挙げられる。
11 11 -L-A12 12 (Ia)
Compound (I) is not particularly limited, but examples thereof include compounds represented by the following general formula (Ia).
M11 + A11 - -L1 -A12 - M12 + (Ia)

一般式(Ia)中、「M11 11 」及び「A12 12 」は、各々、構造部位X及び構造部位Yに該当する。化合物(Ia)は、活性光線又は放射線の照射によって、HA11-L-A21Hで表される酸を発生する。つまり、「M11 11 」は、HA11で表される第1の酸性部位を形成し、「A12 12 」は、上記第1の酸性部位とは異なる構造のHA12で表される第2の酸性部位を形成する。 In general formula (Ia), "M 11 + A 11 - " and "A 12 - M 12 + " correspond to the structural moiety X and the structural moiety Y, respectively. When irradiated with actinic rays or radiation, compound (Ia) generates an acid represented by HA 11 -L 1 -A 21 H. That is, "M 11 + A 11 - " forms a first acidic moiety represented by HA 11 , and "A 12 - M 12 + " forms a second acidic moiety represented by HA 12 , which has a structure different from that of the first acidic moiety.

一般式(Ia)中、M11 及びM12 は、それぞれ独立に、有機カチオンを表す。
11 及びA12 は、それぞれ独立に、アニオン性官能基を表す。但し、A12 は、A11 で表されるアニオン性官能基とは異なる構造を表す。
は、2価の連結基を表す。
但し、上記一般式(Ia)において、M11 及びM12 で表される有機カチオンをHに置き換えてなる化合物PIa(HA11-L-A12H)において、A12Hで表される酸性部位に由来する酸解離定数a2は、HA11で表される酸性部位に由来する酸解離定数a1よりも大きい。なお、酸解離定数a1と酸解離定数a2の好適値については、上述した通りである。
In formula (Ia), M 11 + and M 12 + each independently represent an organic cation.
A 11 - and A 12 - each independently represent an anionic functional group, provided that A 12 - represents a structure different from the anionic functional group represented by A 11 - .
L1 represents a divalent linking group.
However, in the compound PIa (HA 11 -L 1 -A 12 H) obtained by replacing the organic cations represented by M 11 + and M 12 + in the above general formula (Ia) with H + , the acid dissociation constant a2 derived from the acidic site represented by A 12 H is larger than the acid dissociation constant a1 derived from the acidic site represented by HA 11. The preferred values of the acid dissociation constant a1 and the acid dissociation constant a2 are as described above.

一般式(Ia)中、M11 及びM12 で表される有機カチオンについては、後述のとおりである。 In general formula (Ia), the organic cations represented by M 11 + and M 12 + are as described later.

11 及びA12 で表されるアニオン性官能基としては、例えば、下記一般式(B-1)~一般式(B-13)で表される基が挙げられる。 Examples of the anionic functional groups represented by A 11 - and A 12 - include groups represented by the following general formulae (B-1) to (B-13).

一般式(B-1)、(B-2)、(B-4)、(B-5)、及び(B-12)中、RX1は、置換基を表す。
X1としては、直鎖状、分岐鎖状、又は環状のアルキル基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましい。
上記アルキル基は、置換基を有していてもよい。置換基としては、フッ素原子、又はシアノ基が好ましい。上記アルキル基が置換基としてフッ素原子を有する場合、パーフルオロアルキル基であってもよい。
また、上記アルキル基は、炭素原子がカルボニル基で置換されていてもよい。
In formulae (B-1), (B-2), (B-4), (B-5), and (B-12), R X1 represents a substituent.
R X1 is preferably a linear, branched, or cyclic alkyl group.
The alkyl group preferably has 1 to 15 carbon atoms, and more preferably has 1 to 10 carbon atoms.
The alkyl group may have a substituent. The substituent is preferably a fluorine atom or a cyano group. When the alkyl group has a fluorine atom as a substituent, it may be a perfluoroalkyl group.
In addition, the alkyl group may have a carbon atom substituted with a carbonyl group.

一般式(B-3)中、RX4は、置換基を表す。
X4としては、直鎖状、分岐鎖状、又は環状のアルキル基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましい。
上記アルキル基は、置換基を有していてもよい。置換基としては、フッ素原子、又はシアノ基が好ましい。なお、RX4が置換基としてフッ素原子を有するアルキル基である場合、パーフルオロアルキル基でないことが好ましい。
また、上記アルキル基は、炭素原子がカルボニル基で置換されていてもよい。
In formula (B-3), R X4 represents a substituent.
R X4 is preferably a linear, branched or cyclic alkyl group.
The alkyl group preferably has 1 to 15 carbon atoms, and more preferably has 1 to 10 carbon atoms.
The alkyl group may have a substituent. The substituent is preferably a fluorine atom or a cyano group. When R X4 is an alkyl group having a fluorine atom as a substituent, it is preferably not a perfluoroalkyl group.
In addition, the alkyl group may have a carbon atom substituted with a carbonyl group.

一般式(B-7)及び(B-11)中、RX2は、水素原子、又は、フッ素原子及びパーフルオロアルキル基以外の置換基を表す。
X2で表されるフッ素原子及びパーフルオロアルキル基以外の置換基としては、直鎖状、分岐鎖状、又は環状のアルキル基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましい。
上記アルキル基は、フッ素原子以外の置換基を有していてもよい。
In formulae (B-7) and (B-11), R 1 X2 represents a hydrogen atom or a substituent other than a fluorine atom or a perfluoroalkyl group.
As the substituent other than a fluorine atom and a perfluoroalkyl group represented by R X2 , a linear, branched or cyclic alkyl group is preferable.
The alkyl group preferably has 1 to 15 carbon atoms, and more preferably has 1 to 10 carbon atoms.
The alkyl group may have a substituent other than a fluorine atom.

一般式(B-8)中、RXF1は、水素原子、フッ素原子、又はパーフルオロアルキル基を表す。但し、複数のRXF1のうち、少なくとも1つはフッ素原子又はパーフルオロアルキル基を表す。
XF1で表されるパーフルオロアルキル基の炭素数は1~15が好ましく、1~10がより好ましく、1~6が更に好ましい。
In formula (B-8), R XF1 represents a hydrogen atom, a fluorine atom, or a perfluoroalkyl group, provided that at least one of the multiple R XF1 represents a fluorine atom or a perfluoroalkyl group.
The perfluoroalkyl group represented by R 2 XF1 preferably has 1 to 15 carbon atoms, more preferably 1 to 10 carbon atoms, and even more preferably 1 to 6 carbon atoms.

一般式(B-10)中、RXF2は、フッ素原子、又はパーフルオロアルキル基を表す。
XF2で表されるパーフルオロアルキル基の炭素数は1~15が好ましく、1~10がより好ましく、1~6が更に好ましい。
In formula (B-10), R XF2 represents a fluorine atom or a perfluoroalkyl group.
The perfluoroalkyl group represented by R 2 XF2 preferably has 1 to 15 carbon atoms, more preferably 1 to 10 carbon atoms, and even more preferably 1 to 6 carbon atoms.

一般式(B-9)中、nは、0~4の整数を表す。In general formula (B-9), n represents an integer from 0 to 4.

11 及びA12 で表されるアニオン性官能基の組み合わせとしては特に制限されないが、例えば、A11 が一般式(B-8)又は(B-10)で表される基である場合、A12 で表されるアニオン性官能基としては、一般式(B-1)~(B-7)、(B-9)、又は(B-11)~(B-13)で表される基が挙げられ、A11 が一般式(B-7)で表される基である場合、A12 で表されるアニオン性官能基としては、一般式(B-6)で表される基が挙げられる。 The combination of the anionic functional groups represented by A 11 - and A 12 - is not particularly limited, but for example, when A 11 - is a group represented by general formula (B-8) or (B-10), the anionic functional group represented by A 12 - includes groups represented by general formulas (B-1) to (B-7), (B-9), or (B-11) to (B-13), and when A 11 - is a group represented by general formula (B-7), the anionic functional group represented by A 12 - includes groups represented by general formula (B-6).

一般式(Ia)中、Lで表される2価の連結基としては特に制限されず、-CO-、-NR-、-CO-、-O-、アルキレン基(好ましくは炭素数1~6。直鎖状でも分岐鎖状でもよい)、シクロアルキレン基(好ましくは炭素数3~15)、アルケニレン基(好ましくは炭素数2~6)、2価の脂肪族複素環基(少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環が好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。)、及びこれらの複数を組み合わせた2価の連結基等が挙げられる。上記Rは、水素原子又は1価の置換基が挙げられる。1価の置換基としては特に制限されないが、例えば、アルキル基(好ましくは炭素数1~6)が好ましい。
これらの2価の連結基は、更に、-S-、-SO-、及び-SO-からなる群から選択される基を含んでいてもよい。
また、上記アルキレン基、上記シクロアルキレン基、上記アルケニレン基、及び上記2価の脂肪族複素環基は、置換基で置換されていてもよい。置換基としては、例えば、ハロゲン原子(好ましくはフッ素原子)が挙げられる。
In the general formula (Ia), the divalent linking group represented by L 1 is not particularly limited, and examples thereof include -CO-, -NR-, -CO-, -O-, an alkylene group (preferably having 1 to 6 carbon atoms, which may be linear or branched), a cycloalkylene group (preferably having 3 to 15 carbon atoms), an alkenylene group (preferably having 2 to 6 carbon atoms), a divalent aliphatic heterocyclic group (preferably a 5- to 10-membered ring having at least one N atom, O atom, S atom, or Se atom in the ring structure, more preferably a 5- to 7-membered ring, and even more preferably a 5- to 6-membered ring), and a divalent linking group combining a plurality of these. The R is a hydrogen atom or a monovalent substituent. The monovalent substituent is not particularly limited, but for example, an alkyl group (preferably having 1 to 6 carbon atoms) is preferable.
These divalent linking groups may further contain a group selected from the group consisting of -S-, -SO-, and -SO 2 -.
The alkylene group, the cycloalkylene group, the alkenylene group, and the divalent aliphatic heterocyclic group may be substituted with a substituent, such as a halogen atom (preferably a fluorine atom).

一般式(Ia)中、M11 及びM12 で表される有機カチオンの好ましい形態について詳述する。
11 及びM12 で表される有機カチオンは、それぞれ独立に、一般式(ZaI)で表される有機カチオン(カチオン(ZaI))又は一般式(ZaII)で表される有機カチオン(カチオン(ZaII))が好ましい。
In the general formula (Ia), preferred forms of the organic cations represented by M 11 + and M 12 + will be described in detail.
The organic cations represented by M 11 + and M 12 + are each independently preferably an organic cation represented by general formula (ZaI) (cation (ZaI)) or an organic cation represented by general formula (ZaII) (cation (ZaII)).

上記一般式(ZaI)において、
201、R202、及びR203は、それぞれ独立に、有機基を表す。
201、R202、及びR203としての有機基の炭素数は、通常1~30であり、1~20が好ましい。また、R201~R203のうち2つが結合して環構造を形成してもよく、環内に酸素原子、硫黄原子、エステル基、アミド基、又はカルボニル基を含んでいてもよい。R201~R203の内の2つが結合して形成する基としては、例えば、アルキレン基(例えば、ブチレン基及びペンチレン基)、及び-CH-CH-O-CH-CH-が挙げられる。
In the above general formula (ZaI),
R 201 , R 202 and R 203 each independently represent an organic group.
The number of carbon atoms in the organic group represented by R 201 , R 202 , and R 203 is usually 1 to 30, and preferably 1 to 20. Two of R 201 to R 203 may be bonded to form a ring structure, and the ring may contain an oxygen atom, a sulfur atom, an ester group, an amide group, or a carbonyl group. Examples of the group formed by bonding two of R 201 to R 203 include an alkylene group (e.g., a butylene group and a pentylene group) and -CH 2 -CH 2 -O-CH 2 -CH 2 -.

一般式(ZaI)における有機カチオンの好適な態様としては、後述する、カチオン(ZaI-1)、カチオン(ZaI-2)、一般式(ZaI-3b)で表される有機カチオン(カチオン(ZaI-3b))、及び一般式(ZaI-4b)で表される有機カチオン(カチオン(ZaI-4b))が挙げられる。Suitable embodiments of the organic cation in general formula (ZaI) include the cation (ZaI-1), cation (ZaI-2), the organic cation represented by general formula (ZaI-3b) (cation (ZaI-3b)), and the organic cation represented by general formula (ZaI-4b) (cation (ZaI-4b)), which will be described later.

まず、カチオン(ZaI-1)について説明する。
カチオン(ZaI-1)は、上記一般式(ZaI)のR201~R203の少なくとも1つがアリール基である、アリールスルホニウムカチオンである。
アリールスルホニウムカチオンは、R201~R203の全てがアリール基でもよいし、R201~R203の一部がアリール基であり、残りがアルキル基又はシクロアルキル基であってもよい。
また、R201~R203のうちの1つがアリール基であり、R201~R203のうちの残りの2つが結合して環構造を形成してもよく、環内に酸素原子、硫黄原子、エステル基、アミド基、又はカルボニル基を含んでいてもよい。R201~R203のうちの2つが結合して形成する基としては、例えば、1つ以上のメチレン基が酸素原子、硫黄原子、エステル基、アミド基、及び/又はカルボニル基で置換されていてもよいアルキレン基(例えば、ブチレン基、ペンチレン基、又は-CH-CH-O-CH-CH-)が挙げられる。
アリールスルホニウムカチオンとしては、例えば、トリアリールスルホニウムカチオン、ジアリールアルキルスルホニウムカチオン、アリールジアルキルスルホニウムカチオン、ジアリールシクロアルキルスルホニウムカチオン、及びアリールジシクロアルキルスルホニウムカチオンが挙げられる。
First, the cation (ZaI-1) will be described.
The cation (ZaI-1) is an arylsulfonium cation in which at least one of R 201 to R 203 in the above general formula (ZaI) is an aryl group.
In the arylsulfonium cation, all of R 201 to R 203 may be aryl groups, or some of R 201 to R 203 may be aryl groups, with the remainder being alkyl groups or cycloalkyl groups.
In addition, one of R 201 to R 203 may be an aryl group, and the remaining two of R 201 to R 203 may be bonded to form a ring structure, which may contain an oxygen atom, a sulfur atom, an ester group, an amide group, or a carbonyl group in the ring. Examples of the group formed by bonding two of R 201 to R 203 include alkylene groups in which one or more methylene groups may be substituted with oxygen atoms, sulfur atoms, ester groups, amide groups, and/or carbonyl groups (e.g., butylene group, pentylene group, or -CH 2 -CH 2 -O-CH 2 -CH 2 -).
Examples of the arylsulfonium cation include triarylsulfonium cations, diarylalkylsulfonium cations, aryldialkylsulfonium cations, diarylcycloalkylsulfonium cations, and aryldicycloalkylsulfonium cations.

アリールスルホニウムカチオンに含まれるアリール基としては、フェニル基又はナフチル基が好ましく、フェニル基がより好ましい。アリール基は、酸素原子、窒素原子、又は硫黄原子等を有するヘテロ環構造を有するアリール基であってもよい。ヘテロ環構造としては、ピロール残基、フラン残基、チオフェン残基、インドール残基、ベンゾフラン残基、及びベンゾチオフェン残基等が挙げられる。アリールスルホニウムカチオンが2つ以上のアリール基を有する場合に、2つ以上あるアリール基は同一であっても異なっていてもよい。
アリールスルホニウムカチオンが必要に応じて有しているアルキル基又はシクロアルキル基は、炭素数1~15の直鎖状アルキル基、炭素数3~15の分岐鎖状アルキル基、又は炭素数3~15のシクロアルキル基が好ましく、例えば、メチル基、エチル基、プロピル基、n-ブチル基、sec-ブチル基、t-ブチル基、シクロプロピル基、シクロブチル基、及びシクロヘキシル基等が挙げられる。
The aryl group contained in the arylsulfonium cation is preferably a phenyl group or a naphthyl group, more preferably a phenyl group. The aryl group may be an aryl group having a heterocyclic structure with an oxygen atom, a nitrogen atom, or a sulfur atom. Examples of the heterocyclic structure include a pyrrole residue, a furan residue, a thiophene residue, an indole residue, a benzofuran residue, and a benzothiophene residue. When the arylsulfonium cation has two or more aryl groups, the two or more aryl groups may be the same or different.
The alkyl group or cycloalkyl group that the arylsulfonium cation optionally has is preferably a linear alkyl group having 1 to 15 carbon atoms, a branched alkyl group having 3 to 15 carbon atoms, or a cycloalkyl group having 3 to 15 carbon atoms, and examples thereof include a methyl group, an ethyl group, a propyl group, an n-butyl group, a sec-butyl group, a t-butyl group, a cyclopropyl group, a cyclobutyl group, and a cyclohexyl group.

201~R203のアリール基、アルキル基、及びシクロアルキル基が有していてもよい置換基としては、それぞれ独立に、アルキル基(例えば炭素数1~15)、シクロアルキル基(例えば炭素数3~15)、アリール基(例えば炭素数6~14)、アルコキシ基(例えば炭素数1~15)、シクロアルキルアルコキシ基(例えば炭素数1~15)、ハロゲン原子、水酸基、及びフェニルチオ基が挙げられる。
上記置換基は可能な場合更に置換基を有していてもよく、例えば、上記アルキル基が置換基としてハロゲン原子を有して、トリフルオロメチル基などのハロゲン化アルキル基となっていてもよい。
Substituents that the aryl group, alkyl group, and cycloalkyl group of R 201 to R 203 may have each independently include an alkyl group (e.g., 1 to 15 carbon atoms), a cycloalkyl group (e.g., 3 to 15 carbon atoms), an aryl group (e.g., 6 to 14 carbon atoms), an alkoxy group (e.g., 1 to 15 carbon atoms), a cycloalkylalkoxy group (e.g., 1 to 15 carbon atoms), a halogen atom, a hydroxyl group, and a phenylthio group.
The above-mentioned substituents may further have a substituent if possible. For example, the above-mentioned alkyl group may have a halogen atom as a substituent to form a halogenated alkyl group such as a trifluoromethyl group.

次に、カチオン(ZaI-2)について説明する。
カチオン(ZaI-2)は、式(ZaI)におけるR201~R203が、それぞれ独立に、芳香環を有さない有機基を表すカチオンである。ここで芳香環とは、ヘテロ原子を含む芳香族環も包含する。
201~R203としての芳香環を有さない有機基は、一般的に炭素数1~30であり、炭素数1~20が好ましい。
201~R203は、それぞれ独立に、アルキル基、シクロアルキル基、アリル基、又はビニル基が好ましく、直鎖状又は分岐鎖状の2-オキソアルキル基、2-オキソシクロアルキル基、又はアルコキシカルボニルメチル基がより好ましく、直鎖状又は分岐鎖状の2-オキソアルキル基が更に好ましい。
Next, the cation (ZaI-2) will be described.
Cation (ZaI-2) is a cation in which R 201 to R 203 in formula (ZaI) each independently represent an organic group not having an aromatic ring. Here, the aromatic ring also includes an aromatic ring containing a heteroatom.
The organic group not having an aromatic ring represented by R 201 to R 203 generally has 1 to 30 carbon atoms, and preferably has 1 to 20 carbon atoms.
R 201 to R 203 are each independently preferably an alkyl group, a cycloalkyl group, an allyl group, or a vinyl group, more preferably a linear or branched 2-oxoalkyl group, a 2-oxocycloalkyl group, or an alkoxycarbonylmethyl group, and still more preferably a linear or branched 2-oxoalkyl group.

201~R203のアルキル基及びシクロアルキル基としては、例えば、炭素数1~10の直鎖状アルキル基又は炭素数3~10の分岐鎖状アルキル基(例えば、メチル基、エチル基、プロピル基、ブチル基、及びペンチル基)、並びに、炭素数3~10のシクロアルキル基(例えばシクロペンチル基、シクロヘキシル基、及びノルボルニル基)が挙げられる。
201~R203は、ハロゲン原子、アルコキシ基(例えば炭素数1~5)、水酸基、シアノ基、又はニトロ基によって更に置換されていてもよい。
Examples of the alkyl group and cycloalkyl group for R 201 to R 203 include linear alkyl groups having 1 to 10 carbon atoms or branched alkyl groups having 3 to 10 carbon atoms (e.g., methyl, ethyl, propyl, butyl, and pentyl groups), and cycloalkyl groups having 3 to 10 carbon atoms (e.g., cyclopentyl, cyclohexyl, and norbornyl groups).
R 201 to R 203 may be further substituted with a halogen atom, an alkoxy group (eg, having 1 to 5 carbon atoms), a hydroxyl group, a cyano group, or a nitro group.

次に、カチオン(ZaI-3b)について説明する。
カチオン(ZaI-3b)は、下記一般式(ZaI-3b)で表されるカチオンである。
Next, the cation (ZaI-3b) will be described.
The cation (ZaI-3b) is a cation represented by the following general formula (ZaI-3b).

一般式(ZaI-3b)中、
1c~R5cは、それぞれ独立に、水素原子、アルキル基、シクロアルキル基、アリール基、アルコキシ基、アリールオキシ基、アルコキシカルボニル基、アルキルカルボニルオキシ基、シクロアルキルカルボニルオキシ基、ハロゲン原子、水酸基、ニトロ基、アルキルチオ基、又はアリールチオ基を表す。
6c及びR7cは、それぞれ独立に、水素原子、アルキル基(t-ブチル基等)、シクロアルキル基、ハロゲン原子、シアノ基、又はアリール基を表す。
及びRは、それぞれ独立に、アルキル基、シクロアルキル基、2-オキソアルキル基、2-オキソシクロアルキル基、アルコキシカルボニルアルキル基、アリル基、又はビニル基を表す。
In general formula (ZaI-3b),
R 1c to R 5c each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an alkoxy group, an aryloxy group, an alkoxycarbonyl group, an alkylcarbonyloxy group, a cycloalkylcarbonyloxy group, a halogen atom, a hydroxyl group, a nitro group, an alkylthio group, or an arylthio group.
R 6c and R 7c each independently represent a hydrogen atom, an alkyl group (such as a t-butyl group), a cycloalkyl group, a halogen atom, a cyano group, or an aryl group.
R x and R y each independently represent an alkyl group, a cycloalkyl group, a 2-oxoalkyl group, a 2-oxocycloalkyl group, an alkoxycarbonylalkyl group, an allyl group, or a vinyl group.

1c~R5c中のいずれか2つ以上、R5cとR6c、R6cとR7c、R5cとR、及びRとRは、それぞれ結合して環を形成してもよく、この環は、それぞれ独立に酸素原子、硫黄原子、ケトン基、エステル結合、又はアミド結合を含んでいてもよい。
上記環としては、芳香族又は非芳香族の炭化水素環、芳香族又は非芳香族のヘテロ環、及びこれらの環が2つ以上組み合わされてなる多環縮合環が挙げられる。環としては、3~10員環が挙げられ、4~8員環が好ましく、5又は6員環がより好ましい。
Any two or more of R 1c to R 5c , R 5c and R 6c , R 6c and R 7c , R 5c and R x , and R x and R y may be bonded to each other to form a ring, and each of these rings may independently contain an oxygen atom, a sulfur atom, a ketone group, an ester bond, or an amide bond.
Examples of the ring include an aromatic or non-aromatic hydrocarbon ring, an aromatic or non-aromatic heterocycle, and a polycyclic condensed ring formed by combining two or more of these rings. Examples of the ring include a 3- to 10-membered ring, preferably a 4- to 8-membered ring, and more preferably a 5- or 6-membered ring.

1c~R5c中のいずれか2つ以上、R6cとR7c、及びRとRが結合して形成する基としては、ブチレン基及びペンチレン基等のアルキレン基が挙げられる。このアルキレン基中のメチレン基が酸素原子等のヘテロ原子で置換されていてもよい。
5cとR6c、及びR5cとRが結合して形成する基としては、単結合又はアルキレン基が好ましい。アルキレン基としては、メチレン基及びエチレン基等が挙げられる。
The group formed by combining any two or more of R 1c to R 5c , R 6c and R 7c , and R x and R y includes alkylene groups such as butylene and pentylene, in which the methylene group may be substituted with a heteroatom such as an oxygen atom.
The groups formed by combining R5c and R6c , and R5c and Rx are preferably a single bond or an alkylene group. Examples of the alkylene group include a methylene group and an ethylene group.

次に、カチオン(ZaI-4b)について説明する。
カチオン(ZaI-4b)は、下記一般式(ZaI-4b)で表されるカチオンである。
Next, the cation (ZaI-4b) will be described.
The cation (ZaI-4b) is a cation represented by the following general formula (ZaI-4b).

一般式(ZaI-4b)中、
lは0~2の整数を表す。
rは0~8の整数を表す。
13は、水素原子、フッ素原子、水酸基、アルキル基、アルコキシ基、アルコキシカルボニル基、又はシクロアルキル基を有する基(シクロアルキル基そのものであってもよく、シクロアルキル基を一部に含む基であってもよい)を表す。これらの基は置換基を有してもよい。
14は、水酸基、アルキル基、アルコキシ基、アルコキシカルボニル基、アルキルカルボニル基、アルキルスルホニル基、シクロアルキルスルホニル基、又はシクロアルキル基を有する基(シクロアルキル基そのものであってもよく、シクロアルキル基を一部に含む基であってもよい)を表す。これらの基は置換基を有してもよい。R14は、複数存在する場合はそれぞれ独立して、水酸基等の上記基を表す。
15は、それぞれ独立して、アルキル基、シクロアルキル基、又はナフチル基を表す。これらの基は置換基を有してもよい。2つのR15が互いに結合して環を形成してもよい。2つのR15が互いに結合して環を形成するとき、環骨格内に、酸素原子、又は窒素原子等のヘテロ原子を含んでもよい。一態様において、2つのR15がアルキレン基であり、互いに結合して環構造を形成するのが好ましい。
In general formula (ZaI-4b),
l represents an integer of 0 to 2.
r represents an integer of 0 to 8.
R 13 represents a hydrogen atom, a fluorine atom, a hydroxyl group, an alkyl group, an alkoxy group, an alkoxycarbonyl group, or a group having a cycloalkyl group (which may be a cycloalkyl group itself or a group containing a cycloalkyl group as a part). These groups may have a substituent.
R 14 represents a hydroxyl group, an alkyl group, an alkoxy group, an alkoxycarbonyl group, an alkylcarbonyl group, an alkylsulfonyl group, a cycloalkylsulfonyl group, or a group having a cycloalkyl group (may be a cycloalkyl group itself, or a group containing a cycloalkyl group as a part). These groups may have a substituent. When there are a plurality of R 14 , each independently represents the above group such as a hydroxyl group.
Each R 15 independently represents an alkyl group, a cycloalkyl group, or a naphthyl group. These groups may have a substituent. Two R 15 may be bonded to each other to form a ring. When two R 15 are bonded to each other to form a ring, the ring skeleton may contain a heteroatom such as an oxygen atom or a nitrogen atom. In one embodiment, it is preferable that two R 15 are alkylene groups and are bonded to each other to form a ring structure.

一般式(ZaI-4b)において、R13、R14、及びR15のアルキル基は、直鎖状又は分岐鎖状である。アルキル基の炭素数は、1~10が好ましい。アルキル基としては、メチル基、エチル基、n-ブチル基、又はt-ブチル基等がより好ましい。 In general formula (ZaI-4b), the alkyl groups of R 13 , R 14 and R 15 are linear or branched. The number of carbon atoms in the alkyl group is preferably 1 to 10. The alkyl group is more preferably a methyl group, an ethyl group, an n-butyl group, a t-butyl group or the like.

次に、一般式(ZaII)について説明する。
一般式(ZaII)中、R204及びR205は、それぞれ独立に、アリール基、アルキル基又はシクロアルキル基を表す。
204及びR205のアリール基としてはフェニル基、又はナフチル基が好ましく、フェニル基がより好ましい。R204及びR205のアリール基は、酸素原子、窒素原子、又は硫黄原子等を有するヘテロ環を有するアリール基であってもよい。ヘテロ環を有するアリール基の骨格としては、例えば、ピロール、フラン、チオフェン、インドール、ベンゾフラン、及びベンゾチオフェン等が挙げられる。
204及びR205のアルキル基及びシクロアルキル基としては、炭素数1~10の直鎖状アルキル基又は炭素数3~10の分岐鎖状アルキル基(例えば、メチル基、エチル基、プロピル基、ブチル基、又はペンチル基)、又は炭素数3~10のシクロアルキル基(例えばシクロペンチル基、シクロヘキシル基、又はノルボルニル基)が好ましい。
Next, general formula (ZaII) will be described.
In formula (ZaII), R 204 and R 205 each independently represent an aryl group, an alkyl group or a cycloalkyl group.
The aryl group of R 204 and R 205 is preferably a phenyl group or a naphthyl group, more preferably a phenyl group. The aryl group of R 204 and R 205 may be an aryl group having a heterocycle with an oxygen atom, a nitrogen atom, or a sulfur atom. Examples of the skeleton of the aryl group having a heterocycle include pyrrole, furan, thiophene, indole, benzofuran, and benzothiophene.
The alkyl group and cycloalkyl group of R 204 and R 205 are preferably a linear alkyl group having 1 to 10 carbon atoms or a branched alkyl group having 3 to 10 carbon atoms (e.g., a methyl group, an ethyl group, a propyl group, a butyl group, or a pentyl group), or a cycloalkyl group having 3 to 10 carbon atoms (e.g., a cyclopentyl group, a cyclohexyl group, or a norbornyl group).

204及びR205のアリール基、アルキル基、及びシクロアルキル基は、それぞれ独立に、置換基を有していてもよい。R204及びR205のアリール基、アルキル基、及びシクロアルキル基が有していてもよい置換基としては、例えば、アルキル基(例えば炭素数1~15)、シクロアルキル基(例えば炭素数3~15)、アリール基(例えば炭素数6~15)、アルコキシ基(例えば炭素数1~15)、ハロゲン原子、水酸基、及びフェニルチオ基等が挙げられる。 The aryl group, alkyl group, and cycloalkyl group of R 204 and R 205 may each independently have a substituent. Examples of the substituent that the aryl group, alkyl group, and cycloalkyl group of R 204 and R 205 may have include an alkyl group (e.g., carbon number 1 to 15), a cycloalkyl group (e.g., carbon number 3 to 15), an aryl group (e.g., carbon number 6 to 15), an alkoxy group (e.g., carbon number 1 to 15), a halogen atom, a hydroxyl group, and a phenylthio group.

(化合物(II))
次に、化合物(II)について説明する。
化合物(II):上記構造部位Xを2つ以上と上記構造部位Yとを有する化合物であって、活性光線又は放射線の照射によって、上記構造部位Xに由来する上記第1の酸性部位を2つ以上と上記構造部位Yに由来する上記第2の酸性部位とを含む酸を発生する化合物
但し、化合物(II)は、下記条件IIを満たす。
条件II:上記化合物(II)において上記構造部位X中の上記カチオン部位M 及び上記構造部位Y中のカチオン部位M をHに置き換えてなる化合物PIIが、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a1と、上記構造部位Y中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a2を有し、且つ、上記酸解離定数a1よりも上記酸解離定数a2の方が大きい。
酸解離定数a1及び酸解離定数a2は、上述した方法により求められる。
ここで、化合物PIIの酸解離定数a1及び酸解離定数a2について、より具体的に説明する。化合物(II)が、例えば、上記構造部位Xに由来する上記第1の酸性部位を2つと、上記構造部位Yに由来する上記第2の酸性部位を1つ有する酸を発生する化合物である場合、化合物PIIは「2つのHAとHAを有する化合物」に該当する。この化合物PIIの酸解離定数を求めた場合、化合物PIIが「1つのA と1つのHAとHAとを有する化合物」となる際のpKaが酸解離定数a1であり、「2つのA とHAとを有する化合物」が「2つのA とA を有する化合物」となる際のpKaが酸解離定数a2である。つまり、化合物PIIが、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数を複数有する場合、その最も小さい値を酸解離定数a1とみなす。
(Compound (II))
Next, compound (II) will be described.
Compound (II): A compound having two or more of the structural moieties X and the structural moiety Y, which generates an acid containing two or more of the first acidic moieties derived from the structural moiety X and the second acidic moiety derived from the structural moiety Y when irradiated with actinic rays or radiation. However, compound (II) satisfies the following condition II.
Condition II: Compound PII, which is obtained by replacing the cationic moiety M 1 + in the structural moiety X and the cationic moiety M 2 + in the structural moiety Y in compound (II) with H + , has an acid dissociation constant a1 derived from the acidic moiety represented by HA 1 obtained by replacing the cationic moiety M 1 + in the structural moiety X with H + , and an acid dissociation constant a2 derived from the acidic moiety represented by HA 2 obtained by replacing the cationic moiety M 2 + in the structural moiety Y with H + , and the acid dissociation constant a2 is greater than the acid dissociation constant a1.
The acid dissociation constant a1 and the acid dissociation constant a2 are determined by the method described above.
Here, the acid dissociation constant a1 and the acid dissociation constant a2 of the compound PII will be described in more detail. When the compound (II) is, for example, a compound that generates an acid having two of the first acidic sites derived from the structural site X and one of the second acidic sites derived from the structural site Y, the compound PII corresponds to a "compound having two HA 1 and HA 2 ". When the acid dissociation constant of this compound PII is calculated, the pKa when the compound PII becomes a "compound having one A 1 - and one HA 1 and HA 2 " is the acid dissociation constant a1, and the pKa when the "compound having two A 1 - and HA 2 " becomes a "compound having two A 1 - and A 2 -" is the acid dissociation constant a2. In other words, when the compound PII has a plurality of acid dissociation constants derived from the acidic site represented by HA1 obtained by replacing the cationic site M 1 + in the structural site X with H + , the smallest one is regarded as the acid dissociation constant a1.

また、上記化合物PIIとは、化合物(II)に活性光線又は放射線を照射することにより発生する酸に該当する。
なお、化合物(II)は、上記構造部位Yを複数有していてもよい。
The compound PII corresponds to an acid generated by irradiating the compound (II) with actinic rays or radiation.
Compound (II) may have a plurality of the structural moieties Y.

形成されるパターンのLWR性能がより優れる点で、上記化合物PIIにおいて、酸解離定数a1と上記酸解離定数a2との差は、2.0以上が好ましく、3.0以上がより好ましい。なお、酸解離定数a1と上記酸解離定数a2との差の上限値は特に制限されないが、例えば、15.0以下である。In order to obtain a more excellent LWR performance of the pattern formed, the difference between the acid dissociation constant a1 and the acid dissociation constant a2 in the compound PII is preferably 2.0 or more, more preferably 3.0 or more. The upper limit of the difference between the acid dissociation constant a1 and the acid dissociation constant a2 is not particularly limited, but is, for example, 15.0 or less.

また、上記化合物PIIにおいて、酸解離定数a2は、例えば、6.5以下であり、レジスト組成物内での化合物(I)のカチオン部位の安定性がより優れる点で、2.0以下が好ましく、1.0以下がより好ましい。なお、酸解離定数a2の下限値としては、例えば、-3.5以上であり、-2.0以上が好ましい。In addition, in the compound PII, the acid dissociation constant a2 is, for example, 6.5 or less, and is preferably 2.0 or less, and more preferably 1.0 or less, in terms of superior stability of the cationic moiety of compound (I) in the resist composition. The lower limit of the acid dissociation constant a2 is, for example, -3.5 or more, and preferably -2.0 or more.

また、形成されるパターンのLWR性能がより優れる点で、上記化合物PIIにおいて、酸解離定数a1は、2.0以下が好ましく、0.5以下がより好ましく、-0.1以下が更に好ましい。なお、酸解離定数a1の下限値としては、-15.0以上が好ましい。In addition, in order to obtain a pattern having better LWR performance, the acid dissociation constant a1 of the compound PII is preferably 2.0 or less, more preferably 0.5 or less, and even more preferably -0.1 or less. The lower limit of the acid dissociation constant a1 is preferably -15.0 or more.

化合物(II)としては特に制限されず、例えば、下記一般式(IIa)で表される化合物が挙げられる。Compound (II) is not particularly limited, and examples thereof include compounds represented by the following general formula (IIa):

一般式(Ia)中、「M21 21 」及び「A22 22 」は、各々、構造部位X及び構造部位Yに該当する。化合物(IIa)は、活性光線又は放射線の照射によって、下記一般式(IIa-1)で表される酸を発生する。つまり、「M21 21 」は、HA21で表される第1の酸性部位を形成し、「A22 22 」は、上記第1の酸性部位とは異なる構造のHA22で表される第2の酸性部位を形成する。 In general formula (Ia), "M 21 + A 21 - " and "A 22 - M 22 + " correspond to the structural moiety X and the structural moiety Y, respectively. When irradiated with actinic rays or radiation, compound (IIa) generates an acid represented by the following general formula (IIa-1). That is, "M 21 + A 21 - " forms a first acidic moiety represented by HA 21 , and "A 22 - M 22 + " forms a second acidic moiety represented by HA 22 , which has a structure different from that of the first acidic moiety.

一般式(IIa)中、M21 及びM22 は、それぞれ独立に、有機カチオンを表す。
21 及びA22 は、それぞれ独立に、アニオン性官能基を表す。但し、A22
は、A21 で表されるアニオン性官能基とは異なる構造を表す。
は、(n1+n2)価の有機基を表す。
n1は、2以上の整数を表す
n2は、1以上の整数を表す。
但し、上記下記一般式(IIa)において、M21 及びM22 で表される有機カチオンをHに置き換えてなる化合物PIIa(上記一般式(IIa-1)で表される化合物に該当する。)において、A22Hで表される酸性部位に由来する酸解離定数a2は、HA21で表される酸性部位に由来する酸解離定数a1よりも大きい。なお、酸解離定数a1と酸解離定数a2の好適値については、上述した通りである。
In formula (IIa), M 21 + and M 22 + each independently represent an organic cation.
A 21 - and A 22 - each independently represent an anionic functional group.
represents a structure different from the anionic functional group represented by A 21 - .
L2 represents an organic group having a valence of (n1+n2).
n1 represents an integer of 2 or more; and n2 represents an integer of 1 or more.
However, in the compound PIIa (corresponding to the compound represented by the above general formula (IIa-1)) obtained by replacing the organic cations represented by M 21 + and M 22 + in the above general formula (IIa) below with H + , the acid dissociation constant a2 derived from the acidic site represented by A 22 H is greater than the acid dissociation constant a1 derived from the acidic site represented by HA 21. The preferred values of the acid dissociation constant a1 and the acid dissociation constant a2 are as described above.

上記一般式(IIa)中、M21 、M22 、A21 、及びA22 は、各々上述した一般式(Ia)中のM11 、M12 、A11 、及びA12 と同義であり、好適態様も同じである。
上記一般式(IIa)中、n1個のM21 同士、n1個のA21 同士は、各々互いに同一の基を表す。
In the above general formula (IIa), M 21 + , M 22 + , A 21 and A 22 have the same meanings as M 11 + , M 12 + , A 11 and A 12 in the above general formula (Ia), respectively, and the preferred embodiments are also the same.
In the above general formula (IIa), n1 M 21 + groups and n1 A 21 + groups each represent the same group.

上記一般式(IIa)中、Lで表される(n1+n2)価の有機基としては特に制限されず、例えば、下記(A1)及び下記(A2)で表される基等が挙げられる。なお、下記(A1)及び(A2)中、*のうち少なくとも2個はA21 との結合位置を表し、*のうち少なくとも1個はA22 との結合位置を表す。 In the above general formula (IIa), the (n1+n2)-valent organic group represented by L2 is not particularly limited, and examples thereof include the groups represented by the following (A1) and (A2). In the following (A1) and (A2), at least two of the * marks represent the bonding positions with A21- , and at least one of the * marks represents the bonding position with A22- .

上記(A1)及び(A2)中、Tは、3価の炭化水素環基、又は3価の複素環基を表し、Tは、炭素原子、4価の炭化水素環基、又は4価の複素環基を表す。 In the above (A1) and (A2), T 1 represents a trivalent hydrocarbon ring group or a trivalent heterocyclic group, and T 2 represents a carbon atom, a tetravalent hydrocarbon ring group, or a tetravalent heterocyclic group.

上記炭化水素環基は、芳香族炭化水素環基であっても、脂肪族炭化水素環基であってもよい。上記炭化水素環基に含まれる炭素数は、6~18が好ましく、6~14がより好ましい。
上記複素環基は、芳香族複素環基であっても、脂肪族複素環基であってもよい。上記複素環は、少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環であることが好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。
The hydrocarbon ring group may be an aromatic hydrocarbon ring group or an aliphatic hydrocarbon ring group. The hydrocarbon ring group preferably contains 6 to 18 carbon atoms, and more preferably 6 to 14 carbon atoms.
The heterocyclic group may be an aromatic heterocyclic group or an aliphatic heterocyclic group. The heterocyclic ring is preferably a 5- to 10-membered ring having at least one N atom, O atom, S atom, or Se atom in the ring structure, more preferably a 5- to 7-membered ring, and even more preferably a 5- or 6-membered ring.

また、上記(A1)及び(A2)中、L21及びL22は、それぞれ独立に、単結合又は2価の連結基を表す。
21及びL22で表される2価の連結基としては、上記一般式(Ia)中のLで表される2価の連結基と同義であり、好適態様も同じである。
n1は、2以上の整数を表す。上限は特に制限されないが、例えば、6以下であり、4以下が好ましく、3以下がより好ましい。
n2は、1以上の整数を表す。上限は特に制限されないが、例えば、3以下であり、2以下が好ましい。
In the above (A1) and (A2), L 21 and L 22 each independently represent a single bond or a divalent linking group.
The divalent linking group represented by L 21 and L 22 has the same meaning as the divalent linking group represented by L 1 in the above general formula (Ia), and the preferred embodiments are also the same.
n1 represents an integer of 2 or more. The upper limit is not particularly limited, but is, for example, 6 or less, preferably 4 or less, and more preferably 3 or less.
n2 represents an integer of 1 or more. There is no particular upper limit to the number, but it is, for example, 3 or less, and preferably 2 or less.

(化合物(III))
次に、化合物(III)について説明する。
化合物(III):上記構造部位Xを2つ以上と、下記構造部位Zとを有する化合物であって、活性光線又は放射線の照射によって、上記構造部位Xに由来する上記第1の酸性部位を2つ以上と上記構造部位Zとを含む酸を発生する化合物
構造部位Z:酸を中和可能な非イオン性の有機部位
(Compound (III))
Next, compound (III) will be described.
Compound (III): a compound having two or more of the structural moieties X and the following structural moiety Z, which generates an acid containing two or more of the first acidic moieties derived from the structural moiety X and the structural moiety Z upon irradiation with actinic rays or radiation. Structural moiety Z: a nonionic organic moiety capable of neutralizing an acid.

構造部位Z中の酸を中和可能な非イオン性の有機部位としては特に制限されず、例えば、プロトンと静電的に相互作用し得る基又は電子を有する官能基を含む有機部位であることが好ましい。
プロトンと静電的に相互作用し得る基又は電子を有する官能基としては、環状ポリエーテル等のマクロサイクリック構造を有する官能基、又はπ共役に寄与しない非共有電子対をもった窒素原子を有する官能基等が挙げられる。π共役に寄与しない非共有電子対を有する窒素原子とは、例えば、下記式に示す部分構造を有する窒素原子である。
The nonionic organic moiety capable of neutralizing an acid in the structural moiety Z is not particularly limited, and is preferably, for example, an organic moiety containing a functional group having an electron or a group capable of electrostatically interacting with a proton.
Examples of functional groups having a group or electrons capable of electrostatically interacting with a proton include functional groups having a macrocyclic structure such as cyclic polyether, or functional groups having a nitrogen atom having an unshared electron pair that does not contribute to π conjugation. The nitrogen atom having an unshared electron pair that does not contribute to π conjugation is, for example, a nitrogen atom having a partial structure shown in the following formula:

プロトンと静電的に相互作用し得る基又は電子を有する官能基の部分構造としては、例えば、クラウンエーテル構造、アザクラウンエーテル構造、1~3級アミン構造、ピリジン構造、イミダゾール構造、及びピラジン構造等が挙げられ、なかでも、1~3級アミン構造が好ましい。 Examples of partial structures of functional groups having groups or electrons that can electrostatically interact with protons include crown ether structures, azacrown ether structures, primary to tertiary amine structures, pyridine structures, imidazole structures, and pyrazine structures, among which primary to tertiary amine structures are preferred.

上記化合物(III)において上記構造部位X中の上記カチオン部位M をHに置き換えてなる化合物PIIIにおいて、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a1は、形成されるパターンのLWR性能がより優れる点で、2.0以下が好ましく、0.5以下がより好ましく、-0.1以下が更に好ましい。なお、酸解離定数a1の下限値としては、-15.0以上が好ましい。
なお、化合物PIIIが、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数を複数有する場合、その最も小さい値を酸解離定数a1とみなす。
つまり、化合物(III)が、例えば、上記構造部位Xに由来する上記第1の酸性部位を2つと上記構造部位Zとを有する酸を発生する化合物である場合、化合物PIIIは「2つのHAを有する化合物」に該当する。この化合物PIIIの酸解離定数を求めた場合、化合物PIIIが「1つのA と1つのHAとを有する化合物」となる際のpKaが酸解離定数a1である。つまり、化合物PIIIが、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数を複数有する場合、その最も小さい値を酸解離定数a1とみなす。
なお、上記化合物(III)において上記構造部位X中の上記カチオン部位M をHに置き換えてなる化合物PIIIとは、例えば、化合物(III)が後述する化合物(IIIa)で表される化合物である場合、HA31-L-N(R2X)-L-A31Hが該当する。
In the compound PIII obtained by replacing the cationic moiety M 1 + in the structural moiety X in the compound (III) with H + , the acid dissociation constant a1 derived from the acidic moiety represented by HA 1 obtained by replacing the cationic moiety M 1 + in the structural moiety X with H + is preferably 2.0 or less, more preferably 0.5 or less, and even more preferably -0.1 or less, in terms of better LWR performance of the formed pattern. The lower limit of the acid dissociation constant a1 is preferably -15.0 or more.
In addition, when the compound PIII has a plurality of acid dissociation constants derived from the acidic site represented by HA1 obtained by replacing the cationic site M 1 + in the structural site X with H + , the smallest one is regarded as the acid dissociation constant a1.
That is, when compound (III) is, for example, a compound that generates an acid having two of the first acidic sites derived from the structural site X and the structural site Z, compound PIII corresponds to a "compound having two HA 1s ". When the acid dissociation constant of this compound PIII is calculated, the pKa when compound PIII becomes a "compound having one A 1 - and one HA 1 " is the acid dissociation constant a1. That is, when compound PIII has a plurality of acid dissociation constants derived from the acidic site represented by HA 1 obtained by replacing the cationic site M 1 + in the structural site X with H + , the smallest value is regarded as the acid dissociation constant a1.
In addition, compound PIII obtained by replacing the cationic moiety M 1 + in the structural moiety X in compound (III) with H + corresponds to, for example, HA 31 -L 3 -N(R 2X )-L 4 -A 31 H when compound (III) is a compound represented by compound (IIIa) described later.

化合物(III)としては特に制限されないが、例えば、下記一般式(IIIa)で表される化合物が挙げられる。Compound (III) is not particularly limited, but examples thereof include compounds represented by the following general formula (IIIa):

一般式(IIIa)中、「M31 31 」は、構造部位Xに該当する。化合物(IIIa)は、活性光線又は放射線の照射によって、HA31-L-N(R2X)-L-A31Hで表される酸を発生する。つまり、「M31 31 」は、HA31で表される第1の酸性部位を形成する。 In general formula (IIIa), "M 31 + A 31 - " corresponds to the structural moiety X. When irradiated with actinic rays or radiation, compound (IIIa) generates an acid represented by HA 31 -L 3 -N(R 2X )-L 4 -A 31 H. In other words, "M 31 + A 31 - " forms a first acidic moiety represented by HA 31 .

一般式(IIIa)中、M31 は、有機カチオンを表す。
31 は、アニオン性官能基を表す。
及びLは、それぞれ独立に、2価の連結基を表す。
2Xは、1価の置換基を表す。
In formula (IIIa), M 31 + represents an organic cation.
A 31 - represents an anionic functional group.
L3 and L4 each independently represent a divalent linking group.
R2X represents a monovalent substituent.

上記一般式(IIIa)中、M31 、及びA31 は、各々上述した一般式(Ia)中のM11 、及びA11 と同義であり、好適態様も同じである。
上記一般式(IIIa)中、L及びLは、各々上述した一般式(Ia)中のLと同義であり、好適態様も同じである。
上記一般式(IIIa)中、2個のM31 同士、及び2個のA31 同士は、各々互いに同一の基を表す。
In the above general formula (IIIa), M 31 + and A 31 have the same meanings as M 11 + and A 11 in the above general formula (Ia), respectively, and the preferred embodiments are also the same.
In the above general formula (IIIa), L3 and L4 each have the same meaning as L1 in the above general formula (Ia), and the preferred embodiments are also the same.
In the above general formula (IIIa), the two M 31 + 's and the two A 31 -'s each represent the same group.

一般式(IIIa)中、R2Xで表される1価の置換基としては特に制限されず、例えば、-CH-が、-CO-、-NH-、-O-、-S-、-SO-、及び-SO-よりなる群より選ばれる1種又は2種以上の組み合わせで置換されていてもよい、アルキル基(好ましくは炭素数1~10。直鎖状でも分岐鎖状でもよい)、シクロアルキル基(好ましくは炭素数3~15)、又はアルケニル基(好ましくは炭素数2~6)等が挙げられる。
また、上記アルキレン基、上記シクロアルキレン基、及び上記アルケニレン基は、置換基で置換されていてもよい。
In general formula (IIIa), the monovalent substituent represented by R 2X is not particularly limited and examples thereof include an alkyl group (preferably having 1 to 10 carbon atoms, which may be linear or branched), a cycloalkyl group (preferably having 3 to 15 carbon atoms), or an alkenyl group (preferably having 2 to 6 carbon atoms), in which -CH 2 - may be substituted with one or a combination of two or more selected from the group consisting of -CO-, -NH-, -O-, -S-, -SO-, and -SO 2 -.
The alkylene group, the cycloalkylene group, and the alkenylene group may be substituted with a substituent.

上記化合物(I)~(III)で表される化合物の分子量は300~3000が好ましく、500~2000がより好ましく、700~1500が更に好ましい。The molecular weight of the compounds represented by the above compounds (I) to (III) is preferably 300 to 3,000, more preferably 500 to 2,000, and even more preferably 700 to 1,500.

以下に、上記化合物(I)~(III)で表される化合物の好ましい例を示す。Preferred examples of compounds represented by the above compounds (I) to (III) are shown below.

<光酸発生剤C>
上記レジスト組成物は、以外のその他の光酸発生剤(光酸発生剤C)を含んでいてもよい。
<Photoacid Generator C>
The resist composition may contain another photoacid generator (photoacid generator C).

光酸発生剤Cとしては、活性光線又は放射線の照射により酸を発生する公知の化合物を、単独又はそれらの混合物として適宜選択して使用できる。例えば、米国特許出願公開2016/0070167A1号明細書の段落[0125]~[0319]、米国特許出願公開2015/0004544A1号明細書の段落[0086]~[0094]、米国特許出願公開2016/0237190A1号明細書の段落[0323]~[0402]、並びに、特開2018-155788号明細書の段落[0074]~[0122]及び[0137]~[0146]に開示された公知の化合物を光酸発生剤Cとして好適に使用できる。As the photoacid generator C, known compounds that generate an acid upon irradiation with actinic rays or radiation can be appropriately selected and used, either alone or as a mixture thereof. For example, known compounds disclosed in paragraphs [0125] to [0319] of US Patent Application Publication No. 2016/0070167 A1, paragraphs [0086] to [0094] of US Patent Application Publication No. 2015/0004544 A1, paragraphs [0323] to [0402] of US Patent Application Publication No. 2016/0237190 A1, and paragraphs [0074] to [0122] and [0137] to [0146] of JP 2018-155788 A can be suitably used as the photoacid generator C.

また、光酸発生剤Cとしては、例えば、下記一般式(1)で表される化合物及び下記一般式(2)で表される化合物からなる群より選ばれる化合物も好ましい。
以下、一般式(1)で表される化合物及び下記一般式(2)で表される化合物について各々説明する。
As the photoacid generator C, for example, a compound selected from the group consisting of a compound represented by the following general formula (1) and a compound represented by the following general formula (2) are also preferred.
The compound represented by the general formula (1) and the compound represented by the following general formula (2) will be described below.

(一般式(1)で表される化合物) (Compound represented by general formula (1))

一般式(1)中、M は、有機カチオンを表す。A は、アニオン性官能基を表す。
は、水素原子、又は1価の有機基を表す。Lは、単結合、又は2価の連結基を表す。
In formula (1), M 3 + represents an organic cation, and A 3 - represents an anionic functional group.
R a represents a hydrogen atom or a monovalent organic group. L a represents a single bond or a divalent linking group.

で表される有機カチオンとしては、化合物(Ia)中のM11 と同義であり、好適態様も同じである。
で表されるアニオン性官能基としては特に制限されないが、例えば、下記一般式(C-1)~(C-7)で表される基を表す。
The organic cation represented by M 3 + has the same meaning as M 11 + in compound (Ia), and the preferred embodiments are also the same.
The anionic functional group represented by A 3 - is not particularly limited, but may be, for example, any of the groups represented by the following general formulae (C-1) to (C-7).

一般式(C-1)中、RX1は、置換基を表す。
X1としては、直鎖状、分岐鎖状、又は環状のアルキル基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましい。
上記アルキル基は、置換基を有していてもよい。置換基としては、フッ素原子、又はシアノ基が好ましい。上記アルキル基が置換基としてフッ素原子を有する場合、パーフルオロアルキル基であってもよい。
In formula (C-1), R represents a substituent.
R X1 is preferably a linear, branched, or cyclic alkyl group.
The alkyl group preferably has 1 to 15 carbon atoms, and more preferably has 1 to 10 carbon atoms.
The alkyl group may have a substituent. The substituent is preferably a fluorine atom or a cyano group. When the alkyl group has a fluorine atom as a substituent, it may be a perfluoroalkyl group.

一般式(C-2)及び(C-3)中、RX2は、水素原子、又は、フッ素原子及びパーフルオロアルキル基以外の置換基を表す。
X2で表されるフッ素原子及びパーフルオロアルキル基以外の置換基としては、直鎖状、分岐鎖状、又は環状のアルキル基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましい。
上記アルキル基は、フッ素原子以外の置換基を有していてもよい。
In formulae (C-2) and (C-3), R X2 represents a hydrogen atom or a substituent other than a fluorine atom or a perfluoroalkyl group.
As the substituent other than a fluorine atom and a perfluoroalkyl group represented by R x2 , a linear, branched or cyclic alkyl group is preferable.
The alkyl group preferably has 1 to 15 carbon atoms, and more preferably has 1 to 10 carbon atoms.
The alkyl group may have a substituent other than a fluorine atom.

一般式(C-3)中、RXF1は、フッ素原子、又はパーフルオロアルキル基を表す。
XF1で表されるパーフルオロアルキル基の炭素数は1~15が好ましく、1~10がより好ましく、1~6が更に好ましい。
In formula (C-3), R 1 XF1 represents a fluorine atom or a perfluoroalkyl group.
The perfluoroalkyl group represented by R 2 XF1 preferably has 1 to 15 carbon atoms, more preferably 1 to 10 carbon atoms, and even more preferably 1 to 6 carbon atoms.

一般式(C-5)中、RX3は、フッ素原子以外の置換基を表す。
X2で表されるフッ素原子以外の置換基としては、直鎖状、分岐鎖状、又は環状のアルキル基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましい。
In formula (C-5), R X3 represents a substituent other than a fluorine atom.
The substituent other than a fluorine atom represented by Rx2 is preferably a linear, branched or cyclic alkyl group.
The alkyl group preferably has 1 to 15 carbon atoms, and more preferably has 1 to 10 carbon atoms.

一般式(C-5)及(C-6)中、nは、0~4の整数を表す。nとしては、1~4の整数がより好ましい。In general formulas (C-5) and (C-6), n represents an integer of 0 to 4. An integer of 1 to 4 is more preferable for n.

一般式(C-7)中、Lは、直鎖状、分岐鎖状、又は環状のアルキレン基を表す。上記アルキレン基の炭素数は、1~15が好ましく、1~10がより好ましい。
上記アルキレン基は、置換基(例えば、フッ素原子等)で置換されていてもよい。
In formula (C-7), Lc represents a linear, branched, or cyclic alkylene group, preferably having 1 to 15 carbon atoms, and more preferably having 1 to 10 carbon atoms.
The alkylene group may be substituted with a substituent (for example, a fluorine atom, etc.).

で表される2価の連結基としては特に制限されず、例えば、-CO-、-NH-、-O-、-S-、-SO-、-SO-、及びアルキレン基(好ましくは炭素数1~10。直鎖状でも分岐鎖状でもよい)からなる群より選ばれる1種以上又は2種以上を組み合わせた基が挙げられる。
また、上記アルキレン基は、置換基(例えば、フッ素原子等)で置換されていてもよい。
The divalent linking group represented by L a is not particularly limited, and examples thereof include one or more groups selected from the group consisting of -CO-, -NH-, -O-, -S-, -SO-, -SO 2 -, and an alkylene group (preferably having 1 to 10 carbon atoms, which may be linear or branched).
The alkylene group may be substituted with a substituent (for example, a fluorine atom, etc.).

で表される1価の有機基としては特に制限されず、例えば、フルオロアルキル基(炭素数1~10が好ましく、炭素数1~6がより好ましい)、及び環状構造を含む有機基が挙げられ、なかでも環状の有機基が好ましい。
環状の有機基としては、例えば、脂環基、アリール基、及び複素環基が挙げられる。
脂環基は、単環式であってもよく、多環式であってもよい。単環式の脂環基としては、例えば、シクロペンチル基、シクロヘキシル基、及びシクロオクチル基等の単環のシクロアルキル基が挙げられる。多環式の脂環基としては、例えば、ノルボルニル基、トリシクロデカニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が挙げられる。なかでも、ノルボルニル基、トリシクロデカニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の炭素数7以上の嵩高い構造を有する脂環基が好ましい。
なお、上記脂環基は、炭素原子がカルボニル基で置換されていてもよい。
The monovalent organic group represented by R a is not particularly limited, and examples thereof include a fluoroalkyl group (preferably having 1 to 10 carbon atoms, more preferably having 1 to 6 carbon atoms) and an organic group containing a cyclic structure, and among these, a cyclic organic group is preferable.
Examples of the cyclic organic group include an alicyclic group, an aryl group, and a heterocyclic group.
The alicyclic group may be monocyclic or polycyclic. Examples of the monocyclic alicyclic group include monocyclic cycloalkyl groups such as cyclopentyl, cyclohexyl, and cyclooctyl. Examples of the polycyclic alicyclic group include polycyclic cycloalkyl groups such as norbornyl, tricyclodecanyl, tetracyclodecanyl, tetracyclododecanyl, and adamantyl. Among them, alicyclic groups having a bulky structure with 7 or more carbon atoms, such as norbornyl, tricyclodecanyl, tetracyclodecanyl, tetracyclododecanyl, and adamantyl, are preferred.
In addition, the carbon atom of the alicyclic group may be substituted with a carbonyl group.

アリール基は、単環式であってもよく、多環式であってもよい。このアリール基としては、例えば、フェニル基、ナフチル基、フェナントリル基、及びアントリル基が挙げられる。
複素環基は、単環式であってもよく、多環式であってもよい。多環式の方がより酸の拡散を抑制可能である。また、複素環基は、芳香族性を有していてもよいし、芳香族性を有していなくてもよい。芳香族性を有している複素環としては、例えば、フラン環、チオフェン環、ベンゾフラン環、ベンゾチオフェン環、ジベンゾフラン環、ジベンゾチオフェン環、及びピリジン環が挙げられる。芳香族性を有していない複素環としては、例えば、テトラヒドロピラン環、ラクトン環、スルトン環、及びデカヒドロイソキノリン環が挙げられる。ラクトン環及びスルトン環の例としては、後述する樹脂において例示したラクトン構造及びスルトン構造が挙げられる。複素環基における複素環としては、フラン環、チオフェン環、ピリジン環、又はデカヒドロイソキノリン環が特に好ましい。
The aryl group may be monocyclic or polycyclic and includes, for example, phenyl, naphthyl, phenanthryl, and anthryl groups.
The heterocyclic group may be monocyclic or polycyclic. The polycyclic group can suppress the diffusion of the acid more effectively. The heterocyclic group may have aromaticity or may not have aromaticity. Examples of the heterocyclic ring having aromaticity include a furan ring, a thiophene ring, a benzofuran ring, a benzothiophene ring, a dibenzofuran ring, a dibenzothiophene ring, and a pyridine ring. Examples of the heterocyclic ring not having aromaticity include a tetrahydropyran ring, a lactone ring, a sultone ring, and a decahydroisoquinoline ring. Examples of the lactone ring and the sultone ring include the lactone structure and the sultone structure exemplified in the resin described later. As the heterocyclic ring in the heterocyclic group, a furan ring, a thiophene ring, a pyridine ring, or a decahydroisoquinoline ring is particularly preferable.

上記環状の有機基は、置換基を有していてもよい。この置換基としては、例えば、アルキル基(直鎖状及び分岐鎖状のいずれであってもよく、炭素数1~12が好ましい)、シクロアルキル基(単環、多環、及び、スピロ環のいずれであってもよく、炭素数3~20が好ましい)、アリール基(炭素数6~14が好ましい)、水酸基、アルコキシ基、エステル基、アミド基、ウレタン基、ウレイド基、チオエーテル基、スルホンアミド基、及びスルホン酸エステル基が挙げられる。なお、環状の有機基を構成する炭素(環形成に寄与する炭素)はカルボニル炭素であってもよい。The cyclic organic group may have a substituent. Examples of the substituent include an alkyl group (which may be either linear or branched, and preferably has 1 to 12 carbon atoms), a cycloalkyl group (which may be either a monocyclic, polycyclic, or spirocyclic group, and preferably has 3 to 20 carbon atoms), an aryl group (which preferably has 6 to 14 carbon atoms), a hydroxyl group, an alkoxy group, an ester group, an amide group, a urethane group, a ureido group, a thioether group, a sulfonamide group, and a sulfonate ester group. The carbon that constitutes the cyclic organic group (the carbon that contributes to the ring formation) may be a carbonyl carbon.

形成されるパターンのLWR性能がより優れる点で、上記一般式(1)中のM をHに置き換えたHA-La-Raで表される化合物Qにおいて、HAで表される酸性部位の酸解離定数は、-2.0以上であり、-1.0以上が好ましく、0以上がより好ましい。なお、上記酸解離定数の上限値は特に制限されないが、例えば、6.0以下であり、2.0以下が好ましい。 In terms of better LWR performance of the formed pattern, in compound Q represented by HA 3 -La-Ra in which M 3 + in the above general formula (1) is replaced by H + , the acid dissociation constant of the acidic site represented by HA 3 is −2.0 or more, preferably −1.0 or more, and more preferably 0 or more. There is no particular upper limit to the acid dissociation constant, but it is, for example, 6.0 or less, and preferably 2.0 or less.

(一般式(2)で表される化合物) (Compound represented by general formula (2))

上記一般式(2)中、M は、硫黄イオン又はヨウ素イオンを表す。
mは、1又は2を表し、M が硫黄イオンである場合には2であり、ヨウ素原子である場合には1である。
は、各々独立に、ヘテロ原子を含んでいてもよいアルキル基若しくはアルケニル基、アリール基、又はヘテロアリール基を表す。なお、mが2の場合には、2つのRは互いに結合して、環を形成していてもよい。
は、2価の連結基を表す。
は、アニオン性官能基を表す。
In the above general formula (2), M 4 + represents a sulfur ion or an iodine ion.
m represents 1 or 2, and is 2 when M 4 + is a sulfur ion, and is 1 when M 4 + is an iodine atom.
Each R b independently represents an alkyl group or alkenyl group, an aryl group, or a heteroaryl group, which may contain a heteroatom. When m is 2, two R b may be bonded to each other to form a ring.
Lb represents a divalent linking group.
A 4 - represents an anionic functional group.

で表されるヘテロ原子を含んでいてもよいアルキル基又はアルケニル基としては、特に制限されないが、例えば、-CH-がヘテロ原子で置換されていてもよい炭素数1~20のアルキル基(好ましくは炭素数1~10)、及び-CH-がヘテロ原子で置換されていてもよい炭素数1~20のアルケニル基(好ましくは炭素数2~10)等が挙げられる。ヘテロ原子としては、例えば、酸素原子、窒素原子、及び硫黄原子等が挙げられる。
なお、Rで表されるヘテロ原子を含んでいてもよいアルキル基又はアルケニル基は、直鎖状、分岐鎖状、及び環状のいずれであってもよい。
また、Rで表されるヘテロ原子を含んでいてもよいアルキル基又はアルケニル基は、置換基を有していてもよい。この置換基としては、例えば、アリール基(炭素数6~14が好ましい)、水酸基、アルコキシ基、エステル基、アミド基、ウレタン基、ウレイド基、チオエーテル基、スルホンアミド基、及びスルホン酸エステル基が挙げられる。
The alkyl or alkenyl group which may contain a heteroatom represented by R b is not particularly limited, and examples thereof include an alkyl group having 1 to 20 carbon atoms (preferably having 1 to 10 carbon atoms) in which -CH 2 - may be substituted with a heteroatom, and an alkenyl group having 1 to 20 carbon atoms (preferably having 2 to 10 carbon atoms) in which -CH 2 - may be substituted with a heteroatom, etc. Examples of the heteroatom include an oxygen atom, a nitrogen atom, and a sulfur atom.
The alkyl or alkenyl group which may contain a heteroatom and is represented by Rb may be any of linear, branched, and cyclic.
The alkyl or alkenyl group which may contain a heteroatom represented by Rb may have a substituent, for example, an aryl group (preferably having 6 to 14 carbon atoms), a hydroxyl group, an alkoxy group, an ester group, an amide group, a urethane group, a ureido group, a thioether group, a sulfonamide group, and a sulfonate ester group.

で表されるアリール基としては、単環式であってもよく、多環式であってもよい。このアリール基としては、例えば、フェニル基、ナフチル基、フェナントリル基、及びアントリル基が挙げられる。
で表されるヘテロアリール基としては、単環式であってもよく、多環式であってもよい。多環式の方がより酸の拡散を抑制可能である。このヘテロアリール基を構成する芳香族複素環としては、例えば、フラン環、チオフェン環、ベンゾフラン環、ベンゾチオフェン環、ジベンゾフラン環、ジベンゾチオフェン環、及びピリジン環が挙げられる。
The aryl group represented by Rb may be either monocyclic or polycyclic, and examples of the aryl group include a phenyl group, a naphthyl group, a phenanthryl group, and an anthryl group.
The heteroaryl group represented by Rb may be monocyclic or polycyclic. The polycyclic group is more effective in suppressing the diffusion of acid. Examples of the aromatic heterocycle constituting the heteroaryl group include a furan ring, a thiophene ring, a benzofuran ring, a benzothiophene ring, a dibenzofuran ring, a dibenzothiophene ring, and a pyridine ring.

で表されるアリール基及びヘテロアリール基は、置換基を有していてもよい。この置換基としては、例えば、アルキル基(直鎖状及び分岐鎖状のいずれであってもよく、炭素数1~12が好ましい)、シクロアルキル基(単環、多環、及び、スピロ環のいずれであってもよく、炭素数3~20が好ましい)、アリール基(炭素数6~14が好ましい)、水酸基、アルコキシ基、エステル基、アミド基、ウレタン基、ウレイド基、チオエーテル基、スルホンアミド基、及びスルホン酸エステル基が挙げられる。 The aryl group and heteroaryl group represented by Rb may have a substituent. Examples of the substituent include an alkyl group (which may be either linear or branched, and preferably has 1 to 12 carbon atoms), a cycloalkyl group (which may be either a monocyclic, polycyclic, or spirocyclic ring, and preferably has 3 to 20 carbon atoms), an aryl group (which preferably has 6 to 14 carbon atoms), a hydroxyl group, an alkoxy group, an ester group, an amide group, a urethane group, a ureido group, a thioether group, a sulfonamide group, and a sulfonate ester group.

で表される2価の連結基としては特に制限されず、例えば、-CO-、-NH-、-O-、-S-、-SO-、-SO-、アルキレン基(好ましくは炭素数1~10。直鎖状でも分岐鎖状でもよい)、及びアリーレン基(好ましくは炭素数6~10)からなる群より選ばれる1種以上又は2種以上を組み合わせた基が挙げられる。
また、上記アルキレン基及びアリーレン基は、置換基(例えば、フッ素原子等)で置換されていてもよい。
The divalent linking group represented by Lb is not particularly limited, and examples thereof include one or more groups selected from the group consisting of -CO-, -NH-, -O-, -S-, -SO-, -SO 2 -, an alkylene group (preferably having 1 to 10 carbon atoms, which may be linear or branched), and an arylene group (preferably having 6 to 10 carbon atoms), or a combination of two or more groups selected from the group consisting of these.
The alkylene group and arylene group may be substituted with a substituent (for example, a fluorine atom, etc.).

で表されるアニオン性官能基としては、上述したA で表されるアニオン性官能基と同義であり、好適態様も同じである。 The anionic functional group represented by A 4 - has the same meaning as the anionic functional group represented by A 3 - described above, and the preferred embodiments are also the same.

形成されるパターンのLWR性能がより優れる点で、上記一般式(2)中のA をHAに置き換えたHA-L-M -(Rで表される化合物Rにおいて、HAで表される酸性部位の酸解離定数は、-2.0以上であり、-1.0以上が好ましく、0以上がより好ましい。なお、上記酸解離定数の上限値は特に制限されないが、例えば、6.0以下であり、2.0以下が好ましい。 In terms of better LWR performance of the formed pattern, in compound R represented by HA 4 -L b -M 4 + -(R b ) m in which A 4 - in the above general formula (2) is replaced with HA 4 , the acid dissociation constant of the acidic site represented by HA 4 is -2.0 or more, preferably -1.0 or more, and more preferably 0 or more. There is no particular upper limit to the acid dissociation constant, but it is, for example, 6.0 or less, and preferably 2.0 or less.

上記一般式(1)で表される化合物及び上記一般式(2)で表される化合物の分子量は300~3000が好ましく、500~2000がより好ましく、700~1500が更に好ましい。The molecular weight of the compound represented by the above general formula (1) and the compound represented by the above general formula (2) is preferably 300 to 3,000, more preferably 500 to 2,000, and even more preferably 700 to 1,500.

以下に、上記一般式(1)及び(2)で表される化合物の好ましい例を示す。Preferred examples of compounds represented by general formulas (1) and (2) are given below.

〔酸分解性樹脂(樹脂(A))〕
本発明のレジスト組成物は、酸の作用により分解して極性が増大する樹脂(以下、「酸分解性樹脂」又は「樹脂(A)」ともいう)を含む。
つまり、本発明のパターン形成方法において、典型的には、現像液としてアルカリ現像液を採用した場合には、ポジ型パターンが好適に形成され、現像液として有機系現像液を採用した場合には、ネガ型パターンが好適に形成される。
樹脂(A)は、通常、酸の作用により分解し極性が増大する基(以下、「酸分解性基」ともいう)を含み、酸分解性基を有する繰り返し単位を含むことが好ましい。
[Acid-decomposable resin (resin (A))]
The resist composition of the present invention contains a resin that decomposes under the action of an acid to increase its polarity (hereinafter, also referred to as an "acid-decomposable resin" or "resin (A)").
That is, in the pattern formation method of the present invention, typically, when an alkaline developer is used as the developer, a positive pattern is preferably formed, and when an organic developer is used as the developer, a negative pattern is preferably formed.
The resin (A) usually contains a group that decomposes under the action of an acid to increase its polarity (hereinafter also referred to as an "acid-decomposable group"), and preferably contains a repeating unit having an acid-decomposable group.

<酸分解性基を有する繰り返し単位>
酸分解性基とは、酸の作用により分解して極性基を生じる基をいう。酸分解性基は、酸の作用により脱離する脱離基で極性基が保護された構造を有することが好ましい。つまり、樹脂(A)は、酸の作用により分解し、極性基を生じる基を有する繰り返し単位を有する。この繰り返し単位を有する樹脂は、酸の作用により極性が増大してアルカリ現像液に対する溶解度が増大し、有機溶剤に対する溶解度が減少する。
極性基としては、アルカリ可溶性基が好ましく、例えば、カルボキシル基、フェノール性水酸基、フッ素化アルコール基、スルホン酸基、リン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス
(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及びトリス(アルキルスルホニル)メチレン基等の酸性基、並びにアルコール性水酸基等が挙げられる。
なかでも、極性基としては、カルボキシル基、フェノール性水酸基、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール基)、又はスルホン酸基が好ましい。
<Repeating Unit Having Acid-Decomposable Group>
The acid decomposable group refers to a group that decomposes under the action of an acid to generate a polar group. The acid decomposable group preferably has a structure in which a polar group is protected by a leaving group that is removed under the action of an acid. That is, the resin (A) has a repeating unit that decomposes under the action of an acid to generate a polar group. The resin having this repeating unit has an increased polarity under the action of an acid, and its solubility in an alkaline developer increases, and its solubility in an organic solvent decreases.
The polar group is preferably an alkali-soluble group, and examples thereof include acidic groups such as a carboxyl group, a phenolic hydroxyl group, a fluorinated alcohol group, a sulfonic acid group, a phosphate group, a sulfonamide group, a sulfonylimide group, an (alkylsulfonyl)(alkylcarbonyl)methylene group, an (alkylsulfonyl)(alkylcarbonyl)imide group, a bis(alkylcarbonyl)methylene group, a bis(alkylcarbonyl)imide group, a bis(alkylsulfonyl)methylene group, a bis(alkylsulfonyl)imide group, a tris(alkylcarbonyl)methylene group, and a tris(alkylsulfonyl)methylene group, as well as an alcoholic hydroxyl group.
Among these, the polar group is preferably a carboxyl group, a phenolic hydroxyl group, a fluorinated alcohol group (preferably a hexafluoroisopropanol group), or a sulfonic acid group.

酸の作用により脱離する脱離基としては、例えば、式(Y1)~(Y4)で表される基が挙げられる。
式(Y1):-C(Rx)(Rx)(Rx
式(Y2):-C(=O)OC(Rx)(Rx)(Rx
式(Y3):-C(R36)(R37)(OR38
式(Y4):-C(Rn)(H)(Ar)
Examples of the leaving group which is eliminated by the action of an acid include groups represented by the formulae (Y1) to (Y4).
Formula (Y1): -C(Rx 1 )(Rx 2 )(Rx 3 )
Formula (Y2): -C(=O)OC( Rx1 )( Rx2 )( Rx3 )
Formula (Y3): -C(R 36 )(R 37 )(OR 38 )
Formula (Y4): -C(Rn)(H)(Ar)

式(Y1)及び式(Y2)中、Rx~Rxは、それぞれ独立に、アルキル基(直鎖状若しくは分岐鎖状)又はシクロアルキル基(単環若しくは多環)、アルケニル基(直鎖状若しくは分岐鎖状)、又はアリール基(単環若しくは多環)を表す。なお、Rx~Rxの全てがアルキル基(直鎖状若しくは分岐鎖状)である場合、Rx~Rxのうち少なくとも2つはメチル基であることが好ましい。
なかでも、Rx~Rxは、それぞれ独立に、直鎖状又は分岐鎖状のアルキル基を表すことが好ましく、Rx~Rxは、それぞれ独立に、直鎖状のアルキル基を表すことがより好ましい。
Rx~Rxの2つが結合して、単環又は多環を形成してもよい。
Rx~Rxのアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、及びt-ブチル基等の炭素数1~5のアルキル基が好ましい。
Rx~Rxのシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基、並びにノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。
Rx~Rxのアリール基としては、炭素数6~10のアリール基が好ましく、例えば、フェニル基、ナフチル基、及びアントリル基等が挙げられる。
Rx~Rxのアルケニル基としては、ビニル基が好ましい。
Rx~Rxの2つが結合して形成される環としては、シクロアルキル基が好ましい。Rx~Rxの2つが結合して形成されるシクロアルキル基としては、シクロペンチル基、若しくは、シクロヘキシル基等の単環のシクロアルキル基、又はノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、若しくは、アダマンチル基等の多環のシクロアルキル基が好ましく、炭素数5~6の単環のシクロアルキル基がより好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基は、例えば、環を構成するメチレン基の1つが、酸素原子等のヘテロ原子、カルボニル基等のヘテロ原子を有する基、又はビニリデン基で置き換わっていてもよい。また、これらのシクロアルキル基は、シクロアルカン環を構成するエチレン基の1つ以上が、ビニレン基で置き換わっていてもよい。
で置き換わっていてもよい。
式(Y1)又は式(Y2)で表される基は、例えば、Rxがメチル基又はエチル基であり、RxとRxとが結合して上述のシクロアルキル基を形成している態様が好ましい。
In formula (Y1) and formula (Y2), Rx 1 to Rx 3 each independently represent an alkyl group (linear or branched), a cycloalkyl group (monocyclic or polycyclic), an alkenyl group (linear or branched), or an aryl group (monocyclic or polycyclic). When all of Rx 1 to Rx 3 are alkyl groups (linear or branched), it is preferable that at least two of Rx 1 to Rx 3 are methyl groups.
In particular, it is preferable that Rx 1 to Rx 3 each independently represent a linear or branched alkyl group, and it is more preferable that Rx 1 to Rx 3 each independently represent a linear alkyl group.
Two of Rx 1 to Rx 3 may be bonded to form a monocycle or polycycle.
The alkyl group of Rx 1 to Rx 3 is preferably an alkyl group having 1 to 5 carbon atoms, such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group, and a t-butyl group.
The cycloalkyl groups of Rx 1 to Rx 3 are preferably monocyclic cycloalkyl groups such as a cyclopentyl group and a cyclohexyl group, and polycyclic cycloalkyl groups such as a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group.
The aryl group of Rx 1 to Rx 3 is preferably an aryl group having 6 to 10 carbon atoms, and examples thereof include a phenyl group, a naphthyl group, and an anthryl group.
The alkenyl group of Rx 1 to Rx 3 is preferably a vinyl group.
The ring formed by combining two of Rx 1 to Rx 3 is preferably a cycloalkyl group. The cycloalkyl group formed by combining two of Rx 1 to Rx 3 is preferably a monocyclic cycloalkyl group such as a cyclopentyl group or a cyclohexyl group, or a polycyclic cycloalkyl group such as a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, or an adamantyl group, and more preferably a monocyclic cycloalkyl group having 5 to 6 carbon atoms.
In the cycloalkyl group formed by combining two of Rx1 to Rx3 , for example, one of the methylene groups constituting the ring may be replaced with a heteroatom such as an oxygen atom, a group having a heteroatom such as a carbonyl group, or a vinylidene group. Furthermore, in these cycloalkyl groups, one or more of the ethylene groups constituting the cycloalkane ring may be replaced with a vinylene group.
may be substituted.
In the group represented by formula (Y1) or formula (Y2), for example, it is preferable that Rx1 is a methyl group or an ethyl group, and Rx2 and Rx3 are bonded to form the above-mentioned cycloalkyl group.

式(Y3)中、R36~R38は、それぞれ独立に、水素原子又は1価の有機基を表す。R37とR38とは、互いに結合して環を形成してもよい。1価の有機基としては、アルキル基、シクロアルキル基、アリール基、アラルキル基、及びアルケニル基等が挙げられる。R36は水素原子であることも好ましい。
なお、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基には、酸素原子等のヘテロ原子及び/又はカルボニル基等のヘテロ原子を有する基が含まれていてもよい。例えば、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基は、例えば、メチレン基の1つ以上が、酸素原子等のヘテロ原子及び/又はカルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
また、R38は、繰り返し単位の主鎖が有する別の置換基と互いに結合して、環を形成してもよい。R38と繰り返し単位の主鎖が有する別の置換基とが互いに結合して形成する基は、メチレン基等のアルキレン基が好ましい。
In formula (Y3), R 36 to R 38 each independently represent a hydrogen atom or a monovalent organic group. R 37 and R 38 may be bonded to each other to form a ring. Examples of the monovalent organic group include an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, and an alkenyl group. It is also preferable that R 36 is a hydrogen atom.
The alkyl group, cycloalkyl group, aryl group, and aralkyl group may contain a heteroatom such as an oxygen atom and/or a group having a heteroatom such as a carbonyl group. For example, the alkyl group, cycloalkyl group, aryl group, and aralkyl group may have one or more methylene groups replaced with a heteroatom such as an oxygen atom and/or a group having a heteroatom such as a carbonyl group.
In addition, R 38 may be bonded to another substituent in the main chain of the repeating unit to form a ring. The group formed by bonding R 38 to another substituent in the main chain of the repeating unit is preferably an alkylene group such as a methylene group.

式(Y3)としては、下記式(Y3-1)で表される基が好ましい。As formula (Y3), a group represented by the following formula (Y3-1) is preferred.

ここで、L及びLは、それぞれ独立に、水素原子、アルキル基、シクロアルキル基、アリール基、又はこれらを組み合わせた基(例えば、アルキル基とアリール基とを組み合わせた基)を表す。
Mは、単結合又は2価の連結基を表す。
Qは、ヘテロ原子を含んでいてもよいアルキル基、ヘテロ原子を含んでいてもよいシクロアルキル基、ヘテロ原子を含んでいてもよいアリール基、アミノ基、アンモニウム基、メルカプト基、シアノ基、アルデヒド基、又はこれらを組み合わせた基(例えば、アルキル基とシクロアルキル基とを組み合わせた基)を表す。
アルキル基及びシクロアルキル基は、例えば、メチレン基の1つが、酸素原子等のヘテロ原子、又はカルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
なお、L及びLのうち一方は水素原子であり、他方はアルキル基、シクロアルキル基、アリール基、又はアルキレン基とアリール基とを組み合わせた基であることが好ましい。
Q、M、及びLの少なくとも2つが結合して環(好ましくは、5員若しくは6員環)を形成してもよい。
パターンの微細化の点では、Lが2級又は3級アルキル基であることが好ましく、3級アルキル基であることがより好ましい。2級アルキル基としては、イソプロピル基、シクロヘキシル基又はノルボルニル基が挙げられ、3級アルキル基としては、tert-ブチル基又はアダマンタン基が挙げられる。これらの態様では、Tg(ガラス転移温度)及び活性化エネルギーが高くなるため、膜強度の担保に加え、かぶりの抑制ができる。
Here, L 1 and L 2 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, or a group formed by combining these groups (for example, a group formed by combining an alkyl group with an aryl group).
M represents a single bond or a divalent linking group.
Q represents an alkyl group which may contain a heteroatom, a cycloalkyl group which may contain a heteroatom, an aryl group which may contain a heteroatom, an amino group, an ammonium group, a mercapto group, a cyano group, an aldehyde group, or a group combining these (for example, a group combining an alkyl group and a cycloalkyl group).
The alkyl and cycloalkyl groups may, for example, have one of the methylene groups replaced with a heteroatom such as an oxygen atom or a group containing a heteroatom such as a carbonyl group.
It is preferable that one of L1 and L2 is a hydrogen atom, and the other is an alkyl group, a cycloalkyl group, an aryl group, or a group formed by combining an alkylene group and an aryl group.
At least two of Q, M and L1 may be bonded to form a ring (preferably a 5- or 6-membered ring).
From the viewpoint of miniaturization of the pattern, L2 is preferably a secondary or tertiary alkyl group, and more preferably a tertiary alkyl group. Examples of the secondary alkyl group include an isopropyl group, a cyclohexyl group, and a norbornyl group, and examples of the tertiary alkyl group include a tert-butyl group and an adamantane group. In these embodiments, Tg (glass transition temperature) and activation energy are high, so that in addition to ensuring the film strength, fogging can be suppressed.

式(Y4)中、Arは、芳香環基を表す。Rnは、アルキル基、シクロアルキル基、又はアリール基を表す。RnとArとは互いに結合して非芳香族環を形成してもよい。Arはより好ましくはアリール基である。In formula (Y4), Ar represents an aromatic ring group. Rn represents an alkyl group, a cycloalkyl group, or an aryl group. Rn and Ar may be bonded to each other to form a non-aromatic ring. Ar is more preferably an aryl group.

繰り返し単位の酸分解性が優れる点から、極性基を保護する脱離基において、極性基(又はその残基)に非芳香族環が直接結合している場合、上記非芳香族環中の、上記極性基(又はその残基)と直接結合している環員原子に隣接する環員原子は、置換基としてフッ素原子等のハロゲン原子を有さないのも好ましい。In terms of excellent acid decomposition properties of the repeating unit, when a non-aromatic ring is directly bonded to a polar group (or a residue thereof) in a leaving group protecting a polar group, it is also preferable that a ring atom in the non-aromatic ring adjacent to the ring atom directly bonded to the polar group (or a residue thereof) does not have a halogen atom such as a fluorine atom as a substituent.

酸の作用により脱離する脱離基は、他にも、3-メチル-2-シクロペンテニル基のような置換基(アルキル基等)を有する2-シクロペンテニル基、及び、1,1,4,4-テトラメチルシクロヘキシル基のような置換基(アルキル基等)を有するシクロヘキシル基でもよい。Other examples of leaving groups that are eliminated by the action of an acid include a 2-cyclopentenyl group having a substituent (such as an alkyl group), such as a 3-methyl-2-cyclopentenyl group, and a cyclohexyl group having a substituent (such as an alkyl group), such as a 1,1,4,4-tetramethylcyclohexyl group.

酸分解性基を有する繰り返し単位としては、式(A)で表される繰り返し単位も好ましい。As a repeating unit having an acid-decomposable group, a repeating unit represented by formula (A) is also preferred.

は、フッ素原子又はヨウ素原子を有していてもよい2価の連結基を表し、Rは水素原子、フッ素原子、ヨウ素原子、フッ素原子若しくはヨウ素原子を有していてもよいアルキル基、又はフッ素原子若しくはヨウ素原子を有していてもよいアリール基を表し、Rは酸の作用によって脱離し、フッ素原子又はヨウ素原子を有していてもよい脱離基を表す。ただし、L、R、及びRのうち少なくとも1つは、フッ素原子又はヨウ素原子を有する。
は、フッ素原子又はヨウ素原子を有していてもよい2価の連結基を表す。フッ素原子又はヨウ素原子を有していてもよい2価の連結基としては、-CO-、-O-、-S―、-SO-、―SO-、フッ素原子又はヨウ素原子を有していてもよい炭化水素基(例えば、アルキレン基、シクロアルキレン基、アルケニレン基、アリーレン基等)、及びこれらの複数が連結した連結基等が挙げられる。なかでも、Lとしては、-CO-、又は-アリーレン基-フッ素原子若しくはヨウ素原子を有するアルキレン基-が好ましい。
アリーレン基としては、フェニレン基が好ましい。
アルキレン基は、直鎖状であっても、分岐鎖状であってもよい。アルキレン基の炭素数は特に制限されないが、1~10が好ましく、1~3がより好ましい。
フッ素原子又はヨウ素原子を有するアルキレン基に含まれるフッ素原子及びヨウ素原子の合計数は特に制限されないが、2以上が好ましく、2~10がより好ましく、3~6が更に好ましい。
L1 represents a divalent linking group which may have a fluorine atom or an iodine atom, R1 represents a hydrogen atom, a fluorine atom, an iodine atom, an alkyl group which may have a fluorine atom or an iodine atom, or an aryl group which may have a fluorine atom or an iodine atom, and R2 represents a leaving group which is eliminated by the action of an acid and which may have a fluorine atom or an iodine atom, provided that at least one of L1 , R1 , and R2 has a fluorine atom or an iodine atom.
L1 represents a divalent linking group which may have a fluorine atom or an iodine atom. Examples of the divalent linking group which may have a fluorine atom or an iodine atom include -CO-, -O-, -S-, -SO-, -SO 2 -, a hydrocarbon group which may have a fluorine atom or an iodine atom (e.g., an alkylene group, a cycloalkylene group, an alkenylene group, an arylene group, etc.), and linking groups in which a plurality of these are linked together. Among these, -CO- or -arylene group-alkylene group having a fluorine atom or an iodine atom- is preferred as L1 .
The arylene group is preferably a phenylene group.
The alkylene group may be linear or branched. The number of carbon atoms in the alkylene group is not particularly limited, but is preferably 1 to 10, and more preferably 1 to 3.
The total number of fluorine atoms and iodine atoms contained in the alkylene group having a fluorine atom or an iodine atom is not particularly limited, but is preferably 2 or more, more preferably 2 to 10, and even more preferably 3 to 6.

は、水素原子、フッ素原子、ヨウ素原子、フッ素原子若しくはヨウ素原子が有していてもよいアルキル基、又はフッ素原子若しくはヨウ素原子を有していてもよいアリール基を表す。
アルキル基は、直鎖状であっても、分岐鎖状であってもよい。アルキル基の炭素数は特に制限されないが、1~10が好ましく、1~3がより好ましい。
フッ素原子又はヨウ素原子を有するアルキル基に含まれるフッ素原子及びヨウ素原子の合計数は特に制限されないが、1以上が好ましく、1~5がより好ましく、1~3が更に好ましい。
上記アルキル基は、ハロゲン原子以外の酸素原子等のヘテロ原子を含んでいてもよい。
R 1 represents a hydrogen atom, a fluorine atom, an iodine atom, an alkyl group which may have a fluorine atom or an iodine atom, or an aryl group which may have a fluorine atom or an iodine atom.
The alkyl group may be linear or branched. The number of carbon atoms in the alkyl group is not particularly limited, but is preferably 1 to 10, and more preferably 1 to 3.
The total number of fluorine atoms and iodine atoms contained in the alkyl group having a fluorine atom or an iodine atom is not particularly limited, but is preferably 1 or more, more preferably 1 to 5, and even more preferably 1 to 3.
The alkyl group may contain a heteroatom other than a halogen atom, such as an oxygen atom.

は、酸の作用によって脱離し、フッ素原子又はヨウ素原子を有していてもよい脱離基を表す。
なかでも、脱離基としては、式(Z1)~(Z4)で表される基が挙げられる。
式(Z1):-C(Rx11)(Rx12)(Rx13)式(Z2):-C(=O)OC(Rx11)(Rx12)(Rx13)式(Z3):-C(R136)(R137)(OR138)式(Z4):-C(Rn)(H)(Ar
R2 represents a leaving group which is eliminated by the action of an acid and which may have a fluorine atom or an iodine atom.
Among them, examples of the leaving group include groups represented by formulae (Z1) to (Z4).
Formula (Z1): -C( Rx11 )( Rx12 )( Rx13 ) Formula (Z2): -C(=O)OC( Rx11 )( Rx12 )( Rx13 ) Formula (Z3): -C( R136 )( R137 )( OR138 ) Formula (Z4): -C( Rn1 )(H)( Ar1 )

式(Z1)、(Z2)中、Rx11~Rx13は、それぞれ独立に、フッ素原子若しくはヨウ素原子を有していてもよいアルキル基(直鎖状若しくは分岐鎖状)、フッ素原子若しくはヨウ素原子を有していてもよいシクロアルキル基(単環若しくは多環)、フッ素原子若しくはヨウ素原子を有していてもよいアルケニル基(直鎖状若しくは分岐鎖状)、又はフッ素原子若しくはヨウ素原子を有していてもよいアリール基(単環若しくは多環)を表す。なお、Rx11~Rx13の全てがアルキル基(直鎖状若しくは分岐鎖状)である場合、Rx11~Rx13のうち少なくとも2つはメチル基であることが好ましい。
Rx11~Rx13は、フッ素原子又はヨウ素原子を有していてもよい点以外は、上述した(Y1)、(Y2)中のRx~Rxと同じであり、アルキル基、シクロアルキル基、アルケニル基、及びアリール基の定義及び好適範囲と同じである。
In formula (Z1) and (Z2), Rx11 to Rx13 each independently represent an alkyl group (linear or branched) which may have a fluorine atom or an iodine atom, a cycloalkyl group (monocyclic or polycyclic) which may have a fluorine atom or an iodine atom, an alkenyl group (linear or branched) which may have a fluorine atom or an iodine atom, or an aryl group (monocyclic or polycyclic) which may have a fluorine atom or an iodine atom. Note that when all of Rx11 to Rx13 are alkyl groups (linear or branched), it is preferable that at least two of Rx11 to Rx13 are methyl groups.
Rx11 to Rx13 are the same as Rx1 to Rx3 in (Y1) and (Y2) described above, except that they may have a fluorine atom or an iodine atom, and the definitions and preferred ranges of an alkyl group, a cycloalkyl group, an alkenyl group, and an aryl group are the same as those of Rx11 to Rx3 in (Y1) and (Y2).

式(Z3)中、R136~R138は、それぞれ独立に、水素原子、又はフッ素原子若しくはヨウ素原子を有していてもよい1価の有機基を表す。R137とR138とは、互いに結合して環を形成してもよい。フッ素原子又はヨウ素原子を有していてもよい1価の有機基としては、フッ素原子又はヨウ素原子を有していてもよいアルキル基、フッ素原子又はヨウ素原子を有していてもよいシクロアルキル基、フッ素原子又はヨウ素原子を有していてもよいアリール基、フッ素原子又はヨウ素原子を有していてもよいアラルキル基、及びこれらを組み合わせた基(例えば、アルキル基とシクロアルキル基とを組み合わせた基)が挙げられる。
なお、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基には、フッ素原子及びヨウ素原子以外に、酸素原子等のヘテロ原子が含まれていてもよい。つまり、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基は、例えば、メチレン基の1つが、酸素原子等のヘテロ原子、又はカルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
また、R138は、繰り返し単位の主鎖が有する別の置換基と互いに結合して、環を形成してもよい。この場合、R138と繰り返し単位の主鎖が有する別の置換基とが互いに結合して形成する基は、メチレン基等のアルキレン基が好ましい。
In formula (Z3), R 136 to R 138 each independently represent a hydrogen atom, or a monovalent organic group which may have a fluorine atom or an iodine atom. R 137 and R 138 may be bonded to each other to form a ring. Examples of the monovalent organic group which may have a fluorine atom or an iodine atom include an alkyl group which may have a fluorine atom or an iodine atom, a cycloalkyl group which may have a fluorine atom or an iodine atom, an aryl group which may have a fluorine atom or an iodine atom, an aralkyl group which may have a fluorine atom or an iodine atom, and a group which combines these (for example, a group which combines an alkyl group and a cycloalkyl group).
The alkyl group, cycloalkyl group, aryl group, and aralkyl group may contain a heteroatom such as an oxygen atom in addition to a fluorine atom and an iodine atom. That is, the alkyl group, cycloalkyl group, aryl group, and aralkyl group may have, for example, one methylene group replaced with a heteroatom such as an oxygen atom or a group having a heteroatom such as a carbonyl group.
R 138 may be bonded to another substituent in the main chain of the repeating unit to form a ring. In this case, the group formed by bonding R 138 to another substituent in the main chain of the repeating unit is preferably an alkylene group such as a methylene group.

式(Z3)としては、下記式(Z3-1)で表される基が好ましい。As formula (Z3), a group represented by the following formula (Z3-1) is preferred.

ここで、L11及びL12は、それぞれ独立に、水素原子;フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子を有していてもよいアルキル基;フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子を有していてもよいシクロアルキル基;フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子を有していてもよいアリール基;又はこれらを組み合わせた基(例えば、フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子を有していてもよい、アルキル基とシクロアルキル基とを組み合わせた基)を表す。
は、単結合又は2価の連結基を表す。
は、フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子を有していてもよいアルキル基;フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子を有していてもよいシクロアルキル基;フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるアリール基;アミノ基;アンモニウム基;メルカプト基;シアノ基;アルデヒド基;又はこれらを組み合わせた基(例えば、フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子を有していてもよい、アルキル基とシクロアルキル基とを組み合わせた基)を表す。
Here, L11 and L12 each independently represent a hydrogen atom; an alkyl group which may have a heteroatom selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom; a cycloalkyl group which may have a heteroatom selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom; an aryl group which may have a heteroatom selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom; or a group combining these (for example, a group combining an alkyl group and a cycloalkyl group which may have a heteroatom selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom).
M 1 represents a single bond or a divalent linking group.
Q1 represents an alkyl group which may have a heteroatom selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom; a cycloalkyl group which may have a heteroatom selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom; an aryl group which is selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom; an amino group; an ammonium group; a mercapto group; a cyano group; an aldehyde group; or a group combining these (for example, a group combining an alkyl group and a cycloalkyl group which may have a heteroatom selected from the group consisting of a fluorine atom, an iodine atom, and an oxygen atom).

式(Z4)中、Arは、フッ素原子又はヨウ素原子を有していてもよい芳香環基を表す。Rnは、フッ素原子若しくはヨウ素原子を有していてもよいアルキル基、フッ素原子若しくはヨウ素原子を有していてもよいシクロアルキル基、又はフッ素原子若しくはヨウ素原子を有していてもよいアリール基を表す。RnとArとは互いに結合して非芳香族環を形成してもよい。 In formula (Z4), Ar 1 represents an aromatic ring group which may have a fluorine atom or an iodine atom. Rn 1 represents an alkyl group which may have a fluorine atom or an iodine atom, a cycloalkyl group which may have a fluorine atom or an iodine atom, or an aryl group which may have a fluorine atom or an iodine atom. Rn 1 and Ar 1 may be bonded to each other to form a non-aromatic ring.

酸分解性基を有する繰り返し単位としては、一般式(AI)で表される繰り返し単位も好ましい。As a repeating unit having an acid-decomposable group, a repeating unit represented by general formula (AI) is also preferred.

一般式(AI)において、
Xaは、水素原子、又は置換基を有していてもよいアルキル基を表す。
Tは、単結合、又は2価の連結基を表す。
Rx~Rxは、それぞれ独立に、アルキル基(直鎖状、又は分岐鎖状)、シクロアルキル基(単環若しくは多環)、アルケニル基(直鎖状若しくは分岐鎖状)、又はアリール(単環若しくは多環)基を表す。ただし、Rx~Rxの全てがアルキル基(直鎖状、又は分岐鎖状)である場合、Rx~Rxのうち少なくとも2つはメチル基であることが好ましい。
Rx~Rxの2つが結合して、単環又は多環(単環又は多環のシクロアルキル基等)を形成してもよい。
In general formula (AI),
Xa1 represents a hydrogen atom or an alkyl group which may have a substituent.
T represents a single bond or a divalent linking group.
Rx1 to Rx3 each independently represent an alkyl group (linear or branched), a cycloalkyl group (monocyclic or polycyclic), an alkenyl group (linear or branched), or an aryl group (monocyclic or polycyclic). However, when all of Rx1 to Rx3 are alkyl groups (linear or branched), it is preferable that at least two of Rx1 to Rx3 are methyl groups.
Two of Rx 1 to Rx 3 may be bonded to form a monocyclic or polycyclic ring (eg, a monocyclic or polycyclic cycloalkyl group).

Xaにより表される、置換基を有していてもよいアルキル基としては、例えば、メチル基又は-CH-R11で表される基が挙げられる。R11は、ハロゲン原子(フッ素原子等)、水酸基又は1価の有機基を表し、例えば、ハロゲン原子が置換していてもよい炭素数5以下のアルキル基、ハロゲン原子が置換していてもよい炭素数5以下のアシル基、及びハロゲン原子が置換していてもよい炭素数5以下のアルコキシ基が挙げられ、炭素数3以下のアルキル基が好ましく、メチル基がより好ましい。Xaとしては、水素原子、メチル基、トリフルオロメチル基、又はヒドロキシメチル基が好ましい。 Examples of the alkyl group represented by Xa 1 which may have a substituent include a methyl group or a group represented by -CH 2 -R 11. R 11 represents a halogen atom (such as a fluorine atom), a hydroxyl group or a monovalent organic group, and examples thereof include an alkyl group having 5 or less carbon atoms which may be substituted with a halogen atom, an acyl group having 5 or less carbon atoms which may be substituted with a halogen atom, and an alkoxy group having 5 or less carbon atoms which may be substituted with a halogen atom, with an alkyl group having 3 or less carbon atoms being preferred, and a methyl group being more preferred. Xa 1 is preferably a hydrogen atom, a methyl group, a trifluoromethyl group, or a hydroxymethyl group.

Tの2価の連結基としては、アルキレン基、芳香環基、-COO-Rt-基、及び-O-Rt-基等が挙げられる。式中、Rtは、アルキレン基、又はシクロアルキレン基を表す。
Tは、単結合又は-COO-Rt-基が好ましい。Tが-COO-Rt-基を表す場合、Rtは、炭素数1~5のアルキレン基が好ましく、-CH-基、-(CH-基、又は-(CH-基がより好ましい。
Examples of the divalent linking group for T include an alkylene group, an aromatic ring group, a -COO-Rt- group, and a -O-Rt- group, in which Rt represents an alkylene group or a cycloalkylene group.
T is preferably a single bond or a -COO-Rt- group. When T represents a -COO-Rt- group, Rt is preferably an alkylene group having 1 to 5 carbon atoms, more preferably a -CH 2 - group, a -(CH 2 ) 2 - group, or a -(CH 2 ) 3 - group.

Rx~Rxのアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、及びt-ブチル基等の炭素数1~4のアルキル基が好ましい。
Rx~Rxのシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基、又はノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。
Rx~Rxのアリール基としては、炭素数6~10のアリール基が好ましく、例えば、フェニル基、ナフチル基、及びアントリル基等が挙げられる。
Rx~Rxのアルケニル基としては、ビニル基が好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基が好ましく、その他にも、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。なかでも、炭素数5~6の単環のシクロアルキル基が好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基は、例えば、環を構成するメチレン基の1つが、酸素原子等のヘテロ原子、カルボニル基等のヘテロ原子を有する基、又はビニリデン基で置き換わっていてもよい。また、これらのシクロアルキル基は、シクロアルカン環を構成するエチレン基の1つ以上が、ビニレン基で置き換わっていてもよい。
一般式(AI)で表される繰り返し単位は、例えば、Rxがメチル基又はエチル基であり、RxとRxとが結合して上述のシクロアルキル基を形成している態様が好ましい。
The alkyl group of Rx 1 to Rx 3 is preferably an alkyl group having 1 to 4 carbon atoms, such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group, and a t-butyl group.
The cycloalkyl groups of Rx 1 to Rx 3 are preferably monocyclic cycloalkyl groups such as a cyclopentyl group and a cyclohexyl group, or polycyclic cycloalkyl groups such as a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group.
The aryl group of Rx 1 to Rx 3 is preferably an aryl group having 6 to 10 carbon atoms, and examples thereof include a phenyl group, a naphthyl group, and an anthryl group.
The alkenyl group of Rx 1 to Rx 3 is preferably a vinyl group.
The cycloalkyl group formed by combining two of Rx 1 to Rx 3 is preferably a monocyclic cycloalkyl group such as a cyclopentyl group or a cyclohexyl group, and is further preferably a polycyclic cycloalkyl group such as a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, an adamantyl group, etc. Among these, a monocyclic cycloalkyl group having 5 to 6 carbon atoms is preferred.
In the cycloalkyl group formed by combining two of Rx1 to Rx3 , for example, one of the methylene groups constituting the ring may be replaced with a heteroatom such as an oxygen atom, a group having a heteroatom such as a carbonyl group, or a vinylidene group. In addition, in these cycloalkyl groups, one or more of the ethylene groups constituting the cycloalkane ring may be replaced with a vinylene group.
In the repeating unit represented by formula (AI), for example, Rx1 is preferably a methyl group or an ethyl group, and Rx2 and Rx3 are bonded to form the above-mentioned cycloalkyl group.

上記各基が置換基を有する場合、置換基としては、例えば、アルキル基(炭素数1~4)、ハロゲン原子、水酸基、アルコキシ基(炭素数1~4)、カルボキシル基、及びアルコキシカルボニル基(炭素数2~6)等が挙げられる。置換基中の炭素数は、8以下が好ましい。When each of the above groups has a substituent, examples of the substituent include an alkyl group (having 1 to 4 carbon atoms), a halogen atom, a hydroxyl group, an alkoxy group (having 1 to 4 carbon atoms), a carboxyl group, and an alkoxycarbonyl group (having 2 to 6 carbon atoms). The number of carbon atoms in the substituent is preferably 8 or less.

一般式(AI)で表される繰り返し単位としては、好ましくは、酸分解性(メタ)アクリル酸3級アルキルエステル系繰り返し単位(Xaが水素原子又はメチル基を表し、且つ、Tが単結合を表す繰り返し単位)である。 The repeating unit represented by general formula (AI) is preferably an acid-decomposable (meth)acrylic acid tertiary alkyl ester repeating unit (a repeating unit in which Xa1 represents a hydrogen atom or a methyl group and T represents a single bond).

酸分解性基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対し、15モル%以上が好ましく、20モル%以上がより好ましく、30モル%以上が更に好ましい。また、その上限値としては、80モル%以下が好ましく、70モル%以下がより好ましく、60モル%以下が特に好ましい。The content of the repeating units having an acid-decomposable group is preferably 15 mol% or more, more preferably 20 mol% or more, and even more preferably 30 mol% or more, based on the total repeating units in the resin (A). The upper limit is preferably 80 mol% or less, more preferably 70 mol% or less, and particularly preferably 60 mol% or less.

酸分解性基を有する繰り返し単位の具体例を以下に示すが、本発明は、これに限定されるものではない。なお、式中、XaはH、CH、CF、及びCHOHのいずれか、Rxa及びRxbはそれぞれ炭素数1~5の直鎖状又は分岐鎖状のアルキル基を表す。 Specific examples of repeating units having an acid-decomposable group are shown below, but the present invention is not limited thereto. In the formula, Xa1 is any one of H, CH 3 , CF 3 and CH 2 OH, and Rxa and Rxb each represent a linear or branched alkyl group having 1 to 5 carbon atoms.

樹脂(A)は、上述した繰り返し単位以外の繰り返し単位を含んでいてもよい。
例えば、樹脂(A)は、以下のA群からなる群から選択される少なくとも1種の繰り返し単位、及び/又は以下のB群からなる群から選択される少なくとも1種の繰り返し単位を含んでいてもよい。
A群:以下の(20)~(29)の繰り返し単位からなる群。
(20)後述する、酸基を有する繰り返し単位
(21)後述する、フッ素原子又はヨウ素原子を有する繰り返し単位
(22)後述する、ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位(23)後述する、光酸発生基を有する繰り返し単位
(24)後述する、一般式(V-1)又は下記一般式(V-2)で表される繰り返し単位(25)後述する、式(A)で表される繰り返し単位
(26)後述する、式(B)で表される繰り返し単位
(27)後述する、式(C)で表される繰り返し単位
(28)後述する、式(D)で表される繰り返し単位
(29)後述する、式(E)で表される繰り返し単位B群:以下の(30)~(32)の繰り返し単位からなる群。
(30)後述する、ラクトン基、スルトン基、カーボネート基、水酸基、シアノ基、及びアルカリ可溶性基から選ばれる少なくとも1種類の基を有する繰り返し単位
(31)後述する、脂環炭化水素構造を有し、酸分解性を示さない繰り返し単位
(32)後述する、水酸基及びシアノ基のいずれも有さない、一般式(III)で表される繰り返し単位
The resin (A) may contain repeating units other than the repeating units described above.
For example, resin (A) may contain at least one type of repeating unit selected from the group consisting of Group A below, and/or at least one type of repeating unit selected from the group consisting of Group B below.
Group A: A group consisting of the following repeating units (20) to (29).
(20) a repeating unit having an acid group, as described later; (21) a repeating unit having a fluorine atom or an iodine atom, as described later; (22) a repeating unit having a lactone group, a sultone group, or a carbonate group, as described later; (23) a repeating unit having a photoacid generating group, as described later; (24) a repeating unit represented by general formula (V-1) or the following general formula (V-2), as described later; (25) a repeating unit represented by formula (A), as described later; (26) a repeating unit represented by formula (B), as described later; (27) a repeating unit represented by formula (C), as described later; (28) a repeating unit represented by formula (D), as described later; (29) a repeating unit represented by formula (E), as described later. Group B: a group consisting of the following repeating units (30) to (32).
(30) A repeating unit having at least one group selected from a lactone group, a sultone group, a carbonate group, a hydroxyl group, a cyano group, and an alkali-soluble group, as described below. (31) A repeating unit having an alicyclic hydrocarbon structure and not exhibiting acid decomposability, as described below. (32) A repeating unit represented by general formula (III), as described below, which does not have either a hydroxyl group or a cyano group.

本発明のレジスト組成物がEUV用の感活性光線性又は感放射線性樹脂組成物として用いられる場合、樹脂(A)は上記A群からなる群から選択される少なくとも1種の繰り返し単位を有することが好ましい。
また、本発明のレジスト組成物がEUV用の感活性光線性又は感放射線性樹脂組成物として用いられる場合、樹脂(A)は、フッ素原子及びヨウ素原子の少なくとも一方を含むことが好ましい。樹脂(A)がフッ素原子及びヨウ素原子の両方を含む場合、樹脂(A)は、フッ素原子及びヨウ素原子の両方を含む1つの繰り返し単位を有していてもよいし、樹脂(A)は、フッ素原子を有する繰り返し単位とヨウ素原子を含む繰り返し単位との2種を含んでいてもよい。
また、本発明のレジスト組成物がEUV用の感活性光線性又は感放射線性樹脂組成物として用いられる場合、樹脂(A)が、芳香族基を有する繰り返し単位を有するのも好ましい。
本発明のレジスト組成物がArF用の感活性光線性又は感放射線性樹脂組成物として用いられる場合、樹脂(A)は上記B群からなる群から選択される少なくとも1種の繰り返し単位を有することが好ましい。
なお、本発明のレジスト組成物がArF用の感活性光線性又は感放射線性樹脂組成物として用いられる場合、樹脂(A)は、フッ素原子及び珪素原子のいずれも含まないことが好ましい。
また、本発明のレジスト組成物がArF用の感活性光線性又は感放射線性樹脂組成物として用いられる場合、樹脂(A)は、芳香族基を有さないことが好ましい。
When the resist composition of the present invention is used as an actinic ray-sensitive or radiation-sensitive resin composition for EUV, the resin (A) preferably has at least one type of repeating unit selected from the group consisting of Group A above.
In addition, when the resist composition of the present invention is used as an actinic ray-sensitive or radiation-sensitive resin composition for EUV, it is preferable that the resin (A) contains at least one of a fluorine atom and an iodine atom. When the resin (A) contains both a fluorine atom and an iodine atom, the resin (A) may have one repeating unit containing both a fluorine atom and an iodine atom, or the resin (A) may contain two types of repeating units, a repeating unit containing a fluorine atom and a repeating unit containing an iodine atom.
Furthermore, when the resist composition of the present invention is used as an actinic ray-sensitive or radiation-sensitive resin composition for EUV, it is also preferable that the resin (A) has a repeating unit having an aromatic group.
When the resist composition of the present invention is used as an ArF actinic ray-sensitive or radiation-sensitive resin composition, the resin (A) preferably has at least one type of repeating unit selected from the group consisting of Group B above.
When the resist composition of the present invention is used as an ArF actinic ray-sensitive or radiation-sensitive resin composition, it is preferable that the resin (A) contains neither fluorine atoms nor silicon atoms.
Furthermore, when the resist composition of the present invention is used as an ArF actinic ray-sensitive or radiation-sensitive resin composition, it is preferable that the resin (A) does not have an aromatic group.

<酸基を有する繰り返し単位>
樹脂(A)は、酸基を有する繰り返し単位を有していてもよい。
酸基としては、pKaが13以下の酸基が好ましい。
酸基としては、例えば、カルボキシル基、フェノール性水酸基、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール基)、スルホン酸基、スルホンアミド基、又はイソプロパノール基等が好ましい。
また、上記ヘキサフルオロイソプロパノール基は、フッ素原子の1つ以上(好ましくは1~2つ)が、フッ素原子以外の基(アルコキシカルボニル基等)で置換されてもよい。このように形成された-C(CF)(OH)-CF-も、酸基として好ましい。また、フッ素原子の1つ以上がフッ素原子以外の基に置換されて、-C(CF)(OH)-CF-を含む環を形成してもよい。
酸基を有する繰り返し単位は、上述の酸の作用により脱離する脱離基で極性基が保護された構造を有する繰り返し単位、及び後述するラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位とは異なる繰り返し単位であるのが好ましい。
<Repeating Unit Having an Acid Group>
The resin (A) may have a repeating unit having an acid group.
The acid group is preferably an acid group having a pKa of 13 or less.
The acid group is preferably, for example, a carboxyl group, a phenolic hydroxyl group, a fluorinated alcohol group (preferably a hexafluoroisopropanol group), a sulfonic acid group, a sulfonamide group, or an isopropanol group.
In addition, in the above hexafluoroisopropanol group, one or more (preferably one or two) fluorine atoms may be substituted with a group other than a fluorine atom (such as an alkoxycarbonyl group). The thus formed -C( CF3 )(OH) -CF2- is also preferable as an acid group. In addition, one or more fluorine atoms may be substituted with a group other than a fluorine atom to form a ring containing -C( CF3 )(OH) -CF2- .
The repeating unit having an acid group is preferably a repeating unit different from the repeating unit having a structure in which a polar group is protected with a leaving group that is eliminated by the action of an acid described above, and the repeating unit having a lactone group, a sultone group, or a carbonate group described below.

酸基を有する繰り返し単位は、フッ素原子又はヨウ素原子を有していてもよい。The repeating unit having an acid group may have a fluorine atom or an iodine atom.

酸基を有する繰り返し単位としては、式(B)で表される繰り返し単位が好ましい。As a repeating unit having an acid group, a repeating unit represented by formula (B) is preferred.

は、水素原子、又はフッ素原子若しくはヨウ素原子を有していてもよい1価の有機基を表す。
フッ素原子又はヨウ素原子を有していてもよい1価の有機基としては、-L-Rで表される基が好ましい。Lは、単結合、又はエステル基を表す。Rは、フッ素原子若しくはヨウ素原子を有していてもよいアルキル基、フッ素原子若しくはヨウ素原子を有していてもよいシクロアルキル基、フッ素原子若しくはヨウ素原子を有していてもよいアリール基、又はこれらを組み合わせた基が挙げられる。
R3 represents a hydrogen atom or a monovalent organic group which may have a fluorine atom or an iodine atom.
The monovalent organic group which may have a fluorine atom or an iodine atom is preferably a group represented by -L 4 -R 8. L 4 represents a single bond or an ester group. R 8 may be an alkyl group which may have a fluorine atom or an iodine atom, a cycloalkyl group which may have a fluorine atom or an iodine atom, an aryl group which may have a fluorine atom or an iodine atom, or a group which is a combination of these.

及びRは、それぞれ独立に、水素原子、フッ素原子、ヨウ素原子、又はフッ素原子若しくはヨウ素原子を有していてもよいアルキル基を表す。 R4 and R5 each independently represent a hydrogen atom, a fluorine atom, an iodine atom, or an alkyl group which may have a fluorine atom or an iodine atom.

は、単結合、又はエステル基を表す。
は、(n+m+1)価の芳香族炭化水素環基、又は(n+m+1)価の脂環式炭化水素環基を表す。芳香族炭化水素環基としては、ベンゼン環基、及びナフタレン環基が挙げられる。脂環式炭化水素環基としては、単環であっても、多環であってもよく、例えば、シクロアルキル環基が挙げられる。
は、水酸基、又はフッ素化アルコール基(好ましくは、ヘキサフルオロイソプロパノール基)を表す。なお、Rが水酸基の場合、Lは(n+m+1)価の芳香族炭化水素環基であることが好ましい。
は、ハロゲン原子を表す。ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、又はヨウ素原子が挙げられる。
mは、1以上の整数を表す。mは、1~3の整数が好ましく、1~2の整数が好ましい。
nは、0又は1以上の整数を表す。nは、1~4の整数が好ましい。
なお、(n+m+1)は、1~5の整数が好ましい。
L2 represents a single bond or an ester group.
L3 represents an aromatic hydrocarbon ring group having a valence of (n+m+1) or an alicyclic hydrocarbon ring group having a valence of (n+m+1). Examples of the aromatic hydrocarbon ring group include a benzene ring group and a naphthalene ring group. Examples of the alicyclic hydrocarbon ring group include a monocyclic or polycyclic ring group, such as a cycloalkyl ring group.
R6 represents a hydroxyl group or a fluorinated alcohol group (preferably a hexafluoroisopropanol group). When R6 is a hydroxyl group, L3 is preferably an aromatic hydrocarbon ring group having a valence of (n+m+1).
R7 represents a halogen atom. Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.
m represents an integer of 1 or more, preferably an integer of 1 to 3, and more preferably an integer of 1 or 2.
n represents an integer of 0 or more, and is preferably an integer of 1 to 4.
Incidentally, (n+m+1) is preferably an integer of 1 to 5.

酸基を有する繰り返し単位としては、下記一般式(I)で表される繰り返し単位も好ましい。As a repeating unit having an acid group, a repeating unit represented by the following general formula (I) is also preferred.

一般式(I)中、
41、R42及びR43は、それぞれ独立に、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基又はアルコキシカルボニル基を表す。但し、R42はArと結合して環を形成していてもよく、その場合のR42は単結合又はアルキレン基を表す。
は、単結合、-COO-、又は-CONR64-を表し、R64は、水素原子又はアルキル基を表す。
は、単結合又はアルキレン基を表す。
Arは、(n+1)価の芳香環基を表し、R42と結合して環を形成する場合には(n+2)価の芳香環基を表す。
nは、1~5の整数を表す。
In general formula (I),
R 41 , R 42 and R 43 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an alkoxycarbonyl group, provided that R 42 may be bonded to Ar 4 to form a ring, in which case R 42 represents a single bond or an alkylene group.
X 4 represents a single bond, —COO— or —CONR 64 —, where R 64 represents a hydrogen atom or an alkyl group.
L4 represents a single bond or an alkylene group.
Ar 4 represents an (n+1)-valent aromatic ring group, and when Ar 4 is bonded to R 42 to form a ring, it represents an (n+2)-valent aromatic ring group.
n represents an integer of 1 to 5.

一般式(I)におけるR41、R42、及びR43のアルキル基としては、メチル基、エチル基、プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、ヘキシル基、2-エチルヘキシル基、オクチル基、及びドデシル基等の炭素数20以下のアルキル基が好ましく、炭素数8以下のアルキル基がより好ましく、炭素数3以下のアルキル基が更に好ましい。 The alkyl group of R 41 , R 42 , and R 43 in general formula (I) is preferably an alkyl group having 20 or less carbon atoms, such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a hexyl group, a 2-ethylhexyl group, an octyl group, or a dodecyl group, more preferably an alkyl group having 8 or less carbon atoms, and even more preferably an alkyl group having 3 or less carbon atoms.

一般式(I)におけるR41、R42、及びR43のシクロアルキル基としては、単環型でも、多環型でもよい。なかでも、シクロプロピル基、シクロペンチル基、及びシクロヘキシル基等の炭素数3~8個で単環型のシクロアルキル基が好ましい。
一般式(I)におけるR41、R42、及びR43のハロゲン原子としては、フッ素原子、塩素原子、臭素原子、及びヨウ素原子が挙げられ、フッ素原子が好ましい。
一般式(I)におけるR41、R42、及びR43のアルコキシカルボニル基に含まれるアルキル基としては、上記R41、R42、R43におけるアルキル基と同様のものが好ましい。
The cycloalkyl group of R 41 , R 42 , and R 43 in the general formula (I) may be a monocyclic or polycyclic cycloalkyl group, and among them, a monocyclic cycloalkyl group having 3 to 8 carbon atoms, such as a cyclopropyl group, a cyclopentyl group, and a cyclohexyl group, is preferred.
Examples of the halogen atom for R 41 , R 42 and R 43 in formula (I) include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom, with a fluorine atom being preferred.
As the alkyl group contained in the alkoxycarbonyl group of R 41 , R 42 and R 43 in the general formula (I), the same as the alkyl group in the above R 41 , R 42 and R 43 is preferable.

上記各基における好ましい置換基としては、例えば、アルキル基、シクロアルキル基、アリール基、アミノ基、アミド基、ウレイド基、ウレタン基、水酸基、カルボキシル基、ハロゲン原子、アルコキシ基、チオエーテル基、アシル基、アシロキシ基、アルコキシカルボニル基、シアノ基、及びニトロ基が挙げられる。置換基の炭素数は8以下が好ましい。Preferred examples of the substituents in each of the above groups include alkyl groups, cycloalkyl groups, aryl groups, amino groups, amide groups, ureido groups, urethane groups, hydroxyl groups, carboxyl groups, halogen atoms, alkoxy groups, thioether groups, acyl groups, acyloxy groups, alkoxycarbonyl groups, cyano groups, and nitro groups. The number of carbon atoms in the substituents is preferably 8 or less.

Arは、(n+1)価の芳香環基を表す。nが1である場合における2価の芳香環基は、例えば、フェニレン基、トリレン基、ナフチレン基、及びアントラセニレン基等の炭素数6~18のアリーレン基、又はチオフェン環、フラン環、ピロール環、ベンゾチオフェン環、ベンゾフラン環、ベンゾピロール環、トリアジン環、イミダゾール環、ベンゾイミダゾール環、トリアゾール環、チアジアゾール環、及びチアゾール環等のヘテロ環を含む2価の芳香環基が好ましい。なお、上記芳香環基は、置換基を有していてもよい。 Ar 4 represents an aromatic ring group having a valence of (n+1). When n is 1, the divalent aromatic ring group is preferably an arylene group having 6 to 18 carbon atoms, such as a phenylene group, a tolylene group, a naphthylene group, or an anthracenylene group, or a divalent aromatic ring group containing a heterocycle, such as a thiophene ring, a furan ring, a pyrrole ring, a benzothiophene ring, a benzofuran ring, a benzopyrrole ring, a triazine ring, an imidazole ring, a benzimidazole ring, a triazole ring, a thiadiazole ring, or a thiazole ring. The aromatic ring group may have a substituent.

nが2以上の整数である場合における(n+1)価の芳香環基の具体例としては、2価の芳香環基の上記した具体例から、(n-1)個の任意の水素原子を除してなる基が挙げられる。
(n+1)価の芳香環基は、更に置換基を有していてもよい。
Specific examples of the (n+1)-valent aromatic ring group when n is an integer of 2 or more include groups obtained by removing any (n-1) hydrogen atoms from the above-mentioned specific examples of the divalent aromatic ring group.
The (n+1)-valent aromatic ring group may further have a substituent.

上述したアルキル基、シクロアルキル基、アルコキシカルボニル基、アルキレン基、及び(n+1)価の芳香環基が有し得る置換基としては、例えば、一般式(I)におけるR41、R42、及びR43で挙げたアルキル基、メトキシ基、エトキシ基、ヒドロキシエトキシ基、プロポキシ基、ヒドロキシプロポキシ基、及びブトキシ基等のアルコキシ基;フェニル基等のアリール基;等が挙げられる。
により表される-CONR64-(R64は、水素原子又はアルキル基を表す)におけるR64のアルキル基としては、メチル基、エチル基、プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、ヘキシル基、2-エチルヘキシル基、オクチル基、及びドデシル基等の炭素数20以下のアルキル基が挙げられ、炭素数8以下のアルキル基が好ましい。
としては、単結合、-COO-、又は-CONH-が好ましく、単結合、又は-COO-がより好ましい。
Examples of the substituent that the above-mentioned alkyl group, cycloalkyl group, alkoxycarbonyl group, alkylene group, and (n+1)-valent aromatic ring group may have include the alkyl groups listed as R 41 , R 42 , and R 43 in general formula (I), alkoxy groups such as a methoxy group, an ethoxy group, a hydroxyethoxy group, a propoxy group, a hydroxypropoxy group, and a butoxy group; aryl groups such as a phenyl group; and the like.
Examples of the alkyl group for R 64 in -CONR 64 - (R 64 represents a hydrogen atom or an alkyl group) represented by X 4 include alkyl groups having 20 or less carbon atoms, such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a hexyl group, a 2-ethylhexyl group, an octyl group, and a dodecyl group, and an alkyl group having 8 or less carbon atoms is preferred.
X4 is preferably a single bond, --COO-- or --CONH--, and more preferably a single bond or --COO--.

におけるアルキレン基としては、メチレン基、エチレン基、プロピレン基、ブチレン基、ヘキシレン基、及びオクチレン基等の炭素数1~8のアルキレン基が好ましい。
Arとしては、炭素数6~18の芳香環基が好ましく、ベンゼン環基、ナフタレン環基、及びビフェニレン環基がより好ましい。
一般式(I)で表される繰り返し単位は、ヒドロキシスチレン構造を備えていることが好ましい。即ち、Arは、ベンゼン環基であることが好ましい。
The alkylene group in L4 is preferably an alkylene group having 1 to 8 carbon atoms, such as a methylene group, an ethylene group, a propylene group, a butylene group, a hexylene group, or an octylene group.
Ar 4 is preferably an aromatic ring group having 6 to 18 carbon atoms, more preferably a benzene ring group, a naphthalene ring group, or a biphenylene ring group.
The repeating unit represented by formula (I) preferably has a hydroxystyrene structure, i.e., Ar4 is preferably a benzene ring group.

一般式(I)で表される繰り返し単位としては、下記一般式(1)で表される繰り返し単位が好ましい。As the repeating unit represented by general formula (I), the repeating unit represented by the following general formula (1) is preferred.

一般式(1)中、
Aは水素原子、アルキル基、シクロアルキル基、ハロゲン原子、又はシアノ基を表す。
Rは、ハロゲン原子、アルキル基、シクロアルキル基、アリール基、アルケニル基、アラルキル基、アルコキシ基、アルキルカルボニルオキシ基、アルキルスルホニルオキシ基、アルキルオキシカルボニル基又はアリールオキシカルボニル基を表し、複数個ある場合には同じであっても異なっていてもよい。複数のRを有する場合には、互いに共同して環を形成していてもよい。Rとしては水素原子が好ましい。
aは1~3の整数を表す。
bは0~(5-a)の整数を表す。
In the general formula (1),
A represents a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, or a cyano group.
R represents a halogen atom, an alkyl group, a cycloalkyl group, an aryl group, an alkenyl group, an aralkyl group, an alkoxy group, an alkylcarbonyloxy group, an alkylsulfonyloxy group, an alkyloxycarbonyl group, or an aryloxycarbonyl group, and when there are a plurality of R, they may be the same or different. When there are a plurality of R, they may be combined together to form a ring. R is preferably a hydrogen atom.
a represents an integer of 1 to 3.
b represents an integer of 0 to (5-a).

以下、酸基を有する繰り返し単位を以下に例示する。式中、aは1又は2を表す。 The following are examples of repeating units having an acid group. In the formula, a represents 1 or 2.

なお、上記繰り返し単位のなかでも、以下に具体的に記載する繰り返し単位が好ましい。式中、Rは水素原子又はメチル基を表し、aは2又は3を表す。Among the above repeating units, the repeating units specifically described below are preferred. In the formula, R represents a hydrogen atom or a methyl group, and a represents 2 or 3.

酸基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対し、10モル%以上が好ましく、15モル%以上がより好ましい。また、その上限値としては、70モル%以下が好ましく、65モル%以下がより好ましく、60モル%以下が更に好ましい。The content of the repeating units having an acid group is preferably 10 mol% or more, more preferably 15 mol% or more, based on the total repeating units in the resin (A). The upper limit is preferably 70 mol% or less, more preferably 65 mol% or less, and even more preferably 60 mol% or less.

<フッ素原子又はヨウ素原子を有する繰り返し単位>
樹脂(A)は、上述した<酸分解性基を有する繰り返し単位>及び<酸基を有する繰り返し単位>とは別に、フッ素原子又はヨウ素原子を有する繰り返し単位を有していてもよい。また、ここで言う<フッ素原子又はヨウ素原子を有する繰り返し単位>は、後述の<ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位>、及び<光酸発生基を有する繰り返し単位>等の、A群に属する他の種類の繰り返し単位とは異なるのが好ましい。
<Repeating Unit Having Fluorine Atom or Iodine Atom>
The resin (A) may have a repeating unit having a fluorine atom or an iodine atom in addition to the above-mentioned <repeating unit having an acid-decomposable group> and <repeating unit having an acid group>. The <repeating unit having a fluorine atom or an iodine atom> referred to here is preferably different from other types of repeating units belonging to Group A, such as the <repeating unit having a lactone group, a sultone group, or a carbonate group> and the <repeating unit having a photoacid generating group> described below.

フッ素原子又はヨウ素原子を有する繰り返し単位としては、式(C)で表される繰り返し単位が好ましい。As a repeating unit having a fluorine atom or an iodine atom, a repeating unit represented by formula (C) is preferred.

は、単結合、又はエステル基を表す。
は、水素原子、又はフッ素原子若しくはヨウ素原子を有していてもよいアルキル基を表す。
10は、水素原子、フッ素原子若しくはヨウ素原子を有していてもよいアルキル基、フッ素原子若しくはヨウ素原子を有していてもよいシクロアルキル基、フッ素原子若しくはヨウ素原子を有していてもよいアリール基、又はこれらを組み合わせた基を表す。
L5 represents a single bond or an ester group.
R 9 represents a hydrogen atom, or an alkyl group which may have a fluorine atom or an iodine atom.
R 10 represents a hydrogen atom, an alkyl group which may have a fluorine atom or an iodine atom, a cycloalkyl group which may have a fluorine atom or an iodine atom, an aryl group which may have a fluorine atom or an iodine atom, or a group consisting of a combination thereof.

フッ素原子又はヨウ素原子を有する繰り返し単位を以下に例示する。 Examples of repeating units containing fluorine or iodine atoms are shown below.

フッ素原子又はヨウ素原子を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対し、0モル%以上が好ましく、5モル%以上がより好ましく、10モル%以上が更に好ましい。また、その上限値としては、50モル%以下が好ましく、45モル%以下がより好ましく、40モル%以下が更に好ましい。
なお、上述したように、フッ素原子又はヨウ素原子を有する繰り返し単位には、<酸分解性基を有する繰り返し単位>及び<酸基を有する繰り返し単位>は含まれないことから、上記フッ素原子又はヨウ素原子を有する繰り返し単位の含有量も、<酸分解性基を有する繰り返し単位>及び<酸基を有する繰り返し単位>を除いたフッ素原子又はヨウ素原子を有する繰り返し単位の含有量を意図する。
The content of the repeating units having a fluorine atom or an iodine atom is preferably 0 mol% or more, more preferably 5 mol% or more, and even more preferably 10 mol% or more, based on the total repeating units in the resin (A), and the upper limit is preferably 50 mol% or less, more preferably 45 mol% or less, and even more preferably 40 mol% or less.
As described above, the repeating units having a fluorine atom or an iodine atom do not include <repeating units having an acid decomposable group> and <repeating units having an acid group>. Therefore, the content of the repeating units having a fluorine atom or an iodine atom also refers to the content of repeating units having a fluorine atom or an iodine atom excluding <repeating units having an acid decomposable group> and <repeating units having an acid group>.

樹脂(A)の繰り返し単位のうち、フッ素原子及びヨウ素原子の少なくとも一方を含む繰り返し単位の合計含有量は、樹脂(A)の全繰り返し単位に対して、20モル%以上が好ましく、30モル%以上がより好ましく、40モル%以上が更に好ましい。上限値は特に制限されないが、例えば、100モル%以下である。
なお、フッ素原子及びヨウ素原子の少なくとも一方を含む繰り返し単位としては、例えば、フッ素原子又はヨウ素原子を有し、且つ、酸分解性基を有する繰り返し単位、フッ素原子又はヨウ素原子を有し、且つ、酸基を有する繰り返し単位、及びフッ素原子又はヨウ素原子を有する繰り返し単位が挙げられる。
The total content of repeating units containing at least one of a fluorine atom and an iodine atom in the repeating units of the resin (A) is preferably 20 mol% or more, more preferably 30 mol% or more, and even more preferably 40 mol% or more, based on the total repeating units of the resin (A). The upper limit is not particularly limited, but is, for example, 100 mol% or less.
Examples of the repeating unit containing at least one of a fluorine atom and an iodine atom include a repeating unit having a fluorine atom or an iodine atom and an acid-decomposable group, a repeating unit having a fluorine atom or an iodine atom and an acid group, and a repeating unit having a fluorine atom or an iodine atom.

<ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位>
樹脂(A)は、ラクトン基、スルトン基、及びカーボネート基からなる群から選択される少なくとも1種を有する繰り返し単位(以下、総称して「ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位」とも言う)を有していてもよい。
ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位は、ヘキサフルオロプロパノール基等の酸基を有さないのも好ましい。
<Repeating Unit Having a Lactone Group, a Sultone Group, or a Carbonate Group>
Resin (A) may have a repeating unit having at least one selected from the group consisting of a lactone group, a sultone group, and a carbonate group (hereinafter, also collectively referred to as a "repeating unit having a lactone group, a sultone group, or a carbonate group").
It is also preferred that the repeating units having a lactone group, a sultone group, or a carbonate group do not have an acid group such as a hexafluoropropanol group.

ラクトン基又はスルトン基としては、ラクトン構造又はスルトン構造を有していればよい。ラクトン構造又はスルトン構造は、5~7員環ラクトン構造又は5~7員環スルトン構造が好ましい。なかでも、ビシクロ構造若しくはスピロ構造を形成する形で5~7員環ラクトン構造に他の環構造が縮環しているもの、又はビシクロ構造若しくはスピロ構造を形成する形で5~7員環スルトン構造に他の環構造が縮環しているもの、がより好ましい。
樹脂(A)は、下記一般式(LC1-1)~(LC1-21)のいずれかで表されるラクトン構造、又は下記一般式(SL1-1)~(SL1-3)のいずれかで表されるスルトン構造の環員原子から、水素原子を1つ以上引き抜いてなるラクトン基又はスルトン基を有する繰り返し単位を有することが好ましい。
また、ラクトン基又はスルトン基が主鎖に直接結合していてもよい。例えば、ラクトン基又はスルトン基の環員原子が、樹脂(A)の主鎖を構成してもよい。
The lactone group or sultone group may have a lactone structure or sultone structure. The lactone structure or sultone structure is preferably a 5- to 7-membered lactone structure or a 5- to 7-membered sultone structure. Among them, a 5- to 7-membered lactone structure having another ring structure condensed thereto in the form of a bicyclo structure or a spiro structure, or a 5- to 7-membered sultone structure having another ring structure condensed thereto in the form of a bicyclo structure or a spiro structure, is more preferred.
Resin (A) preferably has a repeating unit having a lactone structure represented by any of the following general formulas (LC1-1) to (LC1-21), or a lactone group or sultone group obtained by abstracting one or more hydrogen atoms from a ring member atom of a sultone structure represented by any of the following general formulas (SL1-1) to (SL1-3):
Furthermore, the lactone group or sultone group may be directly bonded to the main chain. For example, the ring atoms of the lactone group or sultone group may constitute the main chain of the resin (A).

上記ラクトン構造又はスルトン構造部分は、置換基(Rb)を有していてもよい。好ましい置換基(Rb)としては、炭素数1~8のアルキル基、炭素数4~7のシクロアルキル基、炭素数1~8のアルコキシ基、炭素数1~8のアルコキシカルボニル基、カルボキシル基、ハロゲン原子、水酸基、シアノ基、及び酸分解性基等が挙げられる。n2は、0~4の整数を表す。n2が2以上の時、複数存在するRbは、異なっていてもよく、また、複数存在するRb同士が結合して環を形成してもよい。 The lactone structure or sultone structure portion may have a substituent (Rb 2 ). Preferred substituents (Rb 2 ) include an alkyl group having 1 to 8 carbon atoms, a cycloalkyl group having 4 to 7 carbon atoms, an alkoxy group having 1 to 8 carbon atoms, an alkoxycarbonyl group having 1 to 8 carbon atoms, a carboxyl group, a halogen atom, a hydroxyl group, a cyano group, and an acid-decomposable group. n2 represents an integer of 0 to 4. When n2 is 2 or more, the multiple Rb 2s may be different from each other, and the multiple Rb 2s may be bonded to each other to form a ring.

一般式(LC1-1)~(LC1-21)のいずれかで表されるラクトン構造又は一般式(SL1-1)~(SL1-3)のいずれかで表されるスルトン構造を有する基を有する繰り返し単位としては、例えば、下記一般式(AI)で表される繰り返し単位等が挙げられる。Examples of repeating units having a group having a lactone structure represented by any of general formulas (LC1-1) to (LC1-21) or a sultone structure represented by any of general formulas (SL1-1) to (SL1-3) include repeating units represented by the following general formula (AI).

一般式(AI)中、Rbは、水素原子、ハロゲン原子、又は炭素数1~4のアルキル基を表す。
Rbのアルキル基が有していてもよい好ましい置換基としては、水酸基、及びハロゲン原子が挙げられる。
Rbのハロゲン原子としては、フッ素原子、塩素原子、臭素原子、及びヨウ素原子が挙げられる。Rbは、水素原子又はメチル基が好ましい。
Abは、単結合、アルキレン基、単環又は多環の脂環炭化水素構造を有する2価の連結基、エーテル基、エステル基、カルボニル基、カルボキシル基、又はこれらを組み合わせた2価の基を表す。なかでも、単結合、又は-Ab-CO-で表される連結基が好ましい。Abは、直鎖状若しくは分岐鎖状のアルキレン基、又は単環若しくは多環のシクロアルキレン基であり、メチレン基、エチレン基、シクロヘキシレン基、アダマンチレン基、又はノルボルニレン基が好ましい。
Vは、一般式(LC1-1)~(LC1-21)のいずれかで表されるラクトン構造の環員原子から水素原子を1つ引き抜いてなる基、又は一般式(SL1-1)~(SL1-3)のいずれかで表されるスルトン構造の環員原子から水素原子を1つ引き抜いてなる基を表す。
In formula (AI), Rb 0 represents a hydrogen atom, a halogen atom, or an alkyl group having 1 to 4 carbon atoms.
Preferred examples of the substituent that the alkyl group of Rb0 may have include a hydroxyl group and a halogen atom.
Examples of the halogen atom of Rb 0 include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom. Rb 0 is preferably a hydrogen atom or a methyl group.
Ab represents a single bond, an alkylene group, a divalent linking group having a monocyclic or polycyclic alicyclic hydrocarbon structure, an ether group, an ester group, a carbonyl group, a carboxyl group, or a divalent group combining these. Of these, a single bond or a linking group represented by -Ab 1 -CO 2 - is preferred. Ab 1 represents a linear or branched alkylene group, or a monocyclic or polycyclic cycloalkylene group, and is preferably a methylene group, an ethylene group, a cyclohexylene group, an adamantylene group, or a norbornylene group.
V represents a group obtained by removing one hydrogen atom from a ring member atom of a lactone structure represented by any of general formulas (LC1-1) to (LC1-21), or a group obtained by removing one hydrogen atom from a ring member atom of a sultone structure represented by any of general formulas (SL1-1) to (SL1-3).

ラクトン基又はスルトン基を有する繰り返し単位に、光学異性体が存在する場合、いずれの光学異性体を用いてもよい。また、1種の光学異性体を単独で用いても、複数の光学異性体を混合して用いてもよい。1種の光学異性体を主に用いる場合、その光学純度(ee)は90以上が好ましく、95以上がより好ましい。When optical isomers are present in the repeating unit having a lactone group or a sultone group, any of the optical isomers may be used. In addition, one optical isomer may be used alone, or multiple optical isomers may be used in combination. When one optical isomer is mainly used, the optical purity (ee) is preferably 90 or more, and more preferably 95 or more.

カーボネート基としては、環状炭酸エステル基が好ましい。
環状炭酸エステル基を有する繰り返し単位としては、下記一般式(A-1)で表される繰り返し単位が好ましい。
The carbonate group is preferably a cyclic carbonate group.
The repeating unit having a cyclic carbonate group is preferably a repeating unit represented by the following formula (A-1).

一般式(A-1)中、R は、水素原子、ハロゲン原子、又は1価の有機基(好ましくはメチル基)を表す。
nは0以上の整数を表す。
は、置換基を表す。nが2以上の場合、複数存在するR は、それぞれ同一でも異なっていてもよい。
Aは、単結合又は2価の連結基を表す。上記2価の連結基としては、アルキレン基、単環又は多環の脂環炭化水素構造を有する2価の連結基、エーテル基、エステル基、カルボニル基、カルボキシル基、又はこれらを組み合わせた2価の基が好ましい。
Zは、式中の-O-CO-O-で表される基と共に単環又は多環を形成する原子団を表す。
In formula (A-1), R A 1 represents a hydrogen atom, a halogen atom, or a monovalent organic group (preferably a methyl group).
n represents an integer of 0 or more.
R A 2 represents a substituent. When n is 2 or more, a plurality of R A 2 may be the same or different.
A represents a single bond or a divalent linking group. The divalent linking group is preferably an alkylene group, a divalent linking group having a monocyclic or polycyclic alicyclic hydrocarbon structure, an ether group, an ester group, a carbonyl group, a carboxyl group, or a divalent group formed by combining these groups.
Z represents an atomic group which forms a monocyclic or polycyclic ring together with the group represented by --O--CO--O-- in the formula.

ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位を以下に例示する。 Examples of repeating units having a lactone group, a sultone group, or a carbonate group are shown below.

ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対し、1モル%以上が好ましく、10モル%以上がより好ましい。また、その上限値としては、85モル%以下が好ましく、80モル%以下がより好ましく、70モル%以下が更に好ましく、60モル%以下が特に好ましい。The content of repeating units having a lactone group, a sultone group, or a carbonate group is preferably 1 mol% or more, more preferably 10 mol% or more, based on the total repeating units in resin (A). The upper limit is preferably 85 mol% or less, more preferably 80 mol% or less, even more preferably 70 mol% or less, and particularly preferably 60 mol% or less.

<光酸発生基を有する繰り返し単位>
樹脂(A)は、上記以外の繰り返し単位として、活性光線又は放射線の照射により酸を発生する基(以下「光酸発生基」ともいう)を有する繰り返し単位を有していてもよい。
この場合、この光酸発生基を有する繰り返し単位が、後述する活性光線又は放射線の照射により酸を発生する化合物(「光酸発生剤」ともいう。)にあたると考えることができる。
このような繰り返し単位としては、例えば、下記一般式(4)で表される繰り返し単位が挙げられる。
<Repeating Unit Having Photoacid Generating Group>
The resin (A) may contain, as a repeating unit other than those described above, a repeating unit having a group that generates an acid upon irradiation with actinic rays or radiation (hereinafter also referred to as a "photoacid generating group").
In this case, the repeating unit having the photoacid generating group can be considered to correspond to a compound (also called a "photoacid generator") that generates an acid when exposed to actinic rays or radiation, which will be described later.
An example of such a repeating unit is a repeating unit represented by the following general formula (4).

41は、水素原子又はメチル基を表す。L41は、単結合、又は2価の連結基を表す。L42は、2価の連結基を表す。R40は、活性光線又は放射線の照射により分解して側鎖に酸を発生させる構造部位を表す。 R 41 represents a hydrogen atom or a methyl group. L 41 represents a single bond or a divalent linking group. L 42 represents a divalent linking group. R 40 represents a structural moiety that is decomposed by irradiation with actinic rays or radiation to generate an acid in a side chain.

光酸発生基を有する繰り返し単位を以下に例示する。 Examples of repeating units having a photoacid generating group are shown below.

そのほか、一般式(4)で表される繰り返し単位としては、例えば、特開2014-041327号公報の段落[0094]~[0105]に記載された繰り返し単位が挙げられる。Other examples of the repeating units represented by general formula (4) include the repeating units described in paragraphs [0094] to [0105] of JP 2014-041327 A.

光酸発生基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対して、1モル%以上が好ましく、5モル%以上がより好ましい。また、その上限値としては、40モル%以下が好ましく、35モル%以下がより好ましく、30モル%以下が更に好ましい。The content of the repeating unit having a photoacid generating group is preferably 1 mol% or more, more preferably 5 mol% or more, based on the total repeating units in the resin (A). The upper limit is preferably 40 mol% or less, more preferably 35 mol% or less, and even more preferably 30 mol% or less.

<一般式(V-1)又は下記一般式(V-2)で表される繰り返し単位>
樹脂(A)は、下記一般式(V-1)、又は下記一般式(V-2)で表される繰り返し単位を有していてもよい。
下記一般式(V-1)、及び下記一般式(V-2)で表される繰り返し単位は上述の繰り返し単位とは異なる繰り返し単位であるのが好ましい。
<Repeating units represented by general formula (V-1) or the following general formula (V-2)>
The resin (A) may have a repeating unit represented by the following general formula (V-1) or the following general formula (V-2).
The repeating units represented by the following general formula (V-1) and the following general formula (V-2) are preferably repeating units different from the repeating units described above.

式中、
及びRは、それぞれ独立に、水素原子、水酸基、アルキル基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR又は-COOR:Rは炭素数1~6のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。アルキル基としては、炭素数1~10の直鎖状、分岐鎖状又は環状のアルキル基が好ましい。
は、0~6の整数を表す。
は、0~4の整数を表す。
は、メチレン基、酸素原子、又は硫黄原子である。
一般式(V-1)又は(V-2)で表される繰り返し単位を以下に例示する。
In the formula,
R6 and R7 each independently represent a hydrogen atom, a hydroxyl group, an alkyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR or -COOR: R is an alkyl group or a fluorinated alkyl group having 1 to 6 carbon atoms), or a carboxyl group. As the alkyl group, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms is preferable.
n3 represents an integer of 0 to 6.
n4 represents an integer of 0 to 4.
X4 is a methylene group, an oxygen atom, or a sulfur atom.
Examples of the repeating units represented by formula (V-1) or (V-2) are shown below.

<主鎖の運動性を低下させるための繰り返し単位>
樹脂(A)は、発生酸の過剰な拡散又は現像時のパターン崩壊を抑制できる観点から、ガラス転移温度(Tg)が高い方が好ましい。Tgは、90℃より大きいことが好ましく、100℃より大きいことがより好ましく、110℃より大きいことが更に好ましく、125℃より大きいことが特に好ましい。なお、過度な高Tg化は現像液への溶解速度低下を招くため、Tgは400℃以下が好ましく、350℃以下がより好ましい。
なお、本明細書において、樹脂(A)等のポリマーのガラス転移温度(Tg)は、以下の方法で算出する。まず、ポリマー中に含まれる各繰り返し単位のみからなるホモポリマーのTgを、Bicerano法によりそれぞれ算出する。以後、算出されたTgを、「繰り返し単位のTg」という。次に、ポリマー中の全繰り返し単位に対する、各繰り返し単位の質量割合(%)を算出する。次に、Foxの式(Materials Letters 62(2008)3152等に記載)を用いて各質量割合におけるTgを算出して、それらを総和して、ポリマーのTg(℃)とする。
Bicerano法はPrediction of polymer properties, Marcel Dekker Inc, New York(1993)等に記載されている。またBicerano法によるTgの算出は、ポリマーの物性概算ソフトウェアMDL Polymer(MDL Information Systems, Inc.)を用いて行うことができる。
<Repeating units for reducing main chain mobility>
Resin (A) preferably has a high glass transition temperature (Tg) from the viewpoint of suppressing excessive diffusion of generated acid or pattern collapse during development. Tg is preferably higher than 90° C., more preferably higher than 100° C., even more preferably higher than 110° C., and particularly preferably higher than 125° C. In addition, since an excessively high Tg leads to a decrease in the dissolution rate in a developer, Tg is preferably 400° C. or lower, more preferably 350° C. or lower.
In this specification, the glass transition temperature (Tg) of a polymer such as resin (A) is calculated by the following method. First, the Tg of a homopolymer consisting of only each repeating unit contained in the polymer is calculated by the Bicerano method. Hereinafter, the calculated Tg is referred to as the "Tg of the repeating unit". Next, the mass ratio (%) of each repeating unit to the total repeating units in the polymer is calculated. Next, the Tg at each mass ratio is calculated using the Fox formula (described in Materials Letters 62 (2008) 3152, etc.), and these are summed up to obtain the Tg (°C) of the polymer.
The Bicerano method is described in Prediction of Polymer Properties, Marcel Dekker Inc., New York (1993), etc. The calculation of Tg by the Bicerano method can be performed using polymer property estimation software MDL Polymer (MDL Information Systems, Inc.).

樹脂(A)のTgを大きくする(好ましくは、Tgを90℃超とする)には、樹脂(A)の主鎖の運動性を低下させることが好ましい。樹脂(A)の主鎖の運動性を低下させる方法は、以下の(a)~(e)の方法が挙げられる。
(a)主鎖への嵩高い置換基の導入
(b)主鎖への複数の置換基の導入
(c)主鎖近傍への樹脂(A)間の相互作用を誘発する置換基の導入
(d)環状構造での主鎖形成
(e)主鎖への環状構造の連結
なお、樹脂(A)は、ホモポリマーのTgが130℃以上を示す繰り返し単位を有することが好ましい。
なお、ホモポリマーのTgが130℃以上を示す繰り返し単位の種類は特に制限されず、Bicerano法により算出されるホモポリマーのTgが130℃以上である繰り返し単位であればよい。なお、後述する式(A)~式(E)で表される繰り返し単位中の官能基の種類によっては、ホモポリマーのTgが130℃以上を示す繰り返し単位に該当する。
In order to increase the Tg of the resin (A) (preferably to make the Tg exceed 90° C.), it is preferable to reduce the mobility of the main chain of the resin (A). Methods for reducing the mobility of the main chain of the resin (A) include the following methods (a) to (e).
(a) introduction of a bulky substituent into the main chain; (b) introduction of a plurality of substituents into the main chain; (c) introduction of a substituent inducing an interaction between resins (A) in the vicinity of the main chain; (d) formation of a main chain with a cyclic structure; (e) linking of a cyclic structure to the main chain. Note that resin (A) preferably has a repeating unit showing a homopolymer Tg of 130° C. or higher.
The type of repeating unit exhibiting a homopolymer Tg of 130° C. or higher is not particularly limited, and may be any repeating unit exhibiting a homopolymer Tg of 130° C. or higher as calculated by the Bicerano method. Depending on the type of functional group in the repeating units represented by formulae (A) to (E) described below, the repeating unit may be one exhibiting a homopolymer Tg of 130° C. or higher.

(式(A)で表される繰り返し単位)
上記(a)の具体的な達成手段の一例としては、樹脂(A)に式(A)で表される繰り返し単位を導入する方法が挙げられる。
(Repeating unit represented by formula (A))
One example of a specific means for achieving the above (a) is a method in which a repeating unit represented by formula (A) is introduced into resin (A).

式(A)、Rは、多環構造を有する基を表す。Rは、水素原子、メチル基、又はエチル基を表す。多環構造を有する基とは、複数の環構造を有する基であり、複数の環構造は縮合していても、縮合していなくてもよい。
式(A)で表される繰り返し単位の具体例としては、下記繰り返し単位が挙げられる。
In formula (A), R represents a group having a polycyclic structure. Rx represents a hydrogen atom, a methyl group, or an ethyl group. The group having a polycyclic structure is a group having a plurality of ring structures, and the plurality of ring structures may or may not be condensed.
Specific examples of the repeating unit represented by formula (A) include the following repeating units.

上記式中、Rは、水素原子、メチル基、又はエチル基を表す。
Raは、水素原子、アルキル基、シクロアルキル基、アリール基、アラルキル基、アルケニル基、水酸基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR’’’又は-COOR’’’:R’’’は炭素数1~20のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。なお、上記アルキル基、上記シクロアルキル基、上記アリール基、上記アラルキル基、及び上記アルケニル基は、それぞれ、置換基を有してもよい。また、Raで表される基中の炭素原子に結合している水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
また、R’及びR’’は、それぞれ独立に、アルキル基、シクロアルキル基、アリール基、アラルキル基、アルケニル基、水酸基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR’’’又は-COOR’’’:R’’’は炭素数1~20のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。なお、上記アルキル基、上記シクロアルキル基、上記アリール基、上記アラルキル基、及び上記アルケニル基は、それぞれ、置換基を有してもよい。また、R’及びR’’で表される基中の炭素原子に結合している水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
Lは、単結合又は2価の連結基を表す。2価の連結基としては、例えば、―COO-、-CO-、-O-、-S―、-SO-、-SO-、アルキレン基、シクロアルキレン基、アルケニレン基、及びこれらの複数が連結した連結基等が挙げられる。
m及びnは、それぞれ独立に、0以上の整数を表す。m及びnの上限は特に制限されないが、2以下の場合が多く、1以下の場合がより多い。
In the above formula, R represents a hydrogen atom, a methyl group, or an ethyl group.
Ra represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, a hydroxyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR''' or -COOR''': R''' is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), or a carboxyl group. The alkyl group, the cycloalkyl group, the aryl group, the aralkyl group, and the alkenyl group may each have a substituent. In addition, a hydrogen atom bonded to a carbon atom in the group represented by Ra may be substituted with a fluorine atom or an iodine atom.
Furthermore, R' and R" each independently represent an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, a hydroxyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR"' or -COOR"': R"' is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), or a carboxyl group. The alkyl group, the cycloalkyl group, the aryl group, the aralkyl group, and the alkenyl group may each have a substituent. Furthermore, a hydrogen atom bonded to a carbon atom in the group represented by R' and R" may be substituted with a fluorine atom or an iodine atom.
L represents a single bond or a divalent linking group. Examples of the divalent linking group include -COO-, -CO-, -O-, -S-, -SO-, -SO 2 -, an alkylene group, a cycloalkylene group, an alkenylene group, and a linking group in which a plurality of these groups are linked together.
m and n each independently represent an integer of 0 or more. There is no particular upper limit to m and n, but they are often 2 or less, and more often 1 or less.

(式(B)で表される繰り返し単位)
上記(b)の具体的な達成手段の一例としては、樹脂(A)に式(B)で表される繰り返し単位を導入する方法が挙げられる。
(Repeating unit represented by formula (B))
One example of a specific means for achieving the above (b) is a method in which a repeating unit represented by formula (B) is introduced into resin (A).

式(B)中、Rb1~Rb4は、それぞれ独立に、水素原子又は有機基を表し、Rb1~Rb4のうち少なくとも2つ以上が有機基を表す。
また、有機基の少なくとも1つが、繰り返し単位中の主鎖に直接環構造が連結している基である場合、他の有機基の種類は特に制限されない。
また、有機基のいずれも繰り返し単位中の主鎖に直接環構造が連結している基ではない場合、有機基の少なくとも2つ以上は、水素原子を除く構成原子の数が3つ以上である置換基である。
In formula (B), R b1 to R b4 each independently represent a hydrogen atom or an organic group, and at least two of R b1 to R b4 represent an organic group.
In addition, when at least one of the organic groups is a group in which a ring structure is directly linked to the main chain in the repeating unit, the type of the other organic groups is not particularly limited.
Furthermore, when none of the organic groups has a ring structure directly linked to the main chain in the repeating unit, at least two of the organic groups are substituents having three or more constituent atoms excluding hydrogen atoms.

式(B)で表される繰り返し単位の具体例としては、下記繰り返し単位が挙げられる。 Specific examples of the repeating unit represented by formula (B) include the following repeating units.

上記式中、Rは、それぞれ独立に、水素原子又は有機基を表す。有機基としては、置換基を有してもよい、アルキル基、シクロアルキル基、アリール基、アラルキル基、及びアルケニル基、等の有機基が挙げられる。
R’は、それぞれ独立に、アルキル基、シクロアルキル基、アリール基、アラルキル基、アルケニル基、水酸基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR’’又は-COOR’’:R’’は炭素数1~20のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。なお、上記アルキル基、上記シクロアルキル基、上記アリール基、上記アラルキル基、及び上記アルケニル基は、それぞれ、置換基を有してもよい。また、R’で表される基中の炭素原子に結合している水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
mは0以上の整数を表す。mの上限は特に制限されないが、2以下の場合が多く、1以下の場合がより多い。
In the above formula, each R independently represents a hydrogen atom or an organic group, such as an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, or an alkenyl group, each of which may have a substituent.
Each R' independently represents an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, a hydroxyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR'' or -COOR'': R'' is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), or a carboxyl group. The alkyl group, the cycloalkyl group, the aryl group, the aralkyl group, and the alkenyl group may each have a substituent. In addition, a hydrogen atom bonded to a carbon atom in the group represented by R' may be substituted with a fluorine atom or an iodine atom.
m represents an integer of 0 or more. There is no particular upper limit to m, but it is often 2 or less, and more often 1 or less.

(式(C)で表される繰り返し単位)
上記(c)の具体的な達成手段の一例としては、樹脂(A)に式(C)で表される繰り返し単位を導入する方法が挙げられる。
(Repeating unit represented by formula (C))
One example of a specific means for achieving the above (c) is a method in which a repeating unit represented by formula (C) is introduced into resin (A).

式(C)中、Rc1~Rc4は、それぞれ独立に、水素原子又は有機基を表し、Rc1~Rc4のうち少なくとも1つが、主鎖炭素から原子数3以内に水素結合性の水素原子を有する基である。なかでも、樹脂(A)の主鎖間の相互作用を誘発するうえで、原子数2以内(より主鎖近傍側)に水素結合性の水素原子を有することが好ましい。 In formula (C), R c1 to R c4 each independently represent a hydrogen atom or an organic group, and at least one of R c1 to R c4 is a group having a hydrogen-bonding hydrogen atom within three atoms from a main chain carbon. In particular, in order to induce an interaction between the main chains of resin (A), it is preferable to have a hydrogen-bonding hydrogen atom within two atoms (closer to the main chain).

式(C)で表される繰り返し単位の具体例としては、下記繰り返し単位が挙げられる。 Specific examples of the repeating unit represented by formula (C) include the following repeating units.

上記式中、Rは有機基を表す。有機基としては、置換基を有してもよい、アルキル基、シクロアルキル基、アリール基、アラルキル基、アルケニル基、及びエステル基(-OCOR又は-COOR:Rは炭素数1~20のアルキル基又はフッ素化アルキル基)等が挙げられる。
R’は、水素原子又は有機基を表す。有機基としては、アルキル基、シクロアルキル基、アリール基、アラルキル基、及びアルケニル基、等の有機基が挙げられる。なお、有機基中の水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
In the above formula, R represents an organic group. Examples of the organic group include an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, and an ester group (-OCOR or -COOR: R is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), each of which may have a substituent.
R' represents a hydrogen atom or an organic group. Examples of the organic group include an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, and an alkenyl group. The hydrogen atom in the organic group may be substituted with a fluorine atom or an iodine atom.

(式(D)で表される繰り返し単位)
上記(d)の具体的な達成手段の一例としては、樹脂(A)に式(D)で表される繰り返し単位を導入する方法が挙げられる。
(Repeating unit represented by formula (D))
One example of a specific means for achieving the above (d) is a method in which a repeating unit represented by formula (D) is introduced into resin (A).

式(D)中、「cyclic」は、環状構造で主鎖を形成している基を表す。環の構成原子数は特に制限されない。In formula (D), "cyclic" represents a group that forms a main chain with a cyclic structure. The number of constituent atoms of the ring is not particularly limited.

式(D)で表される繰り返し単位の具体例としては、下記繰り返し単位が挙げられる。 Specific examples of the repeating unit represented by formula (D) include the following repeating units.

上記式中、Rは、それぞれ独立に、水素原子、アルキル基、シクロアルキル基、アリール基、アラルキル基、アルケニル基、水酸基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR’’又は-COOR’’:R’’は炭素数1~20のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。なお、上記アルキル基、上記シクロアルキル基、上記アリール基、上記アラルキル基、及び上記アルケニル基は、それぞれ、置換基を有してもよい。また、Rで表される基中の炭素原子に結合している水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
上記式中、R’は、それぞれ独立に、アルキル基、シクロアルキル基、アリール基、アラルキル基、アルケニル基、水酸基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR’’又は-COOR’’:R’’は炭素数1~20のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。なお、上記アルキル基、上記シクロアルキル基、上記アリール基、上記アラルキル基、及び上記アルケニル基は、それぞれ、置換基を有してもよい。また、R’で表される基中の炭素原子に結合している水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
mは0以上の整数を表す。mの上限は特に制限されないが、2以下の場合が多く、1以下の場合がより多い。
In the above formula, each R independently represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, a hydroxyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR" or -COOR": R" is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), or a carboxyl group. The alkyl group, the cycloalkyl group, the aryl group, the aralkyl group, and the alkenyl group may each have a substituent. In addition, a hydrogen atom bonded to a carbon atom in the group represented by R may be substituted with a fluorine atom or an iodine atom.
In the above formula, R' each independently represents an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, a hydroxyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR" or -COOR": R" is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), or a carboxyl group. The alkyl group, the cycloalkyl group, the aryl group, the aralkyl group, and the alkenyl group may each have a substituent. In addition, a hydrogen atom bonded to a carbon atom in the group represented by R' may be substituted with a fluorine atom or an iodine atom.
m represents an integer of 0 or more. There is no particular upper limit to m, but it is often 2 or less, and more often 1 or less.

(式(E)で表される繰り返し単位)
上記(e)の具体的な達成手段の一例としては、樹脂(A)に式(E)で表される繰り返し単位を導入する方法が挙げられる。
(Repeating unit represented by formula (E))
One example of a specific means for achieving the above (e) is to introduce a repeating unit represented by formula (E) into resin (A).

式(E)中、Reは、それぞれ独立に、水素原子又は有機基を表す。有機基としては、置換基を有してもよい、アルキル基、シクロアルキル基、アリール基、アラルキル基、及びアルケニル基等が挙げられる。
「cyclic」は、主鎖の炭素原子を含む環状基である。環状基に含まれる原子数は特に制限されない。
In formula (E), each Re independently represents a hydrogen atom or an organic group, such as an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, or an alkenyl group, each of which may have a substituent.
"Cyclic" refers to a cyclic group containing carbon atoms in the main chain. The number of atoms contained in the cyclic group is not particularly limited.

式(E)で表される繰り返し単位の具体例としては、下記繰り返し単位が挙げられる。 Specific examples of the repeating unit represented by formula (E) include the following repeating units.

上記式中、Rは、それぞれ独立に、水素原子、アルキル基、シクロアルキル基、アリール基、アラルキル基、及びアルケニル基、水酸基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR’’又は-COOR’’:R’’は炭素数1~20のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。なお、上記アルキル基、上記シクロアルキル基、上記アリール基、上記アラルキル基、及び上記アルケニル基は、それぞれ、置換基を有してもよい。また、Rで表される基中の炭素原子に結合している水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
R’は、それぞれ独立に、水素原子、アルキル基、シクロアルキル基、アリール基、アラルキル基、及びアルケニル基、水酸基、アルコキシ基、アシロキシ基、シアノ基、ニトロ基、アミノ基、ハロゲン原子、エステル基(-OCOR’’又は-COOR’’:R’’は炭素数1~20のアルキル基又はフッ素化アルキル基)、又はカルボキシル基を表す。なお、上記アルキル基、上記シクロアルキル基、上記アリール基、上記アラルキル基、及び上記アルケニル基は、それぞれ、置換基を有してもよい。また、R’で表される基中の炭素原子に結合している水素原子は、フッ素原子又はヨウ素原子で置換されていてもよい。
mは0以上の整数を表す。mの上限は特に制限されないが、2以下の場合が多く、1以下の場合がより多い。
また、式(E-2)、式(E-4)、式(E-6)、及び式(E-8)中、2つRは互いに結合して環を形成していてもよい。
In the above formula, each R independently represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, a hydroxyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR" or -COOR": R" is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), or a carboxyl group. The alkyl group, the cycloalkyl group, the aryl group, the aralkyl group, and the alkenyl group may each have a substituent. In addition, a hydrogen atom bonded to a carbon atom in the group represented by R may be substituted with a fluorine atom or an iodine atom.
Each R' independently represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group, a hydroxyl group, an alkoxy group, an acyloxy group, a cyano group, a nitro group, an amino group, a halogen atom, an ester group (-OCOR" or -COOR": R" is an alkyl group or a fluorinated alkyl group having 1 to 20 carbon atoms), or a carboxyl group. The alkyl group, the cycloalkyl group, the aryl group, the aralkyl group, and the alkenyl group may each have a substituent. In addition, a hydrogen atom bonded to a carbon atom in the group represented by R' may be substituted with a fluorine atom or an iodine atom.
m represents an integer of 0 or more. There is no particular upper limit to m, but it is often 2 or less, and more often 1 or less.
In addition, in formulae (E-2), (E-4), (E-6), and (E-8), two R's may be bonded to each other to form a ring.

式(E)で表される繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対して、5モル%以上が好ましく、10モル%以上がより好ましい。また、その上限値としては、60モル%以下が好ましく55モル%以下がより好ましい。The content of the repeating unit represented by formula (E) is preferably 5 mol% or more, more preferably 10 mol% or more, based on the total repeating units in resin (A). The upper limit is preferably 60 mol% or less, more preferably 55 mol% or less.

<ラクトン基、スルトン基、カーボネート基、水酸基、シアノ基、及びアルカリ可溶性基から選ばれる少なくとも1種類の基を有する繰り返し単位>
樹脂(A)は、ラクトン基、スルトン基、カーボネート基、水酸基、シアノ基、及びアルカリ可溶性基から選ばれる少なくとも1種類の基を有する繰り返し単位を有していてもよい。
樹脂(A)が有するラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位としては、上述した<ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位>で説明した繰り返し単位が挙げられる。好ましい含有量も上述した<ラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位>で説明した通りである。
<Repeating Unit Having at Least One Group Selected from Lactone Group, Sultone Group, Carbonate Group, Hydroxyl Group, Cyano Group, and Alkali-Soluble Group>
The resin (A) may have a repeating unit having at least one type of group selected from a lactone group, a sultone group, a carbonate group, a hydroxyl group, a cyano group, and an alkali-soluble group.
Examples of the repeating unit having a lactone group, a sultone group, or a carbonate group contained in the resin (A) include the repeating units described above in <Repeat units having a lactone group, a sultone group, or a carbonate group>. The preferred content is also as described above in <Repeat units having a lactone group, a sultone group, or a carbonate group>.

樹脂(A)は、水酸基又はシアノ基を有する繰り返し単位を有していてもよい。これにより基板密着性、現像液親和性が向上する。
水酸基又はシアノ基を有する繰り返し単位は、水酸基又はシアノ基で置換された脂環炭化水素構造を有する繰り返し単位であることが好ましい。
水酸基又はシアノ基を有する繰り返し単位は、酸分解性基を有さないことが好ましい。水酸基又はシアノ基を有する繰り返し単位としては、下記一般式(AIIa)~(AIId)で表される繰り返し単位が挙げられる。
The resin (A) may contain a repeating unit having a hydroxyl group or a cyano group, which improves the adhesion to the substrate and the affinity for the developer.
The repeating unit having a hydroxyl group or a cyano group is preferably a repeating unit having an alicyclic hydrocarbon structure substituted with a hydroxyl group or a cyano group.
The repeating unit having a hydroxyl group or a cyano group preferably does not have an acid-decomposable group. Examples of the repeating unit having a hydroxyl group or a cyano group include repeating units represented by the following general formulae (AIIa) to (AIId).

一般式(AIIa)~(AIId)において、
1cは、水素原子、メチル基、トリフルオロメチル基又はヒドロキシメチル基を表す。
2c~R4cは、それぞれ独立に、水素原子、水酸基又はシアノ基を表す。ただし、R2c~R4cのうちの少なくとも1つは、水酸基又はシアノ基を表す。好ましくは、R2c~R4cの内の1つ又は2つが水酸基で、残りが水素原子である。より好ましくは、R2c~R4cの内の2つが水酸基で、残りが水素原子である。
In general formulae (AIIa) to (AIId),
R 1c represents a hydrogen atom, a methyl group, a trifluoromethyl group or a hydroxymethyl group.
R 2c to R 4c each independently represent a hydrogen atom, a hydroxyl group, or a cyano group. However, at least one of R 2c to R 4c represents a hydroxyl group or a cyano group. Preferably, one or two of R 2c to R 4c are a hydroxyl group, and the remaining are hydrogen atoms. More preferably, two of R 2c to R 4c are hydroxyl groups, and the remaining are hydrogen atoms.

水酸基又はシアノ基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対して、5モル%以上が好ましく、10モル%以上がより好ましい。また、その上限値としては、40モル%以下が好ましく、35モル%以下がより好ましく、30モル%以下が更に好ましい。The content of repeating units having a hydroxyl group or a cyano group is preferably 5 mol% or more, more preferably 10 mol% or more, based on the total repeating units in the resin (A). The upper limit is preferably 40 mol% or less, more preferably 35 mol% or less, and even more preferably 30 mol% or less.

水酸基又はシアノ基を有する繰り返し単位の具体例を以下に挙げるが、本発明はこれらに限定されない。 Specific examples of repeating units having a hydroxyl group or a cyano group are listed below, but the present invention is not limited to these.

樹脂(A)は、アルカリ可溶性基を有する繰り返し単位を有していてもよい。
アルカリ可溶性基としては、カルボキシル基、スルホンアミド基、スルホニルイミド基、ビスルスルホニルイミド基、α位が電子求引性基で置換された脂肪族アルコール基(例えば、ヘキサフルオロイソプロパノール基)が挙げられ、カルボキシル基が好ましい。樹脂(A)がアルカリ可溶性基を有する繰り返し単位を含むことにより、コンタクトホール用途での解像性が増す。
アルカリ可溶性基を有する繰り返し単位としては、アクリル酸及びメタクリル酸による繰り返し単位のような樹脂の主鎖に直接アルカリ可溶性基が結合している繰り返し単位、又は連結基を介して樹脂の主鎖にアルカリ可溶性基が結合している繰り返し単位が挙げられる。なお、連結基は、単環又は多環の環状炭化水素構造を有していてもよい。
アルカリ可溶性基を有する繰り返し単位としては、アクリル酸又はメタクリル酸による繰り返し単位が好ましい。
The resin (A) may have a repeating unit having an alkali-soluble group.
Examples of the alkali-soluble group include a carboxyl group, a sulfonamide group, a sulfonylimide group, a bisulfonylimide group, and an aliphatic alcohol group (e.g., a hexafluoroisopropanol group) substituted with an electron-withdrawing group at the α-position, and the carboxyl group is preferred. The resin (A) contains a repeating unit having an alkali-soluble group, which increases the resolution in contact hole applications.
Examples of the repeating unit having an alkali-soluble group include a repeating unit having an alkali-soluble group bonded directly to the main chain of a resin, such as a repeating unit of acrylic acid or methacrylic acid, or a repeating unit having an alkali-soluble group bonded to the main chain of a resin via a linking group, which may have a monocyclic or polycyclic cyclic hydrocarbon structure.
The repeating unit having an alkali-soluble group is preferably a repeating unit derived from acrylic acid or methacrylic acid.

アルカリ可溶性基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対して、0モル%以上が好ましく、3モル%以上がより好ましく、5モル%以上が更に好ましい。その上限値としては、20モル%以下が好ましく、15モル%以下がより好ましく、10モル%以下が更に好ましい。The content of the repeating units having an alkali-soluble group is preferably 0 mol% or more, more preferably 3 mol% or more, and even more preferably 5 mol% or more, based on the total repeating units in the resin (A). The upper limit is preferably 20 mol% or less, more preferably 15 mol% or less, and even more preferably 10 mol% or less.

アルカリ可溶性基を有する繰り返し単位の具体例を以下に示すが、本発明は、これに限定されるものではない。具体例中、RxはH、CH、CHOH又はCFを表す。 Specific examples of the repeating unit having an alkali-soluble group are shown below, but the invention is not limited thereto. In the specific examples, Rx represents H, CH3 , CH2OH or CF3 .

ラクトン基、水酸基、シアノ基、及びアルカリ可溶性基から選ばれる少なくとも1種類の基を有する繰り返し単位として、ラクトン基、水酸基、シアノ基、及びアルカリ可溶性基から選ばれる少なくとも2つを有する繰り返し単位が好ましく、シアノ基とラクトン基を有する繰り返し単位がより好ましく、一般式(LC1-4)で表されるラクトン構造にシアノ基が置換した構造を有する繰り返し単位が更に好ましい。As a repeating unit having at least one type of group selected from a lactone group, a hydroxyl group, a cyano group, and an alkali-soluble group, a repeating unit having at least two selected from a lactone group, a hydroxyl group, a cyano group, and an alkali-soluble group is preferred, a repeating unit having a cyano group and a lactone group is more preferred, and a repeating unit having a structure in which a cyano group is substituted for the lactone structure represented by general formula (LC1-4) is even more preferred.

<脂環炭化水素構造を有し、酸分解性を示さない繰り返し単位>
樹脂(A)は、脂環炭化水素構造を有し、酸分解性を示さない繰り返し単位を有してもよい。これにより液浸露光時にレジスト膜から液浸液への低分子成分の溶出が低減できる。このような繰り返し単位として、例えば、1-アダマンチル(メタ)アクリレート、ジアマンチル(メタ)アクリレート、トリシクロデカニル(メタ)アクリレート、又はシクロヘキシル(メタ)アクリレート由来の繰り返し単位等が挙げられる。
<Repeating Unit Having an Alicyclic Hydrocarbon Structure and Not Showing Acid Decomposability>
Resin (A) may have an alicyclic hydrocarbon structure and a repeating unit that does not exhibit acid decomposition. This can reduce the elution of low molecular weight components from the resist film into the immersion liquid during immersion exposure. Examples of such repeating units include repeating units derived from 1-adamantyl (meth)acrylate, diamantyl (meth)acrylate, tricyclodecanyl (meth)acrylate, or cyclohexyl (meth)acrylate.

<水酸基及びシアノ基のいずれも有さない、一般式(III)で表される繰り返し単位>
樹脂(A)は、水酸基及びシアノ基のいずれも有さない、一般式(III)で表される繰り返し単位を有していてもよい。
<Repeating unit represented by formula (III) having neither a hydroxyl group nor a cyano group>
The resin (A) may have a repeating unit represented by general formula (III) which does not have either a hydroxyl group or a cyano group.

Figure 0007495404000064
Figure 0007495404000064

一般式(III)中、Rは少なくとも一つの環状構造を有し、水酸基及びシアノ基のいずれも有さない炭化水素基を表す。
Raは水素原子、アルキル基又は-CH-O-Ra基を表す。式中、Raは、水素原子、アルキル基又はアシル基を表す。
In formula (III), R5 represents a hydrocarbon group having at least one cyclic structure and having neither a hydroxyl group nor a cyano group.
Ra represents a hydrogen atom, an alkyl group or a -CH 2 -O-Ra 2 group, where Ra 2 represents a hydrogen atom, an alkyl group or an acyl group.

が有する環状構造には、単環式炭化水素基及び多環式炭化水素基が含まれる。単環式炭化水素基としては、例えば、炭素数3~12(より好ましくは炭素数3~7)のシクロアルキル基、又は炭素数3~12のシクロアルケニル基が挙げられる。 The cyclic structure contained in R5 includes a monocyclic hydrocarbon group and a polycyclic hydrocarbon group. Examples of the monocyclic hydrocarbon group include a cycloalkyl group having 3 to 12 carbon atoms (more preferably 3 to 7 carbon atoms) and a cycloalkenyl group having 3 to 12 carbon atoms.

多環式炭化水素基としては、環集合炭化水素基及び架橋環式炭化水素基が挙げられる。
架橋環式炭化水素環としては、2環式炭化水素環、3環式炭化水素環、及び4環式炭化水素環等が挙げられる。また、架橋環式炭化水素環としては、5~8員シクロアルカン環が複数個縮合した縮合環も含まれる。
架橋環式炭化水素基として、ノルボルニル基、アダマンチル基、ビシクロオクタニル基、又はトリシクロ[5、2、1、02,6]デカニル基が好ましく、ノルボルニル基又はアダマンチル基がより好ましい。
Polycyclic hydrocarbon groups include ring assembly hydrocarbon groups and bridged cyclic hydrocarbon groups.
Examples of the bridged cyclic hydrocarbon ring include a bicyclic hydrocarbon ring, a tricyclic hydrocarbon ring, and a tetracyclic hydrocarbon ring, etc. In addition, the bridged cyclic hydrocarbon ring also includes a fused ring in which a plurality of 5- to 8-membered cycloalkane rings are condensed.
As the bridged cyclic hydrocarbon group, a norbornyl group, an adamantyl group, a bicyclooctanyl group, or a tricyclo[5,2,1,0 2,6 ]decanyl group is preferable, and a norbornyl group or an adamantyl group is more preferable.

脂環式炭化水素基は置換基を有していてもよく、置換基としてはハロゲン原子、アルキル基、保護基で保護されたヒドロキシル基、及び保護基で保護されたアミノ基が挙げられる。
ハロゲン原子としては、臭素原子、塩素原子、又はフッ素原子が好ましい。
アルキル基としては、メチル基、エチル基、ブチル基、又はt-ブチル基が好ましい。上記アルキル基は更に置換基を有していてもよく、置換基としては、ハロゲン原子、アルキル基、保護基で保護されたヒドロキシル基、又は保護基で保護されたアミノ基が挙げられる。
The alicyclic hydrocarbon group may have a substituent, and examples of the substituent include a halogen atom, an alkyl group, a hydroxyl group protected by a protecting group, and an amino group protected by a protecting group.
The halogen atom is preferably a bromine atom, a chlorine atom or a fluorine atom.
The alkyl group is preferably a methyl group, an ethyl group, a butyl group, or a t-butyl group. The alkyl group may further have a substituent, and the substituent may be a halogen atom, an alkyl group, a hydroxyl group protected by a protecting group, or an amino group protected by a protecting group.

保護基としては、例えば、アルキル基、シクロアルキル基、アラルキル基、置換メチル基、置換エチル基、アルコキシカルボニル基、及びアラルキルオキシカルボニル基が挙げられる。
アルキル基としては、炭素数1~4のアルキル基が好ましい。
置換メチル基としては、メトキシメチル基、メトキシチオメチル基、ベンジルオキシメチル基、t-ブトキシメチル基、又は2-メトキシエトキシメチル基が好ましい。
置換エチル基としては、1-エトキシエチル基、又は1-メチル-1-メトキシエチル基が好ましい。
アシル基としては、ホルミル基、アセチル基、プロピオニル基、ブチリル基、イソブチリル基、バレリル基、及びピバロイル基等の炭素数1~6の脂肪族アシル基が好ましい。
アルコキシカルボニル基としては、炭素数1~4のアルコキシカルボニル基が好ましい。
Examples of the protecting group include an alkyl group, a cycloalkyl group, an aralkyl group, a substituted methyl group, a substituted ethyl group, an alkoxycarbonyl group, and an aralkyloxycarbonyl group.
The alkyl group is preferably an alkyl group having 1 to 4 carbon atoms.
The substituted methyl group is preferably a methoxymethyl group, a methoxythiomethyl group, a benzyloxymethyl group, a t-butoxymethyl group, or a 2-methoxyethoxymethyl group.
The substituted ethyl group is preferably a 1-ethoxyethyl group or a 1-methyl-1-methoxyethyl group.
The acyl group is preferably an aliphatic acyl group having 1 to 6 carbon atoms, such as a formyl group, an acetyl group, a propionyl group, a butyryl group, an isobutyryl group, a valeryl group, or a pivaloyl group.
The alkoxycarbonyl group is preferably an alkoxycarbonyl group having 1 to 4 carbon atoms.

水酸基及びシアノ基のいずれも有さない、一般式(III)で表される繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対し、0~40モル%が好ましく、0~20モル%がより好ましい。
一般式(III)で表される繰り返し単位の具体例を以下に挙げるが、本発明はこれらに限定されない。式中、Raは、H、CH、CHOH、又はCFを表す。
The content of the repeating unit represented by formula (III) having neither a hydroxyl group nor a cyano group is preferably from 0 to 40 mol %, more preferably from 0 to 20 mol %, based on all repeating units in the resin (A).
Specific examples of the repeating unit represented by formula (III) are shown below, but the invention is not limited thereto: In the formula, Ra represents H, CH3 , CH2OH , or CF3 .

<その他の繰り返し単位>
更に、樹脂(A)は、上述した繰り返し単位以外の繰り返し単位を有してもよい。
例えば樹脂(A)は、オキサチアン環基を有する繰り返し単位、オキサゾロン環基を有する繰り返し単位、ジオキサン環基を有する繰り返し単位、及びヒダントイン環基を有する繰り返し単位からなる群から選択される繰り返し単位を有していてもよい。
このような繰り返し単位を以下に例示する。
<Other repeating units>
Furthermore, the resin (A) may have a repeating unit other than the repeating units described above.
For example, resin (A) may have a repeating unit selected from the group consisting of a repeating unit having an oxathiane ring group, a repeating unit having an oxazolone ring group, a repeating unit having a dioxane ring group, and a repeating unit having a hydantoin ring group.
Examples of such repeating units are shown below.

樹脂(A)は、上記の繰り返し構造単位以外に、ドライエッチング耐性、標準現像液適性、基板密着性、レジストプロファイル、解像力、耐熱性、及び感度等を調節する目的で様々な繰り返し構造単位を有していてもよい。In addition to the above repeating structural units, resin (A) may have various repeating structural units for the purpose of adjusting dry etching resistance, suitability for standard developing solutions, substrate adhesion, resist profile, resolution, heat resistance, sensitivity, etc.

樹脂(A)としては、(特に、本発明のレジスト組成物がArF用の感活性光線性又は感放射線性樹脂組成物として用いられる場合)繰り返し単位のすべてが(メタ)アクリレート系繰り返し単位で構成されるのも好ましい。この場合、繰り返し単位のすべてがメタクリレート系繰り返し単位であるもの、繰り返し単位のすべてがアクリレート系繰り返し単位であるもの、繰り返し単位のすべてがメタクリレート系繰り返し単位とアクリレート系繰り返し単位とによるもののいずれのものでも用いることができ、アクリレート系繰り返し単位が全繰り返し単位の50モル%以下であることが好ましい。It is also preferable that all of the repeating units of the resin (A) are (meth)acrylate repeating units (especially when the resist composition of the present invention is used as an ArF actinic ray-sensitive or radiation-sensitive resin composition). In this case, any of the repeating units may be used, in which all of the repeating units are methacrylate repeating units, all of the repeating units are acrylate repeating units, or all of the repeating units are a combination of methacrylate repeating units and acrylate repeating units, and it is preferable that the acrylate repeating units account for 50 mol % or less of the total repeating units.

樹脂(A)は、常法に従って(例えばラジカル重合)合成できる。
GPC法によりポリスチレン換算値として、樹脂(A)の重量平均分子量は、1,000~200,000が好ましく、3,000~20,000がより好ましく、5,000~15,000が更に好ましい。樹脂(A)の重量平均分子量を、1,000~200,000とすることにより、耐熱性及びドライエッチング耐性の劣化をより一層抑制できる。また、現像性の劣化、及び粘度が高くなって製膜性が劣化することもより一層抑制できる。
樹脂(A)の分散度(分子量分布)は、通常1~5であり、1~3が好ましく、1.2~3.0がより好ましく、1.2~2.0が更に好ましい。分散度が小さいものほど、解像度、及びレジスト形状がより優れ、更に、レジストパターンの側壁がよりスムーズであり、ラフネス性にもより優れる。
The resin (A) can be synthesized according to a conventional method (for example, radical polymerization).
The weight average molecular weight of the resin (A) is preferably 1,000 to 200,000, more preferably 3,000 to 20,000, and even more preferably 5,000 to 15,000, as calculated in terms of polystyrene by the GPC method. By setting the weight average molecular weight of the resin (A) to 1,000 to 200,000, deterioration of heat resistance and dry etching resistance can be further suppressed. In addition, deterioration of developability and deterioration of film formability due to an increase in viscosity can be further suppressed.
The dispersity (molecular weight distribution) of the resin (A) is usually from 1 to 5, preferably from 1 to 3, more preferably from 1.2 to 3.0, and even more preferably from 1.2 to 2.0. The smaller the dispersity, the better the resolution and resist shape, and further the smoother the side walls of the resist pattern and the better the roughness.

本発明のレジスト組成物において、樹脂(A)の含有量は、組成物の全固形分に対して、50~99.9質量%が好ましく、60~99.0質量%がより好ましい。
なお、固形分とは、組成物中の溶剤を除いた成分を意図し、溶剤以外の成分であれば液状成分であっても固形分とみなす。
また、樹脂(A)は、1種で使用してもよいし、複数併用してもよい。
In the resist composition of the present invention, the content of the resin (A) is preferably from 50 to 99.9 mass %, and more preferably from 60 to 99.0 mass %, based on the total solid content of the composition.
The solid content refers to the components in the composition excluding the solvent, and any components other than the solvent are considered to be solids even if they are liquid components.
The resin (A) may be used alone or in combination of two or more kinds.

〔酸拡散制御剤〕
本発明のレジスト組成物は、酸拡散制御剤を含んでいてもよい。
酸拡散制御剤は、露光時に光酸発生剤等から発生する酸をトラップし、余分な発生酸による、未露光部における酸分解性樹脂の反応を抑制するクエンチャーとして作用するものである。酸拡散制御剤としては、例えば、塩基性化合物(DA)、活性光線又は放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)、及びカチオン部に窒素原子を有するオニウム塩化合物(DE)等を酸拡散制御剤として使用できる。本発明のレジスト組成物においては、公知の酸拡散制御剤を適宜使用できる。例えば、米国特許出願公開2016/0070167A1号明細書の段落[0627]~[0664]、米国特許出願公開2015/0004544A1号明細書の段落[0095]~[0187]、米国特許出願公開2016/0237190A1号明細書の段落[0403]~[0423]、及び米国特許出願公開2016/0274458A1号明細書の段落[0259]~[0328]に開示された公知の化合物を酸拡散制御剤として好適に使用できる。
[Acid Diffusion Controller]
The resist composition of the present invention may contain an acid diffusion controller.
The acid diffusion controller traps the acid generated from the photoacid generator during exposure and acts as a quencher to suppress the reaction of the acid-decomposable resin in the unexposed area caused by the excess acid generated. Examples of the acid diffusion controller that can be used include basic compounds (DA), basic compounds (DB) whose basicity is reduced or eliminated by irradiation with actinic rays or radiation, and onium salt compounds (DE) having a nitrogen atom in the cation moiety. In the resist composition of the present invention, known acid diffusion controllers can be appropriately used. For example, known compounds disclosed in paragraphs [0627] to [0664] of U.S. Patent Application Publication No. 2016/0070167A1, paragraphs [0095] to [0187] of U.S. Patent Application Publication No. 2015/0004544A1, paragraphs [0403] to [0423] of U.S. Patent Application Publication No. 2016/0237190A1, and paragraphs [0259] to [0328] of U.S. Patent Application Publication No. 2016/0274458A1 can be suitably used as the acid diffusion controller.

<塩基性化合物(DA)>
塩基性化合物(DA)としては、下記式(A)~(E)で示される構造を有する化合物が好ましい。
<Basic Compound (DA)>
As the basic compound (DA), compounds having structures represented by the following formulae (A) to (E) are preferred.

一般式(A)及び(E)中、
200、R201及びR202は、同一でも異なってもよく、各々独立に、水素原子、アルキル基(好ましくは炭素数1~20)、シクロアルキル基(好ましくは炭素数3~20)又はアリール基(炭素数6~20)を表す。R201とR202は、互いに結合して環を形成してもよい。
203、R204、R205及びR206は、同一でも異なってもよく、各々独立に、炭素数1~20のアルキル基を表す。
In general formulae (A) and (E),
R 200 , R 201 and R 202 may be the same or different and each independently represents a hydrogen atom, an alkyl group (preferably having 1 to 20 carbon atoms), a cycloalkyl group (preferably having 3 to 20 carbon atoms) or an aryl group (having 6 to 20 carbon atoms). R 201 and R 202 may be bonded to each other to form a ring.
R 203 , R 204 , R 205 and R 206 may be the same or different and each independently represents an alkyl group having 1 to 20 carbon atoms.

一般式(A)及び(E)中のアルキル基は、置換基を有していても無置換であってもよい。
上記アルキル基について、置換基を有するアルキル基としては、炭素数1~20のアミノアルキル基、炭素数1~20のヒドロキシアルキル基、又は炭素数1~20のシアノアルキル基が好ましい。
一般式(A)及び(E)中のアルキル基は、無置換であることがより好ましい。
The alkyl group in the general formulae (A) and (E) may be substituted or unsubstituted.
As for the above alkyl group, the alkyl group having a substituent is preferably an aminoalkyl group having 1 to 20 carbon atoms, a hydroxyalkyl group having 1 to 20 carbon atoms, or a cyanoalkyl group having 1 to 20 carbon atoms.
The alkyl groups in the general formulae (A) and (E) are more preferably unsubstituted.

塩基性化合物(DA)としては、グアニジン、アミノピロリジン、ピラゾール、ピラゾリン、ピペラジン、アミノモルホリン、アミノアルキルモルフォリン、又はピペリジンが好ましく、イミダゾール構造、ジアザビシクロ構造、オニウムヒドロキシド構造、オニウムカルボキシレート構造、トリアルキルアミン構造、アニリン構造若しくはピリジン構造を有する化合物、水酸基及び/若しくはエーテル結合を有するアルキルアミン誘導体、又は水酸基及び/若しくはエーテル結合を有するアニリン誘導体がより好ましい。As the basic compound (DA), guanidine, aminopyrrolidine, pyrazole, pyrazoline, piperazine, aminomorpholine, aminoalkylmorpholine, or piperidine is preferred, and a compound having an imidazole structure, a diazabicyclo structure, an onium hydroxide structure, an onium carboxylate structure, a trialkylamine structure, an aniline structure, or a pyridine structure, an alkylamine derivative having a hydroxyl group and/or an ether bond, or an aniline derivative having a hydroxyl group and/or an ether bond is more preferred.

<活性光線又は放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)>
活性光線又は放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)(以下、「化合物(DB)」ともいう)は、プロトンアクセプター性官能基を有し、かつ、活性光線又は放射線の照射により分解して、プロトンアクセプター性が低下、消失、又はプロトンアクセプター性から酸性に変化する化合物である。
<Basic Compound (DB) Whose Basicity is Reduced or Lost by Irradiation with Actinic Rays or Radiation>
The basic compound (DB) (hereinafter also referred to as "compound (DB)") whose basicity is reduced or eliminated by irradiation with actinic rays or radiation is a compound that has a proton acceptor functional group and is decomposed by irradiation with actinic rays or radiation, and the proton acceptor property is reduced or eliminated, or the proton acceptor property is changed from a proton acceptor property to an acidic property.

プロトンアクセプター性官能基とは、プロトンと静電的に相互作用し得る基又は電子を有する官能基であって、例えば、環状ポリエーテル等のマクロサイクリック構造を有する官能基、又はπ共役に寄与しない非共有電子対をもった窒素原子を有する官能基を意味する。π共役に寄与しない非共有電子対を有する窒素原子とは、例えば、下記式に示す部分構造を有する窒素原子である。A proton acceptor functional group is a functional group having a group or electrons that can electrostatically interact with a proton, and means, for example, a functional group having a macrocyclic structure such as a cyclic polyether, or a functional group having a nitrogen atom with an unshared electron pair that does not contribute to π conjugation. A nitrogen atom with an unshared electron pair that does not contribute to π conjugation is, for example, a nitrogen atom having the partial structure shown in the following formula.

プロトンアクセプター性官能基の好ましい部分構造として、例えば、クラウンエーテル構造、アザクラウンエーテル構造、1~3級アミン構造、ピリジン構造、イミダゾール構造、及びピラジン構造が挙げられる。Preferred partial structures of the proton acceptor functional group include, for example, a crown ether structure, an azacrown ether structure, a primary to tertiary amine structure, a pyridine structure, an imidazole structure, and a pyrazine structure.

化合物(DB)は、活性光線又は放射線の照射により分解してプロトンアクセプター性が低下若しくは消失し、又はプロトンアクセプター性から酸性に変化した化合物を発生する。ここでプロトンアクセプター性の低下若しくは消失、又はプロトンアクセプター性から酸性への変化とは、プロトンアクセプター性官能基にプロトンが付加することに起因するプロトンアクセプター性の変化であり、具体的には、プロトンアクセプター性官能基を有する化合物(DB)とプロトンとからプロトン付加体が生成するとき、その化学平衡における平衡定数が減少することを意味する。
プロトンアクセプター性は、pH測定を行うことによって確認できる。
Compound (DB) is decomposed by irradiation with actinic rays or radiation to generate a compound in which the proton acceptor property is reduced or eliminated, or the proton acceptor property is changed from a proton acceptor property to an acidic property. Here, the reduction or elimination of the proton acceptor property, or the change from a proton acceptor property to an acidic property, refers to a change in the proton acceptor property caused by the addition of a proton to a proton acceptor functional group, and specifically, when a proton adduct is generated from compound (DB) having a proton acceptor functional group and a proton, the equilibrium constant in the chemical equilibrium is reduced.
The proton acceptor property can be confirmed by measuring the pH.

活性光線又は放射線の照射により化合物(DB)が分解して発生する化合物の酸解離定数pKaは、pKa<-1を満たすことが好ましく、-13<pKa<-1を満たすことがより好ましく、-13<pKa<-3を満たすことが更に好ましい。The acid dissociation constant pKa of the compound generated by decomposition of compound (DB) upon exposure to actinic rays or radiation preferably satisfies pKa<-1, more preferably satisfies -13<pKa<-1, and even more preferably satisfies -13<pKa<-3.

なお、酸解離定数pKaとは、上述した方法により求めることができる。The acid dissociation constant pKa can be determined using the method described above.

<窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)>
窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)(以下、「化合物(DD)」ともいう)は、酸の作用により脱離する基を窒素原子上に有するアミン誘導体であることが好ましい。
酸の作用により脱離する基としては、アセタール基、カルボネート基、カルバメート基、3級エステル基、3級水酸基、又はヘミアミナールエーテル基が好ましく、カルバメート基、又はヘミアミナールエーテル基がより好ましい。
化合物(DD)の分子量は、100~1000が好ましく、100~700がより好ましく、100~500が更に好ましい。
化合物(DD)は、窒素原子上に保護基を有するカルバメート基を有してもよい。カルバメート基を構成する保護基としては、下記一般式(d-1)で表される。
<Low molecular weight compound (DD) having a nitrogen atom and a group that is cleaved by the action of an acid>
The low molecular weight compound (DD) having a nitrogen atom and a group that can be eliminated by the action of an acid (hereinafter also referred to as "compound (DD)") is preferably an amine derivative having, on the nitrogen atom, a group that can be eliminated by the action of an acid.
The group which is eliminated by the action of an acid is preferably an acetal group, a carbonate group, a carbamate group, a tertiary ester group, a tertiary hydroxyl group, or a hemiaminal ether group, more preferably a carbamate group or a hemiaminal ether group.
The molecular weight of the compound (DD) is preferably from 100 to 1,000, more preferably from 100 to 700, and even more preferably from 100 to 500.
Compound (DD) may have a carbamate group having a protecting group on the nitrogen atom. The protecting group constituting the carbamate group is represented by the following general formula (d-1).

一般式(d-1)において、
は、各々独立に、水素原子、アルキル基(好ましくは炭素数1~10)、シクロアルキル基(好ましくは炭素数3~30)、アリール基(好ましくは炭素数3~30)、アラルキル基(好ましくは炭素数1~10)、又はアルコキシアルキル基(好ましくは炭素数1~10)を表す。Rは相互に連結して環を形成していてもよい。
が示すアルキル基、シクロアルキル基、アリール基、及びアラルキル基は、各々独立に、水酸基、シアノ基、アミノ基、ピロリジノ基、ピペリジノ基、モルホリノ基、オキソ基等の官能基、アルコキシ基、又はハロゲン原子で置換されていてもよい。Rが示すアルコキシアルキル基についても同様である。
In general formula (d-1),
Each R b independently represents a hydrogen atom, an alkyl group (preferably having 1 to 10 carbon atoms), a cycloalkyl group (preferably having 3 to 30 carbon atoms), an aryl group (preferably having 3 to 30 carbon atoms), an aralkyl group (preferably having 1 to 10 carbon atoms), or an alkoxyalkyl group (preferably having 1 to 10 carbon atoms). R b may be linked to each other to form a ring.
The alkyl group, cycloalkyl group, aryl group, and aralkyl group represented by Rb may each independently be substituted with a functional group such as a hydroxyl group, a cyano group, an amino group, a pyrrolidino group, a piperidino group, a morpholino group, or an oxo group, an alkoxy group, or a halogen atom. The same applies to the alkoxyalkyl group represented by Rb .

としては、直鎖状若しくは分岐鎖状のアルキル基、シクロアルキル基、又はアリール基が好ましく、直鎖状若しくは分岐鎖状のアルキル基、又はシクロアルキル基がより好ましい。
2つのRが相互に連結して形成する環としては、脂環式炭化水素、芳香族炭化水素、複素環式炭化水素、及びその誘導体等が挙げられる。
一般式(d-1)で表される基の具体的な構造としては、米国特許公報US2012/0135348A1号明細書の段落[0466]に開示された構造が挙げられるが、これに制限されない。
Rb is preferably a linear or branched alkyl group, a cycloalkyl group, or an aryl group, and more preferably a linear or branched alkyl group, or a cycloalkyl group.
Examples of the ring formed by two Rb's linked together include alicyclic hydrocarbons, aromatic hydrocarbons, heterocyclic hydrocarbons, and derivatives thereof.
Specific examples of the structure of the group represented by formula (d-1) include, but are not limited to, the structures disclosed in paragraph [0466] of US Patent Publication US 2012/0135348 A1.

化合物(DD)は、下記一般式(6)で表される化合物であることが好ましい。 It is preferable that compound (DD) is a compound represented by the following general formula (6).

一般式(6)において、
lは0~2の整数を表し、mは1~3の整数を表し、l+m=3を満たす。
は、水素原子、アルキル基、シクロアルキル基、アリール基又はアラルキル基を表す。lが2のとき、2つのRは同じでも異なっていてもよく、2つのRは相互に連結して式中の窒素原子と共に複素環を形成していてもよい。この複素環には式中の窒素原子以外のヘテロ原子を含んでいてもよい。
は、上記一般式(d-1)におけるRと同義であり、好ましい例も同様である。
一般式(6)において、Rとしてのアルキル基、シクロアルキル基、アリール基、及びアラルキル基は、各々独立に、Rとしてのアルキル基、シクロアルキル基、アリール基、及びアラルキル基が置換されていてもよい基として前述した基と同様な基で置換されていてもよい。
In general formula (6),
l represents an integer of 0 to 2, m represents an integer of 1 to 3, and l+m=3 is satisfied.
R a represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, or an aralkyl group. When l is 2, the two R a may be the same or different, and the two R a may be linked together to form a heterocycle together with the nitrogen atom in the formula. This heterocycle may contain a heteroatom other than the nitrogen atom in the formula.
R b has the same meaning as R b in formula (d-1) above, and preferred examples are also the same.
In general formula (6), the alkyl group, cycloalkyl group, aryl group, and aralkyl group represented by R a may each independently be substituted with the same group as the group described above as the optionally substituted alkyl group, cycloalkyl group, aryl group, and aralkyl group represented by R b .

上記Rのアルキル基、シクロアルキル基、アリール基、及びアラルキル基(これらの基は、上記基で置換されていてもよい)の具体例としては、Rについて前述した具体例と同様な基が挙げられる。
本発明における特に好ましい化合物(DD)の具体例としては、米国特許出願公開2012/0135348A1号明細書の段落[0475]に開示された化合物が挙げられるが、これに制限されない。
Specific examples of the alkyl group, cycloalkyl group, aryl group, and aralkyl group (which may be substituted with the above groups) for R a include the same groups as the specific examples given above for R b .
Specific examples of particularly preferred compounds (DD) in the present invention include, but are not limited to, the compounds disclosed in paragraph [0475] of US Patent Application Publication No. 2012/0135348A1.

<カチオン部に窒素原子を有するオニウム塩化合物(DE)>
カチオン部に窒素原子を有するオニウム塩化合物(DE)(以下、「化合物(DE)」ともいう)は、カチオン部に窒素原子を含む塩基性部位を有する化合物であることが好ましい。塩基性部位は、アミノ基であることが好ましく、脂肪族アミノ基であることがより好ましい。塩基性部位中の窒素原子に隣接する原子の全てが、水素原子又は炭素原子であることが更に好ましい。また、塩基性向上の観点から、窒素原子に対して、電子求引性の官能基(カルボニル基、スルホニル基、シアノ基、及びハロゲン原子等)が直結していないことが好ましい。
化合物(DE)の好ましい具体例としては、米国特許出願公開2015/0309408A1号明細書の段落[0203]に開示された化合物が挙げられるが、これに制限されない。
<Onium Salt Compound Having Nitrogen Atom in Cation Moiety (DE)>
The onium salt compound (DE) having a nitrogen atom in the cationic moiety (hereinafter also referred to as "compound (DE)") is preferably a compound having a basic moiety containing a nitrogen atom in the cationic moiety. The basic moiety is preferably an amino group, more preferably an aliphatic amino group. It is further preferable that all atoms adjacent to the nitrogen atom in the basic moiety are hydrogen atoms or carbon atoms. In addition, from the viewpoint of improving basicity, it is preferable that an electron-withdrawing functional group (carbonyl group, sulfonyl group, cyano group, halogen atom, etc.) is not directly bonded to the nitrogen atom.
Preferred specific examples of the compound (DE) include, but are not limited to, the compounds disclosed in paragraph [0203] of U.S. Patent Application Publication No. 2015/0309408 A1.

酸拡散制御剤の好ましい例を以下に示す。Preferred examples of acid diffusion control agents are shown below.

本発明のレジスト組成物に酸拡散制御剤が含まれる場合、酸拡散制御剤の含有量(複数種存在する場合はその合計)は、組成物の全固形分に対して、0.1~11.0質量%が好ましく、0.1~10.0質量%がより好ましく、0.1~8.0質量%が更に好ましく、0.1~5.0質量%が特に好ましい。
本発明のレジスト組成物において、酸拡散制御剤は1種単独で使用してもよいし、2種以上を併用してもよい。
When the resist composition of the present invention contains an acid diffusion controller, the content of the acid diffusion controller (the total content when a plurality of types are present) is preferably 0.1 to 11.0 mass %, more preferably 0.1 to 10.0 mass %, even more preferably 0.1 to 8.0 mass %, and particularly preferably 0.1 to 5.0 mass %, based on the total solid content of the composition.
In the resist composition of the present invention, the acid diffusion controller may be used alone or in combination of two or more kinds.

〔疎水性樹脂〕
本発明のレジスト組成物は、上記樹脂(A)とは別に樹脂(A)とは異なる疎水性樹脂を含んでいてもよい。
疎水性樹脂はレジスト膜の表面に偏在するように設計されることが好ましいが、界面活性剤とは異なり、必ずしも分子内に親水基を有する必要はなく、極性物質及び非極性物質を均一に混合することに寄与しなくてもよい。
疎水性樹脂を添加することの効果として、水に対するレジスト膜表面の静的及び動的な接触角の制御、並びにアウトガスの抑制等が挙げられる。
[Hydrophobic resin]
The resist composition of the present invention may contain, in addition to the above-mentioned resin (A), a hydrophobic resin different from the resin (A).
The hydrophobic resin is preferably designed to be unevenly distributed on the surface of the resist film, but unlike a surfactant, it does not necessarily have to have a hydrophilic group in the molecule, and does not necessarily have to contribute to uniformly mixing polar and non-polar substances.
The effects of adding a hydrophobic resin include control of the static and dynamic contact angle of the resist film surface with water, and suppression of outgassing.

疎水性樹脂は、膜表層への偏在化の観点から、“フッ素原子”、“珪素原子”、及び“樹脂の側鎖部分に含まれたCH部分構造”のいずれか1種以上を有することが好ましく、2種以上を有することがより好ましい。また、上記疎水性樹脂は、炭素数5以上の炭化水素基を有することが好ましい。これらの基は樹脂の主鎖中に有していても、側鎖に置換していてもよい。 From the viewpoint of uneven distribution on the surface layer of the film, the hydrophobic resin preferably has one or more of "fluorine atoms", "silicon atoms", and " CH3 partial structures contained in the side chain portion of the resin", and more preferably has two or more of them. In addition, the hydrophobic resin preferably has a hydrocarbon group having 5 or more carbon atoms. These groups may be present in the main chain of the resin or may be substituted on the side chain.

疎水性樹脂が、フッ素原子及び/又は珪素原子を含む場合、疎水性樹脂における上記フッ素原子及び/又は珪素原子は、樹脂の主鎖中に含まれていてもよく、側鎖中に含まれていてもよい。When the hydrophobic resin contains fluorine atoms and/or silicon atoms, the fluorine atoms and/or silicon atoms in the hydrophobic resin may be contained in the main chain or in the side chain of the resin.

疎水性樹脂がフッ素原子を含んでいる場合、フッ素原子を有する部分構造としては、フッ素原子を有するアルキル基、フッ素原子を有するシクロアルキル基、又はフッ素原子を有するアリール基が好ましい。
フッ素原子を有するアルキル基(好ましくは炭素数1~10、より好ましくは炭素数1~4)は、少なくとも1つの水素原子がフッ素原子で置換された直鎖状又は分岐鎖状のアルキル基であり、更にフッ素原子以外の置換基を有していてもよい。
フッ素原子を有するシクロアルキル基は、少なくとも1つの水素原子がフッ素原子で置換された単環又は多環のシクロアルキル基であり、更にフッ素原子以外の置換基を有していてもよい。
フッ素原子を有するアリール基としては、フェニル基、及びナフチル基等のアリール基の少なくとも1つの水素原子がフッ素原子で置換されたものが挙げられ、更にフッ素原子以外の置換基を有していてもよい。
フッ素原子又は珪素原子を有する繰り返し単位の例としては、US2012/0251948A1の段落[0519]に例示されたものが挙げられる。
When the hydrophobic resin contains a fluorine atom, the partial structure having a fluorine atom is preferably an alkyl group having a fluorine atom, a cycloalkyl group having a fluorine atom, or an aryl group having a fluorine atom.
The alkyl group having a fluorine atom (preferably having 1 to 10 carbon atoms, more preferably having 1 to 4 carbon atoms) is a linear or branched alkyl group in which at least one hydrogen atom is substituted with a fluorine atom, and may further have a substituent other than a fluorine atom.
The fluorine atom-containing cycloalkyl group is a monocyclic or polycyclic cycloalkyl group in which at least one hydrogen atom is substituted with a fluorine atom, and may further have a substituent other than a fluorine atom.
Examples of aryl groups having a fluorine atom include aryl groups such as phenyl and naphthyl groups in which at least one hydrogen atom is substituted with a fluorine atom, and the aryl group may further have a substituent other than a fluorine atom.
Examples of the repeating unit having a fluorine atom or a silicon atom include those exemplified in paragraph [0519] of US 2012/0251948 A1.

また、上記したように、疎水性樹脂は、側鎖部分にCH部分構造を含むことも好ましい。
ここで、疎水性樹脂中の側鎖部分が有するCH部分構造は、エチル基、及びプロピル基等が有するCH部分構造を含むものである。
一方、疎水性樹脂の主鎖に直接結合しているメチル基(例えば、メタクリル酸構造を有する繰り返し単位のα-メチル基)は、主鎖の影響により疎水性樹脂の表面偏在化への寄与が小さいため、本発明におけるCH部分構造に含まれないものとする。
As mentioned above, it is also preferred that the hydrophobic resin contains a CH3 moiety in the side chain portion.
Here, the CH3 partial structure possessed by the side chain portion in the hydrophobic resin includes the CH3 partial structure possessed by an ethyl group, a propyl group, and the like.
On the other hand, a methyl group directly bonded to the main chain of a hydrophobic resin (for example, an α-methyl group of a repeating unit having a methacrylic acid structure) has a small contribution to the uneven distribution of the hydrophobic resin on the surface due to the influence of the main chain, and is therefore not included in the CH3 partial structure in the present invention.

疎水性樹脂に関しては、特開2014-010245号公報の段落[0348]~[0415]の記載を参酌でき、これらの内容は本願明細書に組み込まれる。With regard to hydrophobic resins, please refer to the descriptions in paragraphs [0348] to [0415] of JP 2014-010245 A, the contents of which are incorporated herein by reference.

なお、疎水性樹脂としてはこの他にも特開2011-248019号公報、特開2010-175859号公報、特開2012-032544号公報記載の樹脂も好ましく用いることができる。In addition, the resins described in JP-A-2011-248019, JP-A-2010-175859, and JP-A-2012-032544 can also be preferably used as hydrophobic resins.

疎水性樹脂を構成する繰り返し単位に相当するモノマーの好ましい例を以下に示す。Preferred examples of monomers corresponding to the repeating units that make up the hydrophobic resin are shown below.

本発明のレジスト組成物が疎水性樹脂を含む場合、疎水性樹脂の含有量は、組成物の全固形分に対して、0.01~15.0質量%が好ましく、0.1~10.0質量%がより好ましく、0.1~7.0質量%が更に好ましく、0.1~5.0質量%が特に好ましい。When the resist composition of the present invention contains a hydrophobic resin, the content of the hydrophobic resin is preferably 0.01 to 15.0 mass% relative to the total solid content of the composition, more preferably 0.1 to 10.0 mass%, even more preferably 0.1 to 7.0 mass%, and particularly preferably 0.1 to 5.0 mass%.

〔界面活性剤〕
本発明のレジスト組成物は、界面活性剤を含んでいてもよい。界面活性剤を含むことにより、密着性により優れ、現像欠陥のより少ないパターンを形成できる。
界面活性剤としては、フッ素系及び/又はシリコン系界面活性剤が好ましい。
フッ素系及び/又はシリコン系界面活性剤としては、例えば、米国特許出願公開第2008/0248425号明細書の段落[0276]に記載の界面活性剤が挙げられる。また、エフトップEF301又はEF303(新秋田化成(株)製);フロラードFC430、431又は4430(住友スリーエム(株)製);メガファックF171、F173、F176、F189、F113、F110、F177、F120又はR08(DIC(株)製);サーフロンS-382、SC101、102、103、104、105又は106(旭硝子(株)製);トロイゾルS-366(トロイケミカル(株)製);GF-300又はGF-150(東亞合成化学(株)製)、サーフロンS-393(セイミケミカル(株)製);エフトップEF121、EF122A、EF122B、RF122C、EF125M、EF135M、EF351、EF352、EF801、EF802又はEF601((株)ジェムコ製);PF636、PF656、PF6320又はPF6520(OMNOVA社製);KH-20(旭化成(株)製);FTX-204G、208G、218G、230G、204D、208D、212D、218D又は222D((株)ネオス製)を用いてもよい。なお、ポリシロキサンポリマーKP-341(信越化学工業(株)製)も、シリコン系界面活性剤として用いることができる。
[Surfactant]
The resist composition of the present invention may contain a surfactant. By containing a surfactant, it is possible to form a pattern with excellent adhesion and fewer development defects.
As the surfactant, a fluorine-based and/or silicon-based surfactant is preferred.
Examples of fluorine-based and/or silicone-based surfactants include those described in paragraph [0276] of the specification of U.S. Patent Application Publication No. 2008/0248425. Other examples include EFTOP EF301 or EF303 (manufactured by Shin-Akita Chemical Industry Co., Ltd.); Fluorad FC430, 431 or 4430 (manufactured by Sumitomo 3M Limited); Megafac F171, F173, F176, F189, F113, F110, F177, F120 or R08 (manufactured by DIC Corporation); Surflon S-382, SC101, 102, 103, 104, 105 or 106 (manufactured by Asahi Glass Co., Ltd.); Troysol S-366 (manufactured by Troy Chemical Co., Ltd.); GF-300 or GF-150 (manufactured by Toagosei Chemical Co., Ltd. ... Flon S-393 (manufactured by Seimi Chemical Co., Ltd.); EFTOP EF121, EF122A, EF122B, RF122C, EF125M, EF135M, EF351, EF352, EF801, EF802 or EF601 (manufactured by JEMCO Co., Ltd.); PF636, PF656, PF6320 or PF6520 (manufactured by OMNOVA); KH-20 (manufactured by Asahi Kasei Co., Ltd.); FTX-204G, 208G, 218G, 230G, 204D, 208D, 212D, 218D or 222D (manufactured by Neos Co., Ltd.) may also be used. Polysiloxane polymer KP-341 (manufactured by Shin-Etsu Chemical Co., Ltd.) can also be used as a silicon-based surfactant.

また、界面活性剤は、上記に示すような公知の界面活性剤の他に、テロメリゼーション法(テロマー法ともいわれる)又はオリゴメリゼーション法(オリゴマー法ともいわれる)により製造されたフルオロ脂肪族化合物を用いて合成してもよい。具体的には、このフルオロ脂肪族化合物から導かれたフルオロ脂肪族基を備えた重合体を、界面活性剤として用いてもよい。このフルオロ脂肪族化合物は、例えば、特開2002-90991号公報に記載された方法によって合成できる。
フルオロ脂肪族基を有する重合体としては、フルオロ脂肪族基を有するモノマーと(ポリ(オキシアルキレン))アクリレート及び/又は(ポリ(オキシアルキレン))メタクリレートとの共重合体が好ましく、不規則に分布しているものでも、ブロック共重合していてもよい。また、ポリ(オキシアルキレン)基としては、ポリ(オキシエチレン)基、ポリ(オキシプロピレン)基、及びポリ(オキシブチレン)基が挙げられ、また、ポリ(オキシエチレンとオキシプロピレンとオキシエチレンとのブロック連結体)やポリ(オキシエチレンとオキシプロピレンとのブロック連結体)等同じ鎖長内に異なる鎖長のアルキレンを有するようなユニットでもよい。更に、フルオロ脂肪族基を有するモノマーと(ポリ(オキシアルキレン))アクリレート(又はメタクリレート)との共重合体は2元共重合体ばかりでなく、異なる2種以上のフルオロ脂肪族基を有するモノマー、及び異なる2種以上の(ポリ(オキシアルキレン))アクリレート(又はメタクリレート)等を同時に共重合した3元系以上の共重合体でもよい。
例えば、市販の界面活性剤としては、メガファックF178、F-470、F-473、F-475、F-476、F-472(DIC(株)製)、C13基を有するアクリレート(又はメタクリレート)と(ポリ(オキシアルキレン))アクリレート(又はメタクリレート)との共重合体、C基を有するアクリレート(又はメタクリレート)と(ポリ(オキシエチレン))アクリレート(又はメタクリレート)と(ポリ(オキシプロピレン))アクリレート(又はメタクリレート)との共重合体が挙げられる。
また、米国特許出願公開第2008/0248425号明細書の段落[0280]に記載されているフッ素系及び/又はシリコン系以外の界面活性剤を使用してもよい。
In addition to the above-mentioned known surfactants, the surfactant may be synthesized using a fluoroaliphatic compound produced by a telomerization method (also called a telomer method) or an oligomerization method (also called an oligomer method). Specifically, a polymer having a fluoroaliphatic group derived from the fluoroaliphatic compound may be used as the surfactant. The fluoroaliphatic compound may be synthesized by the method described in JP-A-2002-90991, for example.
The polymer having a fluoroaliphatic group is preferably a copolymer of a monomer having a fluoroaliphatic group and a (poly(oxyalkylene)) acrylate and/or a (poly(oxyalkylene)) methacrylate, and may be irregularly distributed or block copolymerized. The poly(oxyalkylene) group may be a poly(oxyethylene) group, a poly(oxypropylene) group, or a poly(oxybutylene) group, and may also be a unit having alkylenes of different chain lengths within the same chain length, such as poly(block linkage of oxyethylene, oxypropylene, and oxyethylene) or poly(block linkage of oxyethylene and oxypropylene). Furthermore, the copolymer of a monomer having a fluoroaliphatic group and a (poly(oxyalkylene)) acrylate (or methacrylate) may be not only a binary copolymer, but also a ternary or higher copolymer in which two or more different monomers having a fluoroaliphatic group and two or more different (poly(oxyalkylene)) acrylates (or methacrylates) are simultaneously copolymerized.
For example, commercially available surfactants include Megafac F178, F-470, F-473, F-475, F-476, and F-472 (manufactured by DIC Corporation), copolymers of an acrylate (or methacrylate) having a C 6 F 13 group and a (poly(oxyalkylene)) acrylate (or methacrylate), and copolymers of an acrylate (or methacrylate) having a C 3 F 7 group, a (poly(oxyethylene)) acrylate (or methacrylate), and a (poly(oxypropylene)) acrylate (or methacrylate).
Furthermore, surfactants other than the fluorine-based and/or silicon-based surfactants described in paragraph [0280] of US Patent Application Publication No. 2008/0248425 may be used.

これら界面活性剤は、1種を単独で用いてもよく、又は2種以上を組み合わせて用いてもよい。
界面活性剤の含有量は、本発明のレジスト組成物の全固形分に対して、0.0001~2質量%が好ましく、0.0005~1質量%がより好ましい。
These surfactants may be used alone or in combination of two or more.
The content of the surfactant is preferably from 0.0001 to 2 mass %, and more preferably from 0.0005 to 1 mass %, based on the total solid content of the resist composition of the present invention.

〔溶剤〕
本発明のレジスト組成物は、溶剤を含む。溶剤としては、(M1)プロピレングリコールモノアルキルエーテルカルボキシレート、並びに(M2)プロピレングリコールモノアルキルエーテル、乳酸エステル、酢酸エステル、アルコキシプロピオン酸エステル、鎖状ケトン、環状ケトン、ラクトン、及びアルキレンカーボネートからなる群より選択される少なくとも1つの少なくとも一方を含んでいることが好ましい。なお、この溶剤は、成分(M1)及び(M2)以外の成分を更に含んでいてもよい。
〔solvent〕
The resist composition of the present invention contains a solvent. The solvent preferably contains at least one selected from the group consisting of (M1) propylene glycol monoalkyl ether carboxylate and (M2) propylene glycol monoalkyl ether, lactate ester, acetate ester, alkoxypropionate ester, chain ketone, cyclic ketone, lactone, and alkylene carbonate. The solvent may further contain a component other than the components (M1) and (M2).

本発明者らは、このような溶剤と上述した樹脂(A)とを組み合わせて用いると、組成物の塗布性が向上すると共に、現像欠陥数の少ないパターンが形成可能となることを見出している。その理由は必ずしも明らかではないが、これら溶剤は、上述した樹脂(A)の溶解性、沸点及び粘度のバランスが良いため、組成物膜の膜厚のムラ及びスピンコート中の析出物の発生等を抑制できることに起因していると本発明者らは考えている。The inventors have found that using such a solvent in combination with the above-mentioned resin (A) improves the coatability of the composition and enables the formation of a pattern with fewer development defects. The reason for this is not entirely clear, but the inventors believe that this is due to the fact that these solvents have a good balance of the solubility, boiling point, and viscosity of the above-mentioned resin (A), making it possible to suppress unevenness in the film thickness of the composition film and the occurrence of precipitates during spin coating.

成分(M1)としては、プロピレングリコールモノメチルエーテルアセテート(PGMEA:propylene glycol monomethylether acetate)、プロピレングリコールモノメチルエーテルプロピオネート、及びプロピレングリコールモノエチルエーテルアセテートからなる群より選択される少なくとも1つが好ましく、プロピレングリコールモノメチルエーテルアセテート(PGMEA)がより好ましい。 As component (M1), at least one selected from the group consisting of propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether propionate, and propylene glycol monoethyl ether acetate is preferred, with propylene glycol monomethyl ether acetate (PGMEA) being more preferred.

成分(M2)としては、以下のものが好ましい。
プロピレングリコールモノアルキルエーテルとしては、プロピレングリコールモノメチルエーテル(PGME:propylene glycol monomethylether)又はプロピレングリコールモノエチルエーテル(PGEE)が好ましい。
乳酸エステルとしては、乳酸エチル、乳酸ブチル、又は乳酸プロピルが好ましい。
酢酸エステルとしては、酢酸メチル、酢酸エチル、酢酸ブチル、酢酸イソブチル、酢酸プロピル、酢酸イソアミル、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、又は酢酸3-メトキシブチルが好ましい。
また、酪酸ブチルも好ましい。
アルコキシプロピオン酸エステルとしては、3-メトキシプロピオン酸メチル(MMP:methyl 3-Methoxypropionate)、又は3-エトキシプロピオン酸エチル(EEP:ethyl 3-ethoxypropionate)が好ましい。
鎖状ケトンとしては、1-オクタノン、2-オクタノン、1-ノナノン、2-ノナノン、アセトン、2-ヘプタノン、4-ヘプタノン、1-ヘキサノン、2-ヘキサノン、ジイソブチルケトン、フェニルアセトン、メチルエチルケトン、メチルイソブチルケトン、アセチルアセトン、アセトニルアセトン、イオノン、ジアセトニルアルコール、アセチルカービノール、アセトフェノン、メチルナフチルケトン、又はメチルアミルケトンが好ましい。
環状ケトンとしては、メチルシクロヘキサノン、イソホロン、又はシクロヘキサノンが好ましい。
ラクトンとしては、γ-ブチロラクトンが好ましい。
アルキレンカーボネートとしては、プロピレンカーボネートが好ましい。
As the component (M2), the following are preferred.
As the propylene glycol monoalkyl ether, propylene glycol monomethyl ether (PGME) or propylene glycol monoethyl ether (PGEE) is preferred.
The lactate ester is preferably ethyl lactate, butyl lactate, or propyl lactate.
The acetate ester is preferably methyl acetate, ethyl acetate, butyl acetate, isobutyl acetate, propyl acetate, isoamyl acetate, methyl formate, ethyl formate, butyl formate, propyl formate, or 3-methoxybutyl acetate.
Also preferred is butyl butyrate.
As the alkoxypropionate, methyl 3-methoxypropionate (MMP) or ethyl 3-ethoxypropionate (EEP) is preferred.
As the chain ketone, 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 2-heptanone, 4-heptanone, 1-hexanone, 2-hexanone, diisobutyl ketone, phenylacetone, methyl ethyl ketone, methyl isobutyl ketone, acetylacetone, acetonylacetone, ionone, diacetonyl alcohol, acetylcarbinol, acetophenone, methyl naphthyl ketone, or methyl amyl ketone is preferred.
The cyclic ketone is preferably methylcyclohexanone, isophorone, or cyclohexanone.
The lactone is preferably γ-butyrolactone.
As the alkylene carbonate, propylene carbonate is preferred.

成分(M2)としては、プロピレングリコールモノメチルエーテル(PGME)、乳酸エチル、3-エトキシプロピオン酸エチル、メチルアミルケトン、シクロヘキサノン、酢酸ブチル、酢酸ペンチル、γ-ブチロラクトン、又はプロピレンカーボネートがより好ましい。 As component (M2), propylene glycol monomethyl ether (PGME), ethyl lactate, ethyl 3-ethoxypropionate, methyl amyl ketone, cyclohexanone, butyl acetate, pentyl acetate, gamma-butyrolactone, or propylene carbonate are more preferred.

上記成分の他、炭素数が7以上(7~14が好ましく、7~12がより好ましく、7~10が更に好ましい)、かつ、ヘテロ原子数が2以下のエステル系溶剤を用いることが好ましい。In addition to the above components, it is preferable to use an ester-based solvent having 7 or more carbon atoms (preferably 7 to 14, more preferably 7 to 12, and even more preferably 7 to 10) and 2 or less heteroatoms.

炭素数が7以上かつヘテロ原子数が2以下のエステル系溶剤としては、酢酸アミル、酢酸2-メチルブチル、酢酸1-メチルブチル、酢酸ヘキシル、プロピオン酸ペンチル、プロピオン酸ヘキシル、プロピオン酸ブチル、イソ酪酸イソブチル、プロピオン酸ヘプチル、又はブタン酸ブチルが好ましく、酢酸イソアミルがより好ましい。 Preferred ester solvents having 7 or more carbon atoms and 2 or less heteroatoms are amyl acetate, 2-methylbutyl acetate, 1-methylbutyl acetate, hexyl acetate, pentyl propionate, hexyl propionate, butyl propionate, isobutyl isobutyrate, heptyl propionate, or butyl butanoate, with isoamyl acetate being more preferred.

成分(M2)としては、引火点(以下、fpともいう)が37℃以上であるものが好ましい。このような成分(M2)としては、プロピレングリコールモノメチルエーテル(fp:47℃)、乳酸エチル(fp:53℃)、3-エトキシプロピオン酸エチル(fp:49℃)、メチルアミルケトン(fp:42℃)、シクロヘキサノン(fp:44℃)、酢酸ペンチル(fp:45℃)、2-ヒドロキシイソ酪酸メチル(fp:45℃)、γ-ブチロラクトン(fp:101℃)、又はプロピレンカーボネート(fp:132℃)が好ましい。これらのうち、プロピレングリコールモノエチルエーテル、乳酸エチル、酢酸ペンチル、又はシクロヘキサノンがより好ましく、プロピレングリコールモノエチルエーテル、又は乳酸エチルが更に好ましい。
なお、ここで「引火点」とは、東京化成工業株式会社又はシグマアルドリッチ社の試薬カタログに記載されている値を意味している。
As the component (M2), those having a flash point (hereinafter also referred to as fp) of 37° C. or more are preferable. As such a component (M2), propylene glycol monomethyl ether (fp: 47° C.), ethyl lactate (fp: 53° C.), ethyl 3-ethoxypropionate (fp: 49° C.), methyl amyl ketone (fp: 42° C.), cyclohexanone (fp: 44° C.), pentyl acetate (fp: 45° C.), methyl 2-hydroxyisobutyrate (fp: 45° C.), γ-butyrolactone (fp: 101° C.), or propylene carbonate (fp: 132° C.) are preferable. Among these, propylene glycol monoethyl ether, ethyl lactate, pentyl acetate, or cyclohexanone are more preferable, and propylene glycol monoethyl ether or ethyl lactate are even more preferable.
The "flash point" herein refers to the value listed in the reagent catalog of Tokyo Chemical Industry Co., Ltd. or Sigma-Aldrich Co.

成分(M1)と成分(M2)との混合溶剤における混合の質量比(M1/M2)は、「100/0」~「15/85」の範囲内にあることが好ましく、「100/0」~「40/60」の範囲内にあることがより好ましい。このような構成を採用すると、現像欠陥数を更に減少させることが可能となる。The mass ratio (M1/M2) of the mixed solvent of components (M1) and (M2) is preferably in the range of 100/0 to 15/85, and more preferably in the range of 100/0 to 40/60. By adopting such a configuration, it is possible to further reduce the number of development defects.

上述した通り、溶剤は、成分(M1)及び(M2)以外の成分を更に含んでいてもよい。この場合、成分(M1)及び(M2)以外の成分の含有量は、溶剤の全量に対して、30質量%以下の範囲内が好ましく、5~30質量%の範囲内がより好ましい。As described above, the solvent may further contain components other than components (M1) and (M2). In this case, the content of components other than components (M1) and (M2) is preferably in the range of 30 mass% or less, more preferably in the range of 5 to 30 mass%, based on the total amount of the solvent.

本発明のレジスト組成物中の溶剤の含有量は、固形分濃度が0.5~30質量%となるように定めることが好ましく、1~20質量%となるように定めることがより好ましい。こうすると、本発明のレジスト組成物の塗布性がより優れる。なお、上述のとおり、「固形分」とは、レジスト膜を形成する成分を意図し、溶剤は含まれない。また、レジスト膜を形成する成分であれば、その性状が液体状であっても、固形分とみなす。The content of the solvent in the resist composition of the present invention is preferably determined so that the solids concentration is 0.5 to 30% by mass, and more preferably 1 to 20% by mass. This provides better coatability for the resist composition of the present invention. As mentioned above, "solids" refers to components that form a resist film, and does not include solvents. Furthermore, any component that forms a resist film is considered to be a solid even if it is in a liquid state.

<その他の添加剤>
本発明のレジスト組成物は、更に、上述した以外の樹脂、架橋剤、酸増殖剤、染料、可塑剤、光増感剤、光吸収剤、アルカリ可溶性樹脂、溶解阻止剤、又は溶解促進剤等を含んでいてもよい。
<Other additives>
The resist composition of the present invention may further contain a resin other than those mentioned above, a crosslinking agent, an acid amplifier, a dye, a plasticizer, a photosensitizer, a light absorber, an alkali-soluble resin, a dissolution inhibitor, a dissolution promoter, or the like.

〔レジスト組成物の調製方法〕
<含水率の調製方法>
レジスト組成物の含水率を所定値以下に低減する方法の一例を以下に示す。以下に示す方法を単独で実施してもよいし、2以上の方法を組み合わせて実施してもよい。
(1)レジスト組成物の調製を窒素雰囲気下及び/又は乾燥雰囲気下で行う方法:
レジスト組成物を構成する各成分の混合工程を窒素雰囲気下で行うことにより、レジスト組成物の調製の際における水分の混入を抑制できる。また、レジスト組成物が吸湿性の高い樹脂(A)及び/又は吸湿性の高い光酸発生剤を含む場合、レジスト組成物を構成する各成分の混合工程を乾燥雰囲気(例えば、湿度50%以下)で行うのも好ましい。
(2)レジスト組成物を構成する各成分(原料成分)自体の含水率を低減する方法:
レジスト組成物を構成する各成分(原料成分)自体の含水率を低減することによって、レジスト組成物の含水率を低減できる。具体的には、レジスト組成物の調製に先立って、レジスト組成物に配合する各成分(原料成分)を真空乾燥処理する方法が挙げられる。
上記真空乾燥処理は、室温で行ってもよいし、加熱しながら行ってもよい。
レジスト組成物において多く含まれ得る樹脂(A)を真空乾燥処理することで、最終的に得られるレジスト組成物の含水率をより低減させやすい。樹脂(A)の真空乾燥処理としては、減圧及び加熱下(例:15mmHg;40~60℃)で所定の時間(例えば、30分以上24時間以下が好ましく、1時間以上16時間以下がより好ましく、2時間以上12時間以下の範囲内で調整することが更に好ましい。)乾燥させる処理が一例として挙げられる。
(3)モレキュラーシーブで脱水処理する方法:
レジスト組成物を構成する各成分(原料成分)自体、及び/又はレジスト組成物を、モレキュラーシーブ(例:ユニオン昭和株式会社製のもの)で脱水処理することによって、レジスト組成物の含水率を低減できる。特に、レジスト組成物を構成する各成分(原料成分)自体に対してモレキュラーシーブで脱水処理する方が、最終的に得られるレジスト組成物の含水率をより低減させやすい。
[Method of Preparing Resist Composition]
<Method of adjusting moisture content>
An example of a method for reducing the moisture content of a resist composition to a predetermined value or less is shown below. The following methods may be carried out alone or in combination of two or more methods.
(1) A method in which the resist composition is prepared in a nitrogen atmosphere and/or a dry atmosphere:
By carrying out the mixing step of the components constituting the resist composition under a nitrogen atmosphere, it is possible to suppress the mixing of moisture during the preparation of the resist composition. Furthermore, when the resist composition contains a highly hygroscopic resin (A) and/or a highly hygroscopic photoacid generator, it is also preferable to carry out the mixing step of the components constituting the resist composition under a dry atmosphere (for example, humidity of 50% or less).
(2) Method for reducing the moisture content of each component (raw material component) constituting the resist composition:
The moisture content of the resist composition can be reduced by reducing the moisture content of each component (raw material component) that constitutes the resist composition itself. Specifically, one example of such a method is to vacuum dry each component (raw material component) to be blended into the resist composition prior to preparation of the resist composition.
The vacuum drying treatment may be carried out at room temperature or with heating.
By subjecting the resin (A), which may be contained in a large amount in the resist composition, to a vacuum drying treatment, the moisture content of the finally obtained resist composition can be further reduced. An example of the vacuum drying treatment of the resin (A) is a treatment in which the resin is dried under reduced pressure and heating (e.g., 15 mmHg; 40 to 60° C.) for a predetermined period of time (for example, preferably 30 minutes to 24 hours, more preferably 1 hour to 16 hours, and even more preferably adjusted within a range of 2 hours to 12 hours).
(3) Dehydration method using molecular sieves:
The water content of the resist composition can be reduced by dehydrating each of the components (raw material components) constituting the resist composition and/or the resist composition with a molecular sieve (e.g., a product of Union Showa Co., Ltd.) In particular, dehydrating each of the components (raw material components) constituting the resist composition with a molecular sieve makes it easier to reduce the water content of the final resist composition.

以下、本発明のレジスト組成物の調製方法の具体的な一例を示す。
レジスト組成物中においては、金属原子の含有量が低減されているのが好ましい。
A specific example of a method for preparing the resist composition of the present invention will now be described.
In the resist composition, the content of metal atoms is preferably reduced.

以下においては、まず、レジスト組成物中の金属原子の含有量を低減する方法の具体的な一例を説明した後、レジスト組成物の調製方法の具体的な一例を説明する。
レジスト組成物中の金属原子の含有量を低減する方法としては、例えば、フィルターを用いた濾過による調整方法が挙げられる。フィルター孔径としては、ポアサイズ100nm未満が好ましく、10nm以下がより好ましく、5nm以下が更に好ましい。フィルターとしては、ポリテトラフルオロエチレン製、ポリエチレン製、又はナイロン製のフィルターが好ましい。フィルターは、上記フィルター素材とイオン交換メディアとを組み合わせた複合材料で構成されていてもよい。フィルターは、有機溶剤であらかじめ洗浄したものを用いてもよい。フィルター濾過工程では、複数種類のフィルターを直列又は並列に接続して用いてもよい。複数種類のフィルターを使用する場合は、孔径及び/又は材質が異なるフィルターを組み合わせて使用してもよい。また、各種材料を複数回濾過してもよく、複数回濾過する工程が循環濾過工程であってもよい。
In the following, first a specific example of a method for reducing the content of metal atoms in a resist composition will be described, and then a specific example of a method for preparing a resist composition will be described.
The method for reducing the content of metal atoms in the resist composition includes, for example, an adjustment method by filtration using a filter. The filter pore size is preferably less than 100 nm, more preferably 10 nm or less, and even more preferably 5 nm or less. The filter is preferably made of polytetrafluoroethylene, polyethylene, or nylon. The filter may be made of a composite material combining the above filter material and an ion exchange medium. The filter may be used after being washed in advance with an organic solvent. In the filter filtration process, multiple types of filters may be connected in series or parallel. When multiple types of filters are used, filters with different pore sizes and/or materials may be used in combination. In addition, various materials may be filtered multiple times, and the process of filtering multiple times may be a circulation filtration process.

また、レジスト組成物中の金属原子の含有量を低減する方法としては、レジスト組成物中の各種材料を構成する原料として金属含有量が少ない原料を選択する方法、レジスト組成物中の各種材料を構成する原料に対してフィルター濾過を行う方法、及び装置内をテフロン(登録商標)でライニングする等してコンタミネーションを可能な限り抑制した条件下で蒸留を行う方法等が挙げられる。Methods for reducing the content of metal atoms in a resist composition include selecting raw materials with a low metal content as the raw materials constituting the various materials in the resist composition, filtering the raw materials constituting the various materials in the resist composition, and performing distillation under conditions that minimize contamination as much as possible, for example by lining the inside of the apparatus with Teflon (registered trademark).

また、レジスト組成物中の金属原子の含有量を低減する方法としては、上述したフィルター濾過のほか、吸着材による除去を行ってもよく、フィルター濾過と吸着材とを組み合わせて使用してもよい。吸着材としては、公知の吸着材を用いることができ、例えば、シリカゲル及びゼオライト等の無機系吸着材、並びに活性炭等の有機系吸着材を使用できる。
また、レジスト組成物中の金属原子の含有量を低減するためには、製造工程における金属不純物の混入を防止することが必要である。製造装置から金属不純物が十分に除去されたかどうかは、製造装置の洗浄に使用された洗浄液中に含まれる金属成分の含有量を測定することで確認できる。
In addition, as a method for reducing the content of metal atoms in the resist composition, in addition to the above-mentioned filter filtration, removal with an adsorbent may be performed, or a combination of filter filtration and an adsorbent may be used. As the adsorbent, a known adsorbent may be used, for example, an inorganic adsorbent such as silica gel or zeolite, or an organic adsorbent such as activated carbon.
In order to reduce the content of metal atoms in the resist composition, it is necessary to prevent the incorporation of metal impurities during the manufacturing process. Whether or not metal impurities have been sufficiently removed from the manufacturing equipment can be confirmed by measuring the content of metal components contained in the cleaning solution used to clean the manufacturing equipment.

次に、レジスト組成物の調製方法の具体的な一例について述べる。
レジスト組成物の製造にあたっては、最終的に得られるレジスト組成物の含水率を所定値以下とするため、レジスト組成物を構成する各成分(原料成分)自体、及び/又はレジスト組成物に対して、既述の方法により含水量を低減する工程を実施する。
Next, a specific example of a method for preparing a resist composition will be described.
In producing the resist composition, in order to adjust the water content of the final resist composition to a specified value or less, a step of reducing the water content of each of the components (raw material components) constituting the resist composition, and/or the resist composition itself, is carried out by the method described above.

レジスト組成物の製造においては、例えば、上述した樹脂及び光酸発生剤等の各種成分を溶剤に溶解させた後、素材が異なる複数のフィルターを用いて濾過(循環濾過でもよい)を行うことが好ましい。例えば、孔径50nmのポリエチレン製フィルター、孔径10nmのナイロン製フィルター、孔径3~5nmのポリエチレン製フィルターを順列に接続し、濾過を行うのが好ましい。濾過は、2回以上の循環濾過を行う方法も好ましい。なお、上記濾過工程は、レジスト組成物中の金属原子の含有量を低減させる効果もある。フィルター間の圧力差は小さい程好ましく、一般的には0.1MPa以下であり、0.05MPa以下であることが好ましく、0.01MPa以下であることがより好ましい。フィルターと充填ノズルの間の圧力差も小さい程好ましく、一般的には0.5MPa以下であり、0.2MPa以下であることが好ましく、0.1MPa以下であることがより好ましい。
また、レジスト組成物の製造においてフィルターを用いて循環濾過を行う方法としては、例えば、孔径50nmのポリテトラフルオロエチレン製フィルターを用いて2回以上循環濾過を行う方法も好ましい。
In the production of the resist composition, for example, various components such as the above-mentioned resin and photoacid generator are preferably dissolved in a solvent, and then filtration (which may be circulating filtration) is performed using multiple filters made of different materials. For example, it is preferable to connect a polyethylene filter with a pore size of 50 nm, a nylon filter with a pore size of 10 nm, and a polyethylene filter with a pore size of 3 to 5 nm in series and perform filtration. A method of performing circulating filtration two or more times is also preferable for the filtration. The above filtration step also has the effect of reducing the content of metal atoms in the resist composition. The smaller the pressure difference between the filters, the more preferable, and generally 0.1 MPa or less, preferably 0.05 MPa or less, and more preferably 0.01 MPa or less. The smaller the pressure difference between the filter and the filling nozzle, the more preferable, and generally 0.5 MPa or less, preferably 0.2 MPa or less, and more preferably 0.1 MPa or less.
As a method for carrying out circulating filtration using a filter in the production of the resist composition, for example, a method in which circulating filtration is carried out two or more times using a polytetrafluoroethylene filter having a pore size of 50 nm is also preferred.

レジスト組成物の製造装置の内部は、窒素等の不活性ガスによってガス置換を行うことが好ましい。これにより、酸素等の活性ガスがレジスト組成物中に溶解することを抑制できる。
レジスト組成物はフィルターによって濾過された後、清浄な容器に充填される。容器に充填されたレジスト組成物は、冷蔵保存されることが好ましい。これにより、経時による性能劣化が抑制される。レジスト組成物の容器への充填が完了してから、冷蔵保存を開始するまでの時間は短い程好ましく、一般的には24時間以内であり、16時間以内が好ましく、12時間以内がより好ましく、10時間以内が更に好ましい。保存温度は0~15℃が好ましく、0~10℃がより好ましく、0~5℃が更に好ましい。
It is preferable to replace the inside of the resist composition production apparatus with an inert gas such as nitrogen, which can prevent active gases such as oxygen from dissolving in the resist composition.
The resist composition is filtered through a filter and then filled into a clean container. The resist composition filled into the container is preferably stored in a refrigerator. This suppresses deterioration of performance over time. The shorter the time from the completion of filling the resist composition into the container to the start of refrigerated storage, the more preferable, and is generally within 24 hours, preferably within 16 hours, more preferably within 12 hours, and even more preferably within 10 hours. The storage temperature is preferably 0 to 15°C, more preferably 0 to 10°C, and even more preferably 0 to 5°C.

[レジスト膜、パターン形成方法]
本発明のレジスト組成物を用いてレジスト膜を形成でき、更に、パターンを形成できる。本発明のレジスト組成物を用いたパターン形成方法の手順は特に制限されないが、以下の工程を有することが好ましい。
工程1:レジスト組成物を用いて、支持体(基板上)にレジスト膜を形成する工程
工程2:レジスト膜を露光する工程
工程3:露光されたレジスト膜を現像液を用いて現像する工程
以下、上記それぞれの工程の手順について詳述する。
[Resist film and pattern forming method]
The resist composition of the present invention can be used to form a resist film, and further, a pattern. The procedure for the pattern formation method using the resist composition of the present invention is not particularly limited, but it is preferable that the method includes the following steps.
Step 1: Forming a resist film on a support (substrate) using a resist composition. Step 2: Exposing the resist film to light. Step 3: Developing the exposed resist film using a developer. The procedures for each of the above steps will be described in detail below.

〔工程1:レジスト膜形成工程〕
工程1は、レジスト組成物を用いて、支持体(基板上)にレジスト膜を形成する工程である。
レジスト組成物の定義及びレジスト組成物の調製方法については、上述の通りである。
[Step 1: Resist film formation step]
Step 1 is a step of forming a resist film on a support (substrate) using a resist composition.
The definition of the resist composition and the method for preparing the resist composition are as described above.

次に、レジスト組成物を用いて基板上にレジスト膜を形成する方法を説明する。
レジスト組成物を用いて基板上にレジスト膜を形成する方法としては、レジスト組成物を基板上に塗布する方法が挙げられる。
Next, a method for forming a resist film on a substrate using the resist composition will be described.
An example of a method for forming a resist film on a substrate using a resist composition is a method in which the resist composition is applied onto a substrate.

レジスト組成物は、集積回路素子の製造に使用されるような基板(例:シリコン、二酸化シリコン被覆)上に、スピナー又はコーター等の適当な塗布方法により塗布できる。塗布方法としては、スピナーを用いたスピン塗布が好ましい。スピナーを用いたスピン塗布をする際の回転数は、1000~3000rpmが好ましい。
レジスト組成物の塗布後、基板を乾燥し、レジスト膜を形成してもよい。なお、必要により、レジスト膜の下層に、各種下地膜(無機膜、有機膜、反射防止膜)を形成してもよい。
The resist composition can be applied onto a substrate (e.g., silicon, silicon dioxide-coated) such as those used in the manufacture of integrated circuit elements by a suitable application method such as a spinner or coater. The preferred application method is spin coating using a spinner. The rotation speed when spin coating using a spinner is preferably 1000 to 3000 rpm.
After coating the resist composition, the substrate may be dried to form a resist film. If necessary, various undercoats (inorganic films, organic films, anti-reflective films) may be formed under the resist film.

乾燥方法としては、加熱して乾燥する方法が挙げられる。加熱は通常の露光機、及び/又は現像機に備わっている手段で行うことができ、ホットプレート等を用いて行ってもよい。加熱温度は80~150℃が好ましく、80~140℃がより好ましく、80~130℃が更に好ましい。加熱時間は30~1000秒が好ましく、60~800秒がより好ましく、60~600秒が更に好ましい。Drying methods include a method of drying by heating. Heating can be performed by means provided in a normal exposure machine and/or developing machine, and may also be performed using a hot plate or the like. The heating temperature is preferably 80 to 150°C, more preferably 80 to 140°C, and even more preferably 80 to 130°C. The heating time is preferably 30 to 1000 seconds, more preferably 60 to 800 seconds, and even more preferably 60 to 600 seconds.

レジスト膜の膜厚は特に制限されないが、より高精度な微細パターンを形成できる点から、10~150nmが好ましく、15~100nmがより好ましい。The thickness of the resist film is not particularly limited, but a thickness of 10 to 150 nm is preferable, and 15 to 100 nm is more preferable, as it allows the formation of fine patterns with higher precision.

なお、レジスト膜の上層にトップコート組成物を用いてトップコートを形成してもよい。
トップコート組成物は、レジスト膜と混合せず、更にレジスト膜上層に均一に塗布できることが好ましい。
また、トップコートの形成前にレジスト膜を乾燥することが好ましい。次いで、得られたレジスト膜上に、上記レジスト膜の形成方法と同様の手段によりトップコート組成物を塗布し、更に乾燥することで、トップコートを形成できる。
トップコートの膜厚は、10~200nmが好ましく、20~100nmがより好ましい。
トップコート組成物は、例えば、樹脂と添加剤と溶剤とを含む。
上記樹脂としては、上述の疎水性樹脂と同様の樹脂を使用できる。樹脂の含有量は、トップコート組成物の全固形分に対して、50~99.9質量%が好ましく、60~99.7質量%がより好ましい。なお、ここでいう「固形分」とは、トップコートを形成する成分を意図し、溶剤は含まれない。また、トップコートを形成する成分であれば、その性状が液体状であっても、固形分とみなす。
上記添加剤としては、上述の酸拡散制御剤を使用できる。また、N-オキシルフリーラジカル基を有する化合物のようなラジカルトラップ基を有する化合物も使用できる。このような化合物としては、例えば、[4-(ベンゾイルオキシ)-2,2,6,6-テトラメチルピペリジノオキシ]ラジカルが挙げられる。添加剤の含有量は、トップコート組成物の全固形分に対して、0.01~20質量%が好ましく、0.1~15質量%がより好ましい。
上記溶剤は、レジスト膜を溶解しないのが好ましく、例えば、アルコール系溶剤(4-メチル-2-ペンタノール等)、エーテル系溶剤(ジイソアミルエーテル等)、エステル系溶剤、フッ素系溶剤、及び炭化水素系溶剤(n-デカン等)が挙げられる。
トップコート組成物中の溶剤の含有量は、固形分濃度が0.5~30質量%となるように定めることが好ましく、1~20質量%となるように定めることがより好ましい。
また、トップコート組成物は、上述の添加剤以外に界面活性剤を含んでもよく、上記界面活性剤としては、本発明のレジスト組成物が含んでもよい界面活性剤を使用できる。界面活性剤の含有量は、トップコート組成物の全固形分に対して、0.0001~2質量%が好ましく、0.0005~1質量%がより好ましい。
その他にも、トップコートは、特に限定されず、従来公知のトップコートを、従来公知の方法によって形成でき、例えば、特開2014-059543号公報の段落[0072]~[0082]の記載に基づいてトップコートを形成できる。
例えば、特開2013-61648号公報に記載されたような塩基性化合物を含むトップコートを、レジスト膜上に形成することが好ましい。トップコートが含み得る塩基性化合物の具体的な例は、本発明のレジスト組成物が含んでいてもよい塩基性化合物が挙げられる。
また、トップコートは、エーテル結合、チオエーテル結合、水酸基、チオール基、カルボニル結合及びエステル結合からなる群より選択される基又は結合を少なくとも一つ含む化合物を含むことが好ましい。
A top coat may be formed on the resist film using a top coat composition.
It is preferable that the top coat composition does not mix with the resist film and can be applied uniformly onto the resist film.
It is also preferable to dry the resist film before forming the top coat. Next, a top coat composition is applied onto the obtained resist film by the same means as in the above-mentioned method for forming the resist film, and then dried to form the top coat.
The thickness of the top coat is preferably from 10 to 200 nm, and more preferably from 20 to 100 nm.
The topcoat composition includes, for example, a resin, an additive, and a solvent.
The resin may be the same as the hydrophobic resin described above. The content of the resin is preferably 50 to 99.9% by mass, more preferably 60 to 99.7% by mass, based on the total solid content of the top coat composition. Note that the "solid content" referred to here refers to the components forming the top coat, and does not include the solvent. In addition, any component that forms the top coat is considered to be a solid content even if it is in a liquid state.
As the additive, the above-mentioned acid diffusion control agent can be used. In addition, a compound having a radical trap group, such as a compound having an N-oxyl free radical group, can also be used. An example of such a compound is the [4-(benzoyloxy)-2,2,6,6-tetramethylpiperidinooxy] radical. The content of the additive is preferably 0.01 to 20 mass %, more preferably 0.1 to 15 mass %, based on the total solid content of the top coat composition.
The above solvent preferably does not dissolve the resist film, and examples thereof include alcohol-based solvents (such as 4-methyl-2-pentanol), ether-based solvents (such as diisoamyl ether), ester-based solvents, fluorine-based solvents, and hydrocarbon-based solvents (such as n-decane).
The content of the solvent in the top coat composition is preferably determined so that the solids concentration is 0.5 to 30% by mass, and more preferably 1 to 20% by mass.
The top coat composition may contain a surfactant in addition to the above-mentioned additives, and as the surfactant, a surfactant that may be contained in the resist composition of the present invention can be used. The content of the surfactant is preferably 0.0001 to 2 mass %, more preferably 0.0005 to 1 mass %, based on the total solid content of the top coat composition.
In addition, the top coat is not particularly limited, and a conventionally known top coat can be formed by a conventionally known method. For example, a top coat can be formed based on the description in paragraphs [0072] to [0082] of JP2014-059543A.
For example, it is preferable to form a top coat containing a basic compound such as that described in JP 2013-61648 A on the resist film. Specific examples of the basic compound that may be contained in the top coat include the basic compounds that may be contained in the resist composition of the present invention.
The top coat preferably contains a compound containing at least one group or bond selected from the group consisting of an ether bond, a thioether bond, a hydroxyl group, a thiol group, a carbonyl bond, and an ester bond.

〔工程2:露光工程〕
工程2は、レジスト膜を露光する工程である。
露光の方法としては、形成したレジスト膜に所定のマスクを通して活性光線又は放射線を照射する方法が挙げられる。
活性光線又は放射線としては、赤外光、可視光、紫外光、遠紫外光、極紫外光、X線、及び電子線が挙げられ、好ましくは250nm以下、より好ましくは220nm以下、特に好ましくは1~200nmの波長の遠紫外光、具体的には、KrFエキシマレーザー(248nm)、ArFエキシマレーザー(193nm)、Fエキシマレーザー(157nm)、EUV(13nm)、X線、及び電子ビームが挙げられる。
[Step 2: Exposure Step]
Step 2 is a step of exposing the resist film to light.
The exposure method may be a method in which the formed resist film is irradiated with actinic rays or radiation through a predetermined mask.
Examples of actinic rays or radiation include infrared light, visible light, ultraviolet light, far ultraviolet light, extreme ultraviolet light, X-rays, and electron beams, and preferably far ultraviolet light having a wavelength of 250 nm or less, more preferably 220 nm or less, and particularly preferably 1 to 200 nm, specifically, KrF excimer laser (248 nm), ArF excimer laser (193 nm), F2 excimer laser (157 nm), EUV (13 nm), X-rays, and electron beams.

露光後、現像を行う前にベーク(加熱)を行うことが好ましい。ベークにより露光部の反応が促進され、感度及びパターン形状がより良好となる。
加熱温度は80~150℃が好ましく、80~140℃がより好ましく、80~130℃が更に好ましい。
加熱時間は10~1000秒が好ましく、10~180秒がより好ましく、30~120秒が更に好ましい。
加熱は通常の露光機、及び/又は現像機に備わっている手段で行うことができ、ホットプレート等を用いて行ってもよい。
この工程は露光後ベークともいう。
After exposure, it is preferable to perform baking (heating) before development, which promotes the reaction of the exposed area and improves the sensitivity and pattern shape.
The heating temperature is preferably from 80 to 150°C, more preferably from 80 to 140°C, and even more preferably from 80 to 130°C.
The heating time is preferably from 10 to 1,000 seconds, more preferably from 10 to 180 seconds, and even more preferably from 30 to 120 seconds.
Heating can be carried out by a means provided in a normal exposure machine and/or a developing machine, and may also be carried out using a hot plate or the like.
This step is also called post-exposure bake.

〔工程3:現像工程〕
工程3は、露光されたレジスト膜を現像液を用いて現像して、パターンを形成する工程である。
[Step 3: Development Step]
Step 3 is a step of developing the exposed resist film with a developer to form a pattern.

現像方法としては、現像液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、基板表面に現像液を表面張力によって盛り上げて一定時間静置することで現像する方法(パドル法)、基板表面に現像液を噴霧する方法(スプレー法)、及び一定速度で回転している基板上に一定速度で現像液吐出ノズルをスキャンしながら現像液を吐出しつづける方法(ダイナミックディスペンス法)が挙げられる。
また、現像を行う工程の後に、他の溶剤に置換しながら、現像を停止する工程を実施してもよい。
現像時間は未露光部の樹脂が十分に溶解する時間であれば特に制限はなく、10~300秒が好ましく、20~120秒がより好ましい。
現像液の温度は0~50℃が好ましく、15~35℃がより好ましい。
The developing method includes a method of immersing a substrate in a tank filled with a developing solution for a certain period of time (dip method), a method of piling up the developing solution on the substrate surface by surface tension and leaving it to stand for a certain period of time to develop the substrate (paddle method), a method of spraying the developing solution on the substrate surface (spray method), and a method of continuously discharging the developing solution while scanning a developing solution discharge nozzle at a constant speed onto a substrate rotating at a constant speed (dynamic dispense method).
After the development step, a step of stopping the development while replacing the solvent with another solvent may be carried out.
The development time is not particularly limited as long as the resin in the unexposed area is sufficiently dissolved, and is preferably from 10 to 300 seconds, more preferably from 20 to 120 seconds.
The temperature of the developer is preferably from 0 to 50°C, and more preferably from 15 to 35°C.

現像液としては、アルカリ現像液、及び有機溶剤現像液が挙げられる。
アルカリ現像液は、アルカリを含むアルカリ水溶液を用いることが好ましい。アルカリ水溶液の種類は特に制限されないが、例えば、テトラメチルアンモニウムヒドロキシドに代表される4級アンモニウム塩、無機アルカリ、1級アミン、2級アミン、3級アミン、アルコールアミン、又は環状アミン等を含むアルカリ水溶液が挙げられる。なかでも、アルカリ現像液は、テトラメチルアンモニウムヒドロキシド(TMAH)に代表される4級アンモニウム塩の水溶液であることが好ましい。アルカリ現像液には、アルコール類、界面活性剤等を適当量添加してもよい。アルカリ現像液のアルカリ濃度は、通常、0.1~20質量%である。また、アルカリ現像液のpHは、通常、10.0~15.0である。
The developer may be an alkaline developer or an organic solvent developer.
The alkaline developer is preferably an aqueous alkaline solution containing an alkali. The type of the aqueous alkaline solution is not particularly limited, but examples include an aqueous alkaline solution containing a quaternary ammonium salt such as tetramethylammonium hydroxide, an inorganic alkali, a primary amine, a secondary amine, a tertiary amine, an alcohol amine, or a cyclic amine. In particular, the alkaline developer is preferably an aqueous solution of a quaternary ammonium salt such as tetramethylammonium hydroxide (TMAH). The alkaline developer may contain an appropriate amount of alcohols, surfactants, etc. The alkaline concentration of the alkaline developer is usually 0.1 to 20% by mass. The pH of the alkaline developer is usually 10.0 to 15.0.

有機溶剤現像液とは、有機溶剤を含む現像液である。
有機溶剤現像液に含まれる有機溶剤の蒸気圧(混合溶剤である場合は全体としての蒸気圧)は、20℃において、5kPa以下が好ましく、3kPa以下がより好ましく、2kPa以下が更に好ましい。有機溶剤の蒸気圧を5kPa以下にすることにより、現像液の基板上又は現像カップ内での蒸発が抑制され、ウエハ面内の温度均一性が向上し、結果としてウエハ面内の寸法均一性が良化する。
The organic solvent developer is a developer that contains an organic solvent.
The vapor pressure of the organic solvent contained in the organic solvent developer (the overall vapor pressure in the case of a mixed solvent) is preferably 5 kPa or less, more preferably 3 kPa or less, and even more preferably 2 kPa or less at 20° C. By setting the vapor pressure of the organic solvent to 5 kPa or less, evaporation of the developer on the substrate or in the developing cup is suppressed, improving the temperature uniformity within the wafer surface, and as a result, improving the dimensional uniformity within the wafer surface.

有機溶剤現像液に用いられる有機溶剤としては、公知の有機溶剤が挙げられ、エステル系溶剤、ケトン系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤、及び炭化水素系溶剤が挙げられる。 Organic solvents used in organic solvent developers include known organic solvents, such as ester-based solvents, ketone-based solvents, alcohol-based solvents, amide-based solvents, ether-based solvents, and hydrocarbon-based solvents.

有機溶剤現像液に含まれる有機溶剤は、上記露光工程においてEUV及び電子線を用いる場合において、レジスト膜の膨潤を抑制できるという点から、炭素原子数が7以上(7~14が好ましく、7~12がより好ましく、7~10が更に好ましい)、かつ、ヘテロ原子数が2以下のエステル系溶剤を用いることが好ましい。When EUV and electron beams are used in the exposure process, it is preferable that the organic solvent contained in the organic solvent developer is an ester-based solvent having 7 or more carbon atoms (preferably 7 to 14, more preferably 7 to 12, and even more preferably 7 to 10) and 2 or less heteroatoms, since this can suppress swelling of the resist film.

上記エステル系溶剤のヘテロ原子は、炭素原子及び水素原子以外の原子であって、例えば、酸素原子、窒素原子、及び硫黄原子等が挙げられる。ヘテロ原子数は、2以下が好ましい。The heteroatoms of the ester solvent are atoms other than carbon and hydrogen atoms, such as oxygen atoms, nitrogen atoms, and sulfur atoms. The number of heteroatoms is preferably 2 or less.

炭素原子数が7以上かつヘテロ原子数が2以下のエステル系溶剤としては、酢酸アミル、酢酸イソアミル、酢酸2-メチルブチル、酢酸1-メチルブチル、酢酸ヘキシル、プロピオン酸ペンチル、プロピオン酸ヘキシル、プロピオン酸ブチル、イソ酪酸イソブチル、プロピオン酸ヘプチル、又はブタン酸ブチル等が好ましく、酢酸イソアミルがより好ましい。Preferred ester solvents having 7 or more carbon atoms and 2 or less heteroatoms include amyl acetate, isoamyl acetate, 2-methylbutyl acetate, 1-methylbutyl acetate, hexyl acetate, pentyl propionate, hexyl propionate, butyl propionate, isobutyl isobutyrate, heptyl propionate, and butyl butanoate, with isoamyl acetate being more preferred.

有機溶剤現像液に含まれる有機溶剤は、上記露光工程においてEUV及び電子線を用いる場合において、炭素原子数が7以上かつヘテロ原子数が2以下のエステル系溶剤に代えて、上記エステル系溶剤及び上記炭化水素系溶剤の混合溶剤、又は上記ケトン系溶剤及び上記炭化水素系溶剤の混合溶剤を用いてもよい。この場合においても、レジスト膜の膨潤の抑制に効果的である。When EUV and electron beams are used in the exposure step, the organic solvent contained in the organic solvent developer may be a mixed solvent of the ester solvent and the hydrocarbon solvent, or a mixed solvent of the ketone solvent and the hydrocarbon solvent, instead of an ester solvent having 7 or more carbon atoms and 2 or less heteroatoms. Even in this case, it is effective in suppressing swelling of the resist film.

エステル系溶剤と炭化水素系溶剤とを組み合わせて用いる場合には、エステル系溶剤として酢酸イソアミルを用いることが好ましい。また、炭化水素系溶剤としては、レジスト膜の溶解性を調製するという点から、飽和炭化水素系溶剤(例えば、オクタン、ノナン、デカン、ドデカン、ウンデカン、ヘキサデカン等)が好ましい。When an ester solvent and a hydrocarbon solvent are used in combination, it is preferable to use isoamyl acetate as the ester solvent. In addition, as the hydrocarbon solvent, a saturated hydrocarbon solvent (e.g., octane, nonane, decane, dodecane, undecane, hexadecane, etc.) is preferable in terms of adjusting the solubility of the resist film.

ケトン系溶剤と炭化水素系溶剤とを組み合わせて用いる場合には、ケトン系溶剤として2-ヘプタノンを用いることが好ましい。また、炭化水素系溶剤としては、レジスト膜の溶解性を調製するという点から、飽和炭化水素系溶剤(例えば、オクタン、ノナン、デカン、ドデカン、ウンデカン、ヘキサデカン等)が好ましい。When a ketone solvent and a hydrocarbon solvent are used in combination, it is preferable to use 2-heptanone as the ketone solvent. In addition, from the viewpoint of adjusting the solubility of the resist film, it is preferable to use a saturated hydrocarbon solvent (e.g., octane, nonane, decane, dodecane, undecane, hexadecane, etc.) as the hydrocarbon solvent.

上記の混合溶剤を用いる場合において、炭化水素系溶剤の含有量は、レジスト膜の溶剤溶解性に依存するため、特に限定されず、適宜調製して必要量を決定すればよい。When using the above mixed solvent, the content of the hydrocarbon-based solvent is not particularly limited since it depends on the solvent solubility of the resist film, and the required amount can be determined by appropriate preparation.

上記の有機溶剤は、複数混合してもよいし、上記以外の溶剤や水と混合し使用してもよい。但し、本発明の効果を十二分に奏するためには、現像液全体としての含水率が10質量%未満であることが好ましく、実質的に水分を含有しないことがより好ましい。現像液における有機溶剤(複数混合の場合は合計)の濃度は、50質量%以上が好ましく、50~100質量%がより好ましく、85~100質量%が更に好ましく、90~100質量%が特に好ましく、95~100質量%が最も好ましい。The above organic solvents may be mixed in combination, or may be mixed with other solvents or water. However, in order to fully achieve the effects of the present invention, it is preferable that the water content of the developer as a whole is less than 10% by mass, and it is more preferable that the developer contains substantially no water. The concentration of the organic solvent (total when multiple organic solvents are mixed) in the developer is preferably 50% by mass or more, more preferably 50 to 100% by mass, even more preferably 85 to 100% by mass, particularly preferably 90 to 100% by mass, and most preferably 95 to 100% by mass.

〔他の工程〕
上記パターン形成方法は、工程3の後に、リンス液を用いて洗浄する工程を含むことが好ましい。
現像液を用いて現像する工程の後のリンス工程に用いるリンス液としては、例えば、純水が挙げられる。なお、純水には、界面活性剤を適当量添加してもよい。
リンス液には、界面活性剤を適当量添加してもよい。
[Other steps]
The above pattern formation method preferably includes, after step 3, a step of cleaning with a rinsing liquid.
The rinse liquid used in the rinse step following the step of developing with a developer may be, for example, pure water, to which an appropriate amount of a surfactant may be added.
A suitable amount of a surfactant may be added to the rinse solution.

リンス工程の方法は特に限定されないが、例えば、一定速度で回転している基板上にリンス液を吐出しつづける方法(回転塗布法)、リンス液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、及び基板表面にリンス液を噴霧する方法(スプレー法)等が挙げられる。
また、本発明のパターン形成方法は、リンス工程の後に加熱工程(Post Bake)を含んでいてもよい。本工程により、ベークによりパターン間及びパターン内部に残留した現像液及びリンス液が除去される。また、本工程により、レジストパターンがなまされ、パターンの表面荒れが改善される効果もある。リンス工程の後の加熱工程は、通常40~250℃(好ましくは90~200℃)で、通常10秒間~3分間(好ましくは30~120秒間)行う。
The method of the rinsing step is not particularly limited, but examples thereof include a method of continuously discharging a rinsing liquid onto a substrate rotating at a constant speed (spin coating method), a method of immersing a substrate in a tank filled with the rinsing liquid for a certain period of time (dip method), and a method of spraying the rinsing liquid onto the substrate surface (spray method).
The pattern forming method of the present invention may also include a heating step (Post Bake) after the rinsing step. This step removes the developer and rinsing solution remaining between the patterns and inside the pattern due to baking. This step also has the effect of annealing the resist pattern and improving the surface roughness of the pattern. The heating step after the rinsing step is usually performed at 40 to 250°C (preferably 90 to 200°C) for usually 10 seconds to 3 minutes (preferably 30 to 120 seconds).

また、形成されたパターンをマスクとして、基板のエッチング処理を実施してもよい。つまり、工程3にて形成されたパターンをマスクとして、基板(又は下層膜及び基板)を加工して、基板にパターンを形成してもよい。
基板(又は下層膜及び基板)の加工方法は特に限定されないが、工程3で形成されたパターンをマスクとして、基板(又は下層膜及び基板)に対してドライエッチングを行うことにより、基板にパターンを形成する方法が好ましい。
ドライエッチングは、1段のエッチングであっても、複数段からなるエッチングであってもよい。エッチングが複数段からなるエッチングである場合、各段のエッチングは同一の処理であっても異なる処理であってもよい。
エッチングは、公知の方法をいずれも用いることができ、各種条件等は、基板の種類又は用途等に応じて、適宜、決定される。例えば、国際光工学会紀要(Proc.of SPIE)Vol.6924,692420(2008)、特開2009-267112号公報等に準じて、エッチングを実施できる。また、「半導体プロセス教本 第四版 2007年刊行 発行人:SEMIジャパン」の「第4章 エッチング」に記載の方法に準ずることもできる。
なかでも、ドライエッチングとしては、酸素プラズマエッチングが好ましい。
Furthermore, the substrate may be etched using the formed pattern as a mask. That is, the substrate (or the underlayer film and the substrate) may be processed using the pattern formed in step 3 as a mask to form a pattern on the substrate.
The method for processing the substrate (or the underlayer film and the substrate) is not particularly limited, but a method in which the pattern formed in step 3 is used as a mask to perform dry etching on the substrate (or the underlayer film and the substrate) to form a pattern on the substrate is preferred.
The dry etching may be a single-stage etching or a multi-stage etching. If the etching is a multi-stage etching, each stage of the etching may be the same process or different processes.
Any known method can be used for the etching, and various conditions, etc. are appropriately determined depending on the type or use of the substrate. For example, etching can be performed according to the method described in "Chapter 4 Etching" of "Semiconductor Processing Textbook, Fourth Edition, Published in 2007, Publisher: SEMI Japan."
Among these, oxygen plasma etching is preferable as the dry etching.

本発明のパターン形成方法において使用されるレジスト組成物以外の各種材料(例えば現像液、リンス液、反射防止膜形成用組成物、トップコート形成用組成物等)は、金属等の不純物(例えば、Na、K、Ca、Fe、Cu、Mg、Al、Li、Cr、Ni、Sn、Ag、As、Au、Ba、Cd、Co、Pb、Ti、V、W、及びZn等)が少ないほど好ましい。これら材料に含まれる不純物の含有量としては、例えば、1質量ppm以下が好ましい。 The various materials other than the resist composition used in the pattern formation method of the present invention (e.g., developer, rinse solution, anti-reflective film forming composition, top coat forming composition, etc.) preferably have fewer impurities such as metals (e.g., Na, K, Ca, Fe, Cu, Mg, Al, Li, Cr, Ni, Sn, Ag, As, Au, Ba, Cd, Co, Pb, Ti, V, W, and Zn, etc.). The content of impurities contained in these materials is preferably, for example, 1 ppm by mass or less.

レジスト組成物以外の各種材料中の金属等の不純物の低減方法としては、例えば、フィルターを用いた濾過が挙げられる。フィルター孔径としては、ポアサイズ100nm未満が好ましく、10nm以下がより好ましく、5nm以下が更に好ましい。フィルターとしては、ポリテトラフルオロエチレン製、ポリエチレン製、又はナイロン製のフィルターが好ましい。フィルターは、上記フィルター素材とイオン交換メディアとを組み合わせた複合材料で構成されていてもよい。フィルターは、有機溶剤であらかじめ洗浄したものを用いてもよい。フィルター濾過工程では、複数種類のフィルターを直列又は並列に接続して用いてもよい。複数種類のフィルターを使用する場合は、孔径及び/又は材質が異なるフィルターを組み合わせて使用してもよい。また、各種材料を複数回濾過してもよく、複数回濾過する工程が循環濾過工程であってもよい。 As a method for reducing impurities such as metals in various materials other than the resist composition, for example, filtration using a filter can be mentioned. The filter pore size is preferably less than 100 nm, more preferably 10 nm or less, and even more preferably 5 nm or less. As the filter, a filter made of polytetrafluoroethylene, polyethylene, or nylon is preferable. The filter may be composed of a composite material combining the above filter material and an ion exchange medium. The filter may be one that has been washed in advance with an organic solvent. In the filter filtration process, multiple types of filters may be connected in series or parallel. When multiple types of filters are used, filters with different pore sizes and/or materials may be used in combination. In addition, various materials may be filtered multiple times, and the process of filtering multiple times may be a circulation filtration process.

また、レジスト組成物以外の各種材料中の金属等の不純物を低減する方法としては、各種材料を構成する原料として金属含有量が少ない原料を選択する方法、各種材料を構成する原料に対してフィルター濾過を行う方法、及び装置内をテフロン(登録商標)でライニングする等してコンタミネーションを可能な限り抑制した条件下で蒸留を行う方法等が挙げられる。In addition, methods for reducing impurities such as metals in various materials other than the resist composition include selecting raw materials with a low metal content as the raw materials that make up the various materials, filtering the raw materials that make up the various materials, and performing distillation under conditions that minimize contamination as much as possible, such as by lining the inside of the equipment with Teflon (registered trademark).

また、レジスト組成物以外の各種材料中の金属等の不純物を低減する方法としては、上述したフィルター濾過の他、吸着材による不純物の除去を行ってもよく、フィルター濾過と吸着材とを組み合わせて使用してもよい。吸着材としては、公知の吸着材を用いることができ、例えば、シリカゲル及びゼオライト等の無機系吸着材、並びに活性炭等の有機系吸着材を使用できる。レジスト組成物以外の各種材料に含まれる金属等の不純物を低減するためには、製造工程における金属不純物の混入を防止することが必要である。製造装置から金属不純物が十分に除去されたかどうかは、製造装置の洗浄に使用された洗浄液中に含まれる金属成分の含有量を測定することで確認できる。 In addition, as a method for reducing impurities such as metals in various materials other than the resist composition, in addition to the above-mentioned filter filtration, impurities may be removed using an adsorbent, or a combination of filter filtration and an adsorbent may be used. As the adsorbent, a known adsorbent may be used, for example, an inorganic adsorbent such as silica gel or zeolite, or an organic adsorbent such as activated carbon. In order to reduce impurities such as metals contained in various materials other than the resist composition, it is necessary to prevent the inclusion of metal impurities in the manufacturing process. Whether or not metal impurities have been sufficiently removed from the manufacturing equipment can be confirmed by measuring the content of metal components contained in the cleaning solution used to clean the manufacturing equipment.

リンス液等の有機系処理液には、静電気の帯電、引き続き生じる静電気放電に伴う、薬液配管及び各種パーツ(フィルター、O-リング、チューブ等)の故障を防止する為、導電性の化合物を添加してもよい。導電性の化合物は特に制限されないが、例えば、メタノールが挙げられる。添加量は特に制限されないが、好ましい現像特性又はリンス特性を維持する観点で、10質量%以下が好ましく、5質量%以下がより好ましい。
薬液配管としては、SUS(ステンレス鋼)、又は帯電防止処理の施されたポリエチレン、ポリプロピレン、若しくはフッ素樹脂(ポリテトラフルオロエチレン、パーフルオロアルコキシ樹脂等)で被膜された各種配管を用いることができる。フィルター及びO-リングに関しても同様に、帯電防止処理の施されたポリエチレン、ポリプロピレン、又はフッ素樹脂(ポリテトラフルオロエチレン、パーフルオロアルコキシ樹脂等)を用いることができる。
An organic processing liquid such as a rinse liquid may contain a conductive compound to prevent breakdown of chemical liquid piping and various parts (filters, O-rings, tubes, etc.) due to static electricity buildup and subsequent static electricity discharge. The conductive compound is not particularly limited, but an example thereof is methanol. The amount added is not particularly limited, but from the viewpoint of maintaining favorable development characteristics or rinsing characteristics, it is preferably 10% by mass or less, and more preferably 5% by mass or less.
The chemical liquid piping may be made of stainless steel (SUS), or may be made of various piping coated with antistatic polyethylene, polypropylene, or fluororesin (polytetrafluoroethylene, perfluoroalkoxy resin, etc.). Similarly, the filter and O-ring may be made of antistatic polyethylene, polypropylene, or fluororesin (polytetrafluoroethylene, perfluoroalkoxy resin, etc.).

本発明の方法により形成されるパターンに対して、パターンの表面荒れを改善する方法を適用してもよい。パターンの表面荒れを改善する方法としては、例えば、国際公開第2014/002808号に開示された水素を含有するガスのプラズマによってパターンを処理する方法が挙げられる。その他にも、特開2004-235468号公報、米国特許出願公開第2010/0020297号明細書、特開2008-83384号公報、及びProc. of SPIE Vol.8328 83280N-1”EUV Resist Curing Technique for LWR Reduction and Etch Selectivity Enhancement”に記載されているような公知の方法が挙げられる。A method for improving the surface roughness of a pattern may be applied to the pattern formed by the method of the present invention. Examples of methods for improving the surface roughness of a pattern include a method of treating the pattern with a plasma of a gas containing hydrogen, as disclosed in International Publication No. 2014/002808. Other known methods include those described in JP 2004-235468 A, U.S. Patent Application Publication No. 2010/0020297 A, JP 2008-83384 A, and Proc. of SPIE Vol. 8328 83280N-1 "EUV Resist Curing Technique for LWR Reduction and Etch Selectivity Enhancement".

形成されるパターンがライン状である場合、パターン高さをライン幅で割った値で求められるアスペクト比が、2.5以下が好ましく、2.1以下がより好ましく、1.7以下が更に好ましい。
形成されるパターンがトレンチ(溝)パターン状又はコンタクトホールパターン状である場合、パターン高さをトレンチ幅又はホール径で割った値で求められるアスペクト比が、4.0以下が好ましく、3.5以下がより好ましく、3.0以下が更に好ましい。
When the pattern to be formed is in the form of lines, the aspect ratio, calculated by dividing the pattern height by the line width, is preferably 2.5 or less, more preferably 2.1 or less, and even more preferably 1.7 or less.
When the pattern to be formed is a trench (groove) pattern or a contact hole pattern, the aspect ratio calculated by dividing the pattern height by the trench width or hole diameter is preferably 4.0 or less, more preferably 3.5 or less, and even more preferably 3.0 or less.

本発明のパターン形成方法は、DSA(Directed Self-Assembly)におけるガイドパターン形成(例えば、ACS Nano Vol.4 No.8 Page4815-4823参照)にも用いることができる。The pattern formation method of the present invention can also be used for forming guide patterns in DSA (Directed Self-Assembly) (see, for example, ACS Nano Vol. 4 No. 8 Pages 4815-4823).

また、上記の方法によって形成されたパターンは、例えば、特開平3-270227号公報、及び特開2013-164509号公報に開示されたスペーサープロセスの芯材(コア)として使用できる。Furthermore, the pattern formed by the above method can be used, for example, as a core material for the spacer process disclosed in JP-A-03-270227 and JP-A-2013-164509.

[電子デバイスの製造方法]
また、本発明は、上記したパターン形成方法を含む、電子デバイスの製造方法にも関する。上記電子デバイスとしては、電気電子機器(家電、OA(Offivce Automation)、メディア関連機器、光学用機器、及び通信機器等)に搭載されるものが挙げられる。
[Electronic device manufacturing method]
The present invention also relates to a method for manufacturing an electronic device, including the above-mentioned pattern formation method. Examples of the electronic device include those installed in electric and electronic devices (such as home appliances, OA (Office Automation), media-related devices, optical devices, and communication devices).

[組成物収容体]
本発明の組成物収容体は、収容容器と、上記収容容器に収容された感活性光線性又は感放射線性樹脂組成物(レジスト組成物)とを有する。
[Composition container]
The composition container of the present invention has a container and an actinic ray-sensitive or radiation-sensitive resin composition (resist composition) contained in the container.

〔収容容器〕
収容容器としては特に制限されないが、収容容器内のレジスト組成物と接触する領域(例えば、その収容容器内のレジスト組成物を収容する収容部の内壁及び/又はレジスト組成物の流路)が非金属を主成分とする材料により形成されていることが好ましい。なお、ここで主成分とは、所定の成分が接触する領域の80質量%以上を構成していることを意図する。
[Storage container]
The container is not particularly limited, but it is preferable that the area in the container that comes into contact with the resist composition (for example, the inner wall of the container that contains the resist composition and/or the flow path for the resist composition) is made of a material mainly composed of a non-metal. Here, the term "main component" means that the main component constitutes 80 mass % or more of the area that comes into contact with a specific component.

収容容器内のレジスト組成物と接触する領域の材料としては、上記領域に付着した水分を核とした光酸発生剤B(分子内に多価の塩構造を含む光酸発生剤に該当する)の凝集を抑制する点、及び収容容器との過剰な親和性に伴う収容容器からの溶出物汚染を防ぐ点で、樹脂が好ましく、ポリオレフィン樹脂又はフッ素系樹脂がより好ましい。As the material for the region that comes into contact with the resist composition in the storage container, a resin is preferred, and a polyolefin resin or a fluorine-based resin is more preferred, in order to suppress aggregation of photoacid generator B (corresponding to a photoacid generator that contains a polyvalent salt structure in its molecule) using moisture adhering to the above region as a nucleus, and to prevent contamination by elution from the storage container due to excessive affinity with the storage container.

なお、レジスト組成物と接触する領域がフッ素系樹脂である収容容器の具体例としては、例えば、Entegris社製 FluoroPurePFA複合ドラム、特表平3-502677号公報の第4頁等、国際公開第2004/016526号パンフレットの第3頁等、並びに、国際公開第99/46309号パンフレットの第9及び16頁等に記載の容器が挙げられる。Specific examples of storage containers in which the area that comes into contact with the resist composition is a fluororesin include the FluoroPure PFA composite drum manufactured by Entegris, and the containers described on page 4 of Published Japanese Translation of PCT International Publication No. 3-502677, page 3 of WO 2004/016526, and pages 9 and 16 of WO 99/46309.

収容容器内のレジスト組成物と接触する領域の材料としては、上記領域に付着した水分を核とした光酸発生剤Bの凝集をより抑制する点で、なかでも、ポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上の樹脂がより好ましい。
ポリオレフィン樹脂としては、高密度ポリエチレン(HDPE)が好ましい。
フッ素原子含有ポリオレフィン樹脂としては、パーフルオロアルカン(PFA)、又はポリテトラフルオロエチレン(PTFE)が好ましい。
As the material for the region that comes into contact with the resist composition in the container, one or more resins selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins are more preferable, in terms of further suppressing aggregation of photoacid generator B using moisture adhering to the above region as a nucleus.
As the polyolefin resin, high density polyethylene (HDPE) is preferred.
The fluorine atom-containing polyolefin resin is preferably perfluoroalkane (PFA) or polytetrafluoroethylene (PTFE).

収容容器としては、米国特許出願公開第2015/0227049号明細書、日本国特許出願公開第2015-123351号明細書(特開2015-123351)、及び日本国特許出願公開第2017-13804号明細書(特開2017-13804)等に記載された容器も好適に使用できる。As the storage container, containers described in U.S. Patent Application Publication No. 2015/0227049, Japanese Patent Application Publication No. 2015-123351 (JP 2015-123351), and Japanese Patent Application Publication No. 2017-13804 (JP 2017-13804), etc., can also be suitably used.

また、収容容器としては、半導体用途向けに、容器内のクリーン度が高く、不純物の溶出が少ないものも好適に使用できる。具体的には、アイセロ化学(株)製の「クリーンボトル」シリーズ、及びコダマ樹脂工業(株)製の「ピュアボトル」等が挙げられる。 As for storage containers, those with a high degree of cleanliness inside the container and low elution of impurities for semiconductor applications can also be used suitably. Specific examples include the "Clean Bottle" series manufactured by Aicello Chemical Co., Ltd. and the "Pure Bottle" manufactured by Kodama Plastics Industry Co., Ltd.

収容容器は、充填前に容器内部を洗浄することが好ましい。この洗浄に使用される液体としては特に制限されないが、金属含有率が0.001質量ppt(parts per trillion)未満であることが好ましい。It is preferable to wash the inside of the container before filling. There are no particular limitations on the liquid used for this washing, but it is preferable that the metal content be less than 0.001 parts per trillion by mass.

〔レジスト組成物〕
レジスト組成物としては、既述のとおりである。
[Resist Composition]
The resist composition is as described above.

以下に、実施例に基づいて本発明を更に詳細に説明する。以下の実施例に示す材料、使用量、割合、処理内容、及び処理手順等は、本発明の趣旨を逸脱しない限り適宜変更できる。したがって、本発明の範囲は以下に示す実施例により限定的に解釈されない。The present invention will be described in more detail below with reference to examples. The materials, amounts used, ratios, processing contents, processing procedures, etc. shown in the following examples can be changed as appropriate without departing from the spirit of the present invention. Therefore, the scope of the present invention should not be interpreted as being limited by the examples shown below.

[感活性光線性又は感放射線性樹脂組成物の各種成分]
〔酸分解性樹脂〕
表4及び表9に示される樹脂A(樹脂A-1~A-20)を以下に示す。
樹脂A-1~A-20は、後述する樹脂A-1の合成方法(合成例1)に準じて合成したものを用いた。表1に、後掲に示される各繰り返し単位の組成比(モル比;左から順に対応)、重量平均分子量(Mw)及び分散度(Mw/Mn)を示す。
なお、樹脂A-1~A-20の重量平均分子量(Mw)及び分散度(Mw/Mn)はGPC(キャリア:テトラヒドロフラン(THF))により測定した(ポリスチレン換算量である)。また、樹脂の組成比(モル%比)は、13C-NMR(nuclear magnetic resonance)により測定した。
[Various components of actinic ray- or radiation-sensitive resin composition]
[Acid-decomposable resin]
Resins A (Resins A-1 to A-20) shown in Tables 4 and 9 are shown below.
Resins A-1 to A-20 were synthesized in accordance with the synthesis method of Resin A-1 (Synthesis Example 1) described later. Table 1 shows the composition ratio (molar ratio; corresponding from left to right), weight average molecular weight (Mw), and dispersity (Mw/Mn) of each repeating unit shown later.
The weight average molecular weight (Mw) and dispersity (Mw/Mn) of Resins A-1 to A-20 were measured by GPC (carrier: tetrahydrofuran (THF)) (polystyrene equivalent amount). The composition ratio (mol % ratio) of the resins was measured by 13C -NMR (nuclear magnetic resonance).

表1に示される樹脂A-1~A-20の構造式を以下に示す。The structural formulas of resins A-1 to A-20 shown in Table 1 are shown below.

(合成例1:樹脂A-1の合成)
シクロヘキサノン(113g)を窒素気流下にて80℃に加熱した。この液を攪拌しながら、下記式M-1で表されるモノマー(25.5g)、下記式M-2で表されるモノマー(31.6g)、シクロヘキサノン(210g)、及び、2,2’-アゾビスイソ酪酸ジメチル〔V-601、和光純薬工業(株)製〕(6.21g)の混合溶液を6時間かけて滴下し、反応液を得た。滴下終了後、反応液を80℃にて更に2時間攪拌した。得られた反応液を放冷後、多量のメタノール/水(質量比9:1)で再沈殿した後、ろ過し、得られた固体を真空乾燥することで、樹脂A-1を52g得た。
(Synthesis Example 1: Synthesis of Resin A-1)
Cyclohexanone (113 g) was heated to 80 ° C. under a nitrogen gas flow. While stirring this liquid, a mixed solution of a monomer represented by the following formula M-1 (25.5 g), a monomer represented by the following formula M-2 (31.6 g), cyclohexanone (210 g), and 2,2'-azobisisobutyric acid dimethyl [V-601, manufactured by Wako Pure Chemical Industries, Ltd.] (6.21 g) was dropped over 6 hours to obtain a reaction liquid. After the dropwise addition was completed, the reaction liquid was stirred at 80 ° C. for another 2 hours. The obtained reaction liquid was allowed to cool, and then reprecipitated with a large amount of methanol / water (mass ratio 9: 1), filtered, and the obtained solid was vacuum dried to obtain 52 g of resin A-1.

得られた樹脂A-1のGPC(キャリア:テトラヒドロフラン(THF))から求めた重量平均分子量(Mw:ポリスチレン換算)は6500であり、分散度(Mw/Mn)は1.52であった。13C-NMR(nuclear magnetic resonance)により測定した組成比はモル比で50/50であった。 The weight average molecular weight (Mw: polystyrene equivalent) of the obtained resin A-1 determined by GPC (carrier: tetrahydrofuran (THF)) was 6,500, and the dispersity (Mw/Mn) was 1.52. The composition ratio measured by 13 C-NMR (nuclear magnetic resonance) was 50/50 in molar ratio.

〔光酸発生剤〕
<光酸発生剤B>
表4及び表9に示される光酸発生剤B(化合物B-1~B-24)の構造を以下に示す。また、併せて、表4及び表9に示される比較用光酸発生剤B-25の構造を以下に示す。比較用光酸発生剤B-25は、上述した化合物(I)~化合物(III)からなる群より選ばれる化合物(光酸発生剤B)には該当しない。
[Photoacid generator]
<Photoacid Generator B>
The structures of photoacid generators B (compounds B-1 to B-24) shown in Tables 4 and 9 are shown below. Additionally, the structure of comparative photoacid generator B-25 shown in Tables 4 and 9 is shown below. Comparative photoacid generator B-25 does not fall under the category of compounds (photoacid generator B) selected from the group consisting of the above-mentioned compounds (I) to (III).

<光酸発生剤C>
表4及び表9に示される光酸発生剤C(化合物C-1~C-21)の構造を以下に示す。
<Photoacid Generator C>
The structures of the photoacid generators C (compounds C-1 to C-21) shown in Tables 4 and 9 are shown below.

〔酸拡散制御剤〕
表4及び表9に示される酸拡散制御剤D(化合物D-1~D-5)の構造を以下に示す。
[Acid Diffusion Controller]
The structures of the acid diffusion controllers D (compounds D-1 to D-5) shown in Tables 4 and 9 are shown below.

〔疎水性樹脂及びトップコート用樹脂〕
表4及び表9に示される疎水性樹脂(E-1~E-11)及び表5に示されるトップコート用樹脂(PT-1~PT-3)は合成したものを用いた。
表2に、表4及び表9に示される疎水性樹脂及び表5に示されるトップコート用樹脂における繰り返し単位のモル比率、重量平均分子量(Mw)、及び分散度(Mw/Mn)を示す。
なお、疎水性樹脂E-1~E-11及びトップコート用樹脂PT-1~PT-3の重量平均分子量(Mw)及び分散度(Mw/Mn)はGPC(キャリア:テトラヒドロフラン(THF))により測定した(ポリスチレン換算量である)。また、樹脂の組成比(モル%比)は、13C-NMR(nuclear magnetic resonance)により測定した。
[Hydrophobic resin and topcoat resin]
The hydrophobic resins (E-1 to E-11) shown in Tables 4 and 9 and the topcoat resins (PT-1 to PT-3) shown in Table 5 were synthesized.
Table 2 shows the molar ratios of repeating units, weight average molecular weights (Mw), and dispersities (Mw/Mn) of the hydrophobic resins shown in Tables 4 and 9 and the top coat resins shown in Table 5.
The weight average molecular weight (Mw) and dispersity (Mw/Mn) of the hydrophobic resins E-1 to E-11 and the topcoat resins PT-1 to PT-3 were measured by GPC (carrier: tetrahydrofuran (THF)) (polystyrene equivalent). The composition ratio (mol % ratio) of the resins was measured by 13C -NMR (nuclear magnetic resonance).

表4及び表9に示される疎水性樹脂E-1~E-11及び表5に示されるトップコート用樹脂PT-1~PT-3の合成に用いたモノマー構造を以下に示す。The monomer structures used in the synthesis of hydrophobic resins E-1 to E-11 shown in Tables 4 and 9 and top coat resins PT-1 to PT-3 shown in Table 5 are shown below.

〔界面活性剤〕
表4及び表9に示される界面活性剤を以下に示す。
H-1:メガファックF176(DIC(株)製、フッ素系界面活性剤)
H-2:メガファックR08(DIC(株)製、フッ素及びシリコン系界面活性剤)
H-3:PF656(OMNOVA社製、フッ素系界面活性剤)
[Surfactant]
The surfactants shown in Tables 4 and 9 are as follows:
H-1: Megafac F176 (DIC Corporation, fluorosurfactant)
H-2: Megafac R08 (manufactured by DIC Corporation, fluorine and silicon-based surfactant)
H-3: PF656 (manufactured by OMNOVA, fluorosurfactant)

〔溶剤〕
表4及び表9に示される溶剤を以下に示す。
F-1:プロピレングリコールモノメチルエーテルアセテート(PGMEA)
F-2:プロピレングリコールモノメチルエーテル(PGME)
F-3:プロピレングリコールモノエチルエーテル(PGEE)
F-4:シクロヘキサノン
F-5:シクロペンタノン
F-6:2-ヘプタノン
F-7:乳酸エチル
F-8:γ-ブチロラクトン
F-9:プロピレンカーボネート
〔solvent〕
The solvents shown in Tables 4 and 9 are as follows.
F-1: Propylene glycol monomethyl ether acetate (PGMEA)
F-2: Propylene glycol monomethyl ether (PGME)
F-3: Propylene glycol monoethyl ether (PGEE)
F-4: Cyclohexanone F-5: Cyclopentanone F-6: 2-heptanone F-7: Ethyl lactate F-8: γ-butyrolactone F-9: Propylene carbonate

[感活性光線性又は感放射線性樹脂組成物の調製及びパターン形成:ArF液浸露光]
〔感活性光線性又は感放射線性樹脂組成物の調製(1)〕
<感活性光線性又は感放射線性樹脂組成物Re-1~Re-29、Re-31、Re-33>
まず、酸分解性樹脂(樹脂A)を、15mmHg及び60℃で、所定時間に亘って真空乾燥させた(なお、酸分解性樹脂(樹脂A)の上記乾燥時間は、感活性光線性又は感放射線性樹脂組成物の各含水率が表3に示す含水率となるように、2~12時間の範囲内で、各組成物毎に調製した)。その後、表4に示す各成分を固形分濃度が4質量%となるように混合した。次いで、得られた混合液を、最初に孔径50nmのポリエチレン製フィルター、次に孔径10nmのナイロン製フィルター、最後に孔径5nmのポリエチレン製フィルターの順番で濾過することにより、感活性光線性又は感放射線性樹脂組成物(以下、樹脂組成物ともいう)Re-1~Re-29、Re-31、及びRe-33を調製した。なお、樹脂組成物において、固形分とは、溶剤以外の全ての成分を意味する。次いで、得られた樹脂組成物を所定の容器(なお、容器の種類については、表6及び表7に各々示す。)へ封入した。樹脂組成物を封入した組成物収容体を、温度23℃、湿度60%の環境下で4週間放置した。この所定保管容器に封入して所定期間経時させた樹脂組成物を、後述するパターン形成に使用した。
[Preparation of actinic ray- or radiation-sensitive resin composition and pattern formation: ArF immersion exposure]
[Preparation of actinic ray- or radiation-sensitive resin composition (1)]
<Actinic ray-sensitive or radiation-sensitive resin compositions Re-1 to Re-29, Re-31, and Re-33>
First, the acid-decomposable resin (resin A) was vacuum-dried at 15 mmHg and 60° C. for a predetermined time (the drying time for the acid-decomposable resin (resin A) was adjusted for each composition within the range of 2 to 12 hours so that the water content of each actinic ray-sensitive or radiation-sensitive resin composition was the water content shown in Table 3). Then, the components shown in Table 4 were mixed so that the solid content concentration was 4 mass%. Next, the resulting mixture was first filtered through a polyethylene filter having a pore size of 50 nm, then a nylon filter having a pore size of 10 nm, and finally a polyethylene filter having a pore size of 5 nm in this order to prepare actinic ray-sensitive or radiation-sensitive resin compositions (hereinafter also referred to as resin compositions) Re-1 to Re-29, Re-31, and Re-33. In the resin composition, the solid content means all components other than the solvent. Next, the resulting resin composition was sealed in a predetermined container (the types of containers are shown in Tables 6 and 7, respectively). The composition container containing the resin composition was left for 4 weeks in an environment of a temperature of 23° C. and a humidity of 60%. The resin composition that was sealed in the specified storage container and left for the specified period was used for pattern formation, which will be described later.

<感活性光線性又は感放射線性樹脂組成物Re-30、Re-32>
各成分の混合に先立って酸分解性樹脂(樹脂A)を真空乾燥させなかった以外は、樹脂組成物Re-1~Re-29、Re-31、Re-33と同様の方法により、樹脂組成物Re-30、Re-32を調製した。次いで、得られた樹脂組成物を所定の容器(なお、容器の種類については、表6及び表7に各々示す。)へ封入した。樹脂組成物を封入した組成物収容体を、温度23℃、湿度60%の環境下で4週間放置した。この所定保管容器に封入して所定期間経時させた樹脂組成物を、後述するパターン形成に使用した。
<Actinic ray-sensitive or radiation-sensitive resin compositions Re-30 and Re-32>
Resin compositions Re-30 and Re-32 were prepared in the same manner as resin compositions Re-1 to Re-29, Re-31, and Re-33, except that the acid-decomposable resin (resin A) was not vacuum-dried prior to mixing of each component. The obtained resin composition was then sealed in a predetermined container (the types of containers are shown in Tables 6 and 7, respectively). The composition container in which the resin composition was sealed was left for 4 weeks in an environment at a temperature of 23° C. and a humidity of 60%. The resin composition sealed in the predetermined storage container and aged for a predetermined period of time was used for pattern formation, which will be described later.

<含水率の測定>
調液直後の樹脂組成物の各々について、カールフィッシャー水分計(京都電子工業株式会社製MKC-510N)を用いて下記手順により含水率を測定した。
具体的には、23℃の大気中、10mLのレジスト組成物を滴定フラスコに投入し、京都電子工業株式会社製MKC-510Nにて含水率を算出した。結果を、表3に示す。
<Measurement of Moisture Content>
Immediately after preparation, the water content of each of the resin compositions was measured using a Karl Fischer moisture meter (MKC-510N manufactured by Kyoto Electronics Manufacturing Co., Ltd.) according to the following procedure.
Specifically, 10 mL of the resist composition was placed in a titration flask in the air at 23° C., and the water content was calculated using MKC-510N manufactured by Kyoto Electronics Manufacturing Co., Ltd. The results are shown in Table 3.

以下に、表4を示す。
なお、表4において、各成分の含有量(質量%)は、全固形分に対する含有量を意味する。
Table 4 is shown below.
In Table 4, the content (mass %) of each component means the content relative to the total solid content.

〔トップコート組成物の調製〕
以下に、表5に示すトップコート組成物に含まれる各種成分を示す。
<樹脂>
表5に示される樹脂としては、表2に示した樹脂PT-1~PT-3を用いた。
<添加剤>
表5に示される添加剤の構造を以下に示す。
[Preparation of Topcoat Composition]
The various components contained in the topcoat composition shown in Table 5 are listed below.
<Resin>
As the resins shown in Table 5, resins PT-1 to PT-3 shown in Table 2 were used.
<Additives>
The structures of the additives shown in Table 5 are shown below.

<界面活性剤>
表5に示される界面活性剤としては、上記界面活性剤H-3を用いた。
<Surfactant>
As the surfactant shown in Table 5, the above-mentioned surfactant H-3 was used.

<溶剤>
表5に示される溶剤以下に示す。
FT-1:4-メチル-2-ペンタノール(MIBC)
FT-2:n-デカン
FT-3:ジイソアミルエーテル
<Solvent>
The solvents shown in Table 5 are as follows:
FT-1: 4-methyl-2-pentanol (MIBC)
FT-2: n-decane FT-3: diisoamyl ether

<トップコート組成物の調製>
表5に示した各成分を固形分濃度が3質量%となるように混合して、次いで、得られた混合液を、最初に孔径50nmのポリエチレン製フィルター、次に孔径10nmのナイロン製フィルター、最後に孔径5nmのポリエチレン製フィルターの順番で濾過することにより、トップコート組成物を調製した。なお、ここでいう固形分とは、溶剤以外の全ての成分を意味する。得られたトップコート組成物を、実施例で使用した。
<Preparation of Top Coat Composition>
The components shown in Table 5 are mixed so that the solid content concentration is 3 mass%, and then the resulting mixture is filtered in the order of a polyethylene filter having a pore size of 50 nm, a nylon filter having a pore size of 10 nm, and a polyethylene filter having a pore size of 5 nm, to prepare a top coat composition. The solid content here means all components other than the solvent. The obtained top coat composition is used in the examples.

〔パターン形成(1):ArF液浸露光、有機溶剤現像〕
シリコンウエハ上に有機反射防止膜形成用組成物ARC29SR(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚98nmの反射防止膜を形成した。その上に、表4に示す各樹脂組成物(所定保管容器に封入して所定期間経時させた樹脂組成物)を塗布し、100℃で60秒間ベークして、膜厚90nmのレジスト膜(感活性光線性又は感放射線性膜)を形成した。なお、実施例1-5、実施例1-6及び実施例1-7については、レジスト膜の上層にトップコート膜を形成した(使用したトップコート組成物の種類については、表6に示す)。トップコート膜の膜厚は、いずれにおいても100nmとした。
レジスト膜に対して、ArFエキシマレーザー液浸スキャナー(ASML社製;XT1700i、NA1.20、Dipole、アウターシグマ0.950、インナーシグマ0.850、Y偏向)を用いて、線幅45nmの1:1ラインアンドスペースパターンの6%ハーフトーンマスクを介して露光した。液浸液は、超純水を使用した。
露光後のレジスト膜を90℃で60秒間ベークした後、酢酸n-ブチルで30秒間現像し、次いで4-メチル-2-ペンタノールで30秒間リンスした。その後、これをスピン乾燥してネガ型のパターンを得た。
[Pattern formation (1): ArF immersion exposure, organic solvent development]
A composition for forming an organic anti-reflective coating ARC29SR (manufactured by Brewer Science) was applied onto a silicon wafer and baked at 205°C for 60 seconds to form an anti-reflective coating having a thickness of 98 nm. On top of that, each resin composition shown in Table 4 (a resin composition sealed in a predetermined storage container and aged for a predetermined period of time) was applied thereon and baked at 100°C for 60 seconds to form a resist film (actinic ray-sensitive or radiation-sensitive film) having a thickness of 90 nm. In addition, in Examples 1-5, 1-6, and 1-7, a topcoat film was formed on the upper layer of the resist film (the type of topcoat composition used is shown in Table 6). The thickness of the topcoat film was 100 nm in all cases.
The resist film was exposed to light through a 6% halftone mask of a 1:1 line and space pattern with a line width of 45 nm using an ArF excimer laser immersion scanner (manufactured by ASML; XT1700i, NA 1.20, Dipole, outer sigma 0.950, inner sigma 0.850, Y deflection). Ultrapure water was used as the immersion liquid.
The exposed resist film was baked at 90° C. for 60 seconds, developed with n-butyl acetate for 30 seconds, rinsed with 4-methyl-2-pentanol for 30 seconds, and then spin-dried to obtain a negative pattern.

<欠陥評価>
上記線幅45nmのパターンを形成後、その後、UVision5(AMAT社製)で、シリコンウエハ上における欠陥分布を検出し、SEMVisionG4(AMAT社製)を用いて、欠陥の形状を観察した。なお、パターンウェハに生じた欠陥は、例えば、図1及び図2のような画像として観察される。シリコンウエハ1枚当たりの欠陥数を数えて、以下の評価基準に従って、評価した。欠陥数が少ないほど良好な結果を示す。
(評価基準)
「S」:欠陥数が50個以下
「A」:欠陥数が50個超200個以下
「B」:欠陥数が200個超300個以下
「C」:欠陥数が300個超400個以下
「D」:欠陥数が400個超500個以下
「E」:欠陥数が500個超
<Defect evaluation>
After forming the pattern with a line width of 45 nm, the distribution of defects on the silicon wafer was detected using UVision 5 (manufactured by AMAT), and the shape of the defects was observed using SEMVision G4 (manufactured by AMAT). The defects on the patterned wafer were observed as images such as those shown in Figures 1 and 2. The number of defects per silicon wafer was counted and evaluated according to the following evaluation criteria. The fewer the number of defects, the better the results.
(Evaluation criteria)
"S": The number of defects is 50 or less; "A": The number of defects is more than 50 and less than 200; "B": The number of defects is more than 200 and less than 300; "C": The number of defects is more than 300 and less than 400; "D": The number of defects is more than 400 and less than 500; "E": The number of defects is more than 500

<評価結果>
以上の評価試験の結果を表6に示す。
なお、表6に記載の収容容器は、下記の通りである。
「A」:収容部の内壁がガラスの収容容器。
「B」:収容部の内壁がポリエチレン(PE)の収容容器。
「C」:収容部の内壁がポリテトラフルオロエチレン(PTFE)の収容容器。
また、表6中の「量比T」とは、下記式(1X)により表される。
式(1X):量比T=組成物中の固形分量に対する、化合物(I)~化合物(III)からなる群より選ばれる化合物(光酸発生剤Bに該当する)の含有率(含有量)(質量%)/組成物の全質量に対する含水率(質量%)
<Evaluation Results>
The results of the above evaluation tests are shown in Table 6.
The storage containers listed in Table 6 are as follows.
"A": A container with an inner wall of the container section made of glass.
"B": A container whose inner wall is made of polyethylene (PE).
"C": A container whose inner wall is made of polytetrafluoroethylene (PTFE).
Moreover, the "amount ratio T" in Table 6 is expressed by the following formula (1X).
Formula (1X): Amount ratio T = content (mass %) of a compound selected from the group consisting of compounds (I) to (III) (corresponding to photoacid generator B) relative to the solid content in the composition / water content (mass %) relative to the total mass of the composition

表6に示すように、本発明のレジスト組成物によれば、所定期間保管された後であっても欠陥が抑制されたパターンを形成できることが明らかである。
また、実施例1-1~実施例1-13、実施例1-16~実施例1-19の対比(特に、実施例1-6と実施例1-18、実施例1-13と実施例1-19との対比)から、上述した式(1X)で表される量比Tが4.0~500.0である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。更に、含水率が、組成物の全質量に対して、0.50質量%以下(好ましくは0.03~0.30質量%)である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
また、実施例1-1、実施例1-14、及び実施例1-15の対比から、収容容器の収容部の内壁がポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上の樹脂である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
一方、比較例のレジスト組成物では、所望の要求を満たさないことが明らかである。
As shown in Table 6, it is clear that the resist composition of the present invention can form a pattern with suppressed defects even after storage for a specified period of time.
Moreover, from a comparison of Examples 1-1 to 1-13 and Examples 1-16 to 1-19 (particularly, a comparison of Example 1-6 with Example 1-18, and Example 1-13 with Example 1-19), it is clear that the defect suppression performance of the formed pattern is more excellent when the quantitative ratio T represented by the above formula (1X) is 4.0 to 500.0. Furthermore, it is clear that the defect suppression performance of the formed pattern is more excellent when the water content is 0.50 mass % or less (preferably 0.03 to 0.30 mass %) with respect to the total mass of the composition.
Furthermore, from a comparison of Examples 1-1, 1-14, and 1-15, it is clear that when the inner wall of the storage portion of the storage container is made of one or more resins selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins, the defect suppression performance of the formed pattern is more excellent.
On the other hand, it is clear that the resist compositions of the comparative examples do not satisfy the desired requirements.

〔パターン形成(2):ArF液浸露光、アルカリ水溶液現像〕
シリコンウエハ上に有機反射防止膜形成用組成物ARC29SR(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚98nmの反射防止膜を形成した。その上に、表4に示す各樹脂組成物(所定保管容器に封入して所定期間経時させた樹脂組成物)を塗布し、100℃で60秒間ベークして、膜厚90nmのレジスト膜を形成した。なお、実施例2-3、実施例2-4、及び実施例2-5については、レジスト膜の上層にトップコート膜を形成した(使用したトップコート組成物の種類については、表7に示す)。トップコート膜の膜厚は、いずれにおいても100nmとした。
レジスト膜に対して、ArFエキシマレーザー液浸スキャナー(ASML社製;XT1700i、NA1.20、Dipole、アウターシグマ0.950、インナーシグマ0.890、Y偏向)を用いて、線幅45nmの1:1ラインアンドスペースパターンの6%ハーフトーンマスクを介して露光した。液浸液は、超純水を使用した。
露光後のレジスト膜を90℃で60秒間ベークした後、テトラメチルアンモニウムハイドロオキサイド水溶液(2.38質量%)で30秒間現像し、次いで純水で30秒間リンスした。その後、これをスピン乾燥してポジ型のパターンを得た。
得られたポジ型のパターンに対して、上述した〔パターン形成(1):ArF液浸露光、有機溶剤現像〕で得られたネガ型のパターンで実施した<欠陥評価>を実施した。
[Pattern formation (2): ArF immersion exposure, alkaline aqueous solution development]
A composition for forming an organic anti-reflective coating ARC29SR (manufactured by Brewer Science) was applied onto a silicon wafer and baked at 205°C for 60 seconds to form an anti-reflective coating having a thickness of 98 nm. On top of that, each resin composition shown in Table 4 (a resin composition sealed in a predetermined storage container and aged for a predetermined period of time) was applied and baked at 100°C for 60 seconds to form a resist film having a thickness of 90 nm. In addition, in Examples 2-3, 2-4, and 2-5, a topcoat film was formed on the upper layer of the resist film (the type of topcoat composition used is shown in Table 7). The thickness of the topcoat film was 100 nm in all cases.
The resist film was exposed to light through a 6% halftone mask of a 1:1 line and space pattern with a line width of 45 nm using an ArF excimer laser immersion scanner (manufactured by ASML; XT1700i, NA 1.20, Dipole, outer sigma 0.950, inner sigma 0.890, Y deflection). Ultrapure water was used as the immersion liquid.
The exposed resist film was baked at 90° C. for 60 seconds, developed with an aqueous solution of tetramethylammonium hydroxide (2.38% by mass) for 30 seconds, rinsed with pure water for 30 seconds, and then spin-dried to obtain a positive pattern.
The resulting positive pattern was subjected to the same <Defect Evaluation> as that performed on the negative pattern obtained in the above-mentioned [Pattern Formation (1): ArF immersion exposure, organic solvent development].

<評価結果>
以上の評価試験の結果を表7に示す。
なお、表7に記載の収容容器は、下記の通りである。
「A」:収容部の内壁がガラスの収容容器。
「B」:収容部の内壁がポリエチレン(PE)の収容容器。
「C」:収容部の内壁がポリテトラフルオロエチレン(PTFE)の収容容器。
また、表7中の「量比T」とは、下記式(1X)により表される。
式(1X):量比T=組成物中の固形分量に対する、化合物(I)~化合物(III)からなる群より選ばれる化合物(光酸発生剤Bに該当する)の含有率(含有量)(質量%)/組成物の全質量に対する含水率(質量%)
<Evaluation Results>
The results of the above evaluation tests are shown in Table 7.
The storage containers listed in Table 7 are as follows.
"A": A container with an inner wall of the container section made of glass.
"B": A container whose inner wall is made of polyethylene (PE).
"C": A container whose inner wall is made of polytetrafluoroethylene (PTFE).
Moreover, the "amount ratio T" in Table 7 is expressed by the following formula (1X).
Formula (1X): Amount ratio T = content (mass %) of the compound selected from the group consisting of compounds (I) to (III) (corresponding to photoacid generator B) relative to the solid content in the composition / water content (mass %) relative to the total mass of the composition

表7に示すように、本発明のレジスト組成物によれば、所定期間保管された後であっても欠陥が抑制されたパターンを形成できることが明らかである。
また、実施例2-1~実施例2-13、実施例2-16~実施例2-18から、上述した式(1X)で表される量比Tが4.0~500.0である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。更に、含水率が、組成物の全質量に対して、0.50質量%以下(好ましくは0.03~0.30質量%)である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
また、実施例2-1、実施例2-14、及び実施例2-15の対比から、収容容器の収容部の内壁がポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上の樹脂である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
一方、比較例のレジスト組成物では、所望の要求を満たさないことが明らかである。
As shown in Table 7, it is clear that the resist composition of the present invention can form a pattern with suppressed defects even after storage for a specified period of time.
Moreover, it is clear from Examples 2-1 to 2-13 and Examples 2-16 to 2-18 that the defect suppression performance of the formed pattern is more excellent when the quantitative ratio T represented by the above formula (1X) is 4.0 to 500.0. Furthermore, it is clear that the defect suppression performance of the formed pattern is more excellent when the water content is 0.50 mass % or less (preferably 0.03 to 0.30 mass %) with respect to the total mass of the composition.
Furthermore, from a comparison of Examples 2-1, 2-14, and 2-15, it is clear that when the inner wall of the storage portion of the storage container is made of one or more resins selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins, the defect suppression performance of the formed pattern is superior.
On the other hand, it is clear that the resist compositions of the comparative examples do not satisfy the desired requirements.

[感活性光線性又は感放射線性樹脂組成物の調製及びパターン形成:EUV露光]
〔感活性光線性又は感放射線性樹脂組成物の調製(2)〕
<感活性光線性又は感放射線性樹脂組成物Re-34~Re-54、Re-56、Re-58>
まず、酸分解性樹脂を、15mmHg及び60℃で、所定時間に亘って真空乾燥させた(なお、酸分解性樹脂(樹脂A)の上記乾燥時間は、感活性光線性又は感放射線性樹脂組成物の各含水率が表8に示す含水率となるように、2~12時間の範囲内で、各組成物毎に調整した)。その後、表9に示した各成分を固形分濃度が2質量%となるように混合した。次いで、得られた混合液を、最初に孔径50nmのポリエチレン製フィルター、次に孔径10nmのナイロン製フィルター、最後に孔径5nmのポリエチレン製フィルターの順番で濾過することにより、感活性光線性又は感放射線性樹脂組成物(以下、樹脂組成物ともいう)Re-34~Re-54、Re-56、Re-58を調製した。なお、樹脂組成物において、固形分とは、溶剤以外の全ての成分を意味する。次いで、得られた樹脂組成物を所定の容器(なお、容器の種類については、表10及び表11に各々示す。)へ封入した。樹脂組成物を封入した組成物収容体を、温度23℃、湿度60%の環境下で4週間放置した。この所定保管容器に封入して所定期間経時させた樹脂組成物を、後述するパターン形成に使用した。
[Preparation of actinic ray- or radiation-sensitive resin composition and pattern formation: EUV exposure]
[Preparation of actinic ray- or radiation-sensitive resin composition (2)]
<Actinic ray-sensitive or radiation-sensitive resin compositions Re-34 to Re-54, Re-56, and Re-58>
First, the acid-decomposable resin was vacuum-dried at 15 mmHg and 60° C. for a predetermined time (the drying time for the acid-decomposable resin (resin A) was adjusted for each composition within the range of 2 to 12 hours so that the water content of each actinic ray-sensitive or radiation-sensitive resin composition was the water content shown in Table 8). Then, each component shown in Table 9 was mixed so that the solid content concentration was 2 mass%. Next, the resulting mixture was first filtered through a polyethylene filter having a pore size of 50 nm, then a nylon filter having a pore size of 10 nm, and finally a polyethylene filter having a pore size of 5 nm in this order to prepare actinic ray-sensitive or radiation-sensitive resin compositions (hereinafter also referred to as resin compositions) Re-34 to Re-54, Re-56, and Re-58. In the resin composition, the solid content means all components other than the solvent. Next, the resulting resin composition was sealed in a predetermined container (the types of containers are shown in Tables 10 and 11, respectively). The composition container containing the resin composition was left for 4 weeks in an environment of a temperature of 23° C. and a humidity of 60%. The resin composition that was sealed in the specified storage container and left for the specified period was used for pattern formation, which will be described later.

<感活性光線性又は感放射線性樹脂組成物Re-55、Re-57>
各成分の混合に先立って酸分解性樹脂(樹脂A)を真空乾燥させなかった以外は、樹脂組成物Re-34~Re-54、Re-56、及びRe-58と同様の方法により、樹脂組成物Re-55及びRe-57を調製した。次いで、得られた樹脂組成物を所定の容器(なお、容器の種類については、表10及び表11に各々示す。)へ封入した。樹脂組成物を封入した組成物収容体を、温度23℃、湿度60%の環境下で4週間放置した。この所定保管容器に封入して所定期間経時させた樹脂組成物を、後述するパターン形成に使用した。
<Actinic ray-sensitive or radiation-sensitive resin compositions Re-55 and Re-57>
Resin compositions Re-55 and Re-57 were prepared in the same manner as resin compositions Re-34 to Re-54, Re-56, and Re-58, except that the acid-decomposable resin (resin A) was not vacuum-dried prior to mixing of each component. The obtained resin composition was then sealed in a predetermined container (the types of containers are shown in Tables 10 and 11, respectively). The composition container in which the resin composition was sealed was left for 4 weeks in an environment at a temperature of 23° C. and a humidity of 60%. The resin composition sealed in the predetermined storage container and aged for a predetermined period of time was used for pattern formation described below.

<含水率の測定>
調液直後の樹脂組成物の各々について、カールフィッシャー水分計(京都電子工業株式会社製MKC-510N)を用いて下記手順により含水率を測定した。
具体的には、23℃の大気中、10mLのレジスト組成物を滴定フラスコに投入し、京都電子工業株式会社製MKC-510Nにて含水率を算出した。結果を、表8に示す。
<Measurement of Moisture Content>
Immediately after preparation, the water content of each of the resin compositions was measured using a Karl Fischer moisture meter (MKC-510N manufactured by Kyoto Electronics Manufacturing Co., Ltd.) according to the following procedure.
Specifically, 10 mL of the resist composition was placed in a titration flask in the air at 23° C., and the water content was calculated using MKC-510N manufactured by Kyoto Electronics Manufacturing Co., Ltd. The results are shown in Table 8.

以下に、表9を示す。
なお、表9において、各成分の含有量(質量%)は、全固形分に対する含有量を意味する。
Table 9 is shown below.
In Table 9, the content (mass%) of each component means the content relative to the total solid content.

〔パターン形成(3):EUV露光、有機溶剤現像〕
シリコンウエハ上に下層膜形成用組成物AL412(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚20nmの下地膜を形成した。その上に、表8に示す各樹脂組成物(所定保管容器に封入して所定期間経時させた樹脂組成物)を塗布し、100℃で60秒間ベークして、膜厚30nmのレジスト膜を形成した。
EUV露光装置(Exitech社製、Micro Exposure Tool、NA0.3、Quadrupol、アウターシグマ0.68、インナーシグマ0.36)を用いて、得られたレジスト膜を有するシリコンウエハに対してパターン照射を行った。なお、レクチルとしては、ラインサイズ=20nmであり、且つ、ライン:スペース=1:1であるマスクを用いた。
露光後のレジスト膜を90℃で60秒間ベークした後、酢酸n-ブチルで30秒間現像し、これをスピン乾燥してネガ型のパターンを得た。
[Pattern formation (3): EUV exposure, organic solvent development]
A composition for forming an underlayer film, AL412 (manufactured by Brewer Science), was applied onto a silicon wafer and baked at 205° C. for 60 seconds to form a 20 nm thick undercoat film. Each of the resin compositions shown in Table 8 (resin compositions sealed in a designated storage container and aged for a designated period of time) was applied thereon and baked at 100° C. for 60 seconds to form a 30 nm thick resist film.
The silicon wafer having the resist film thus obtained was subjected to pattern irradiation using an EUV exposure apparatus (Micro Exposure Tool, NA 0.3, Quadrupol, outer sigma 0.68, inner sigma 0.36, manufactured by Exitech). As a reticle, a mask with a line size of 20 nm and a line:space ratio of 1:1 was used.
The exposed resist film was baked at 90° C. for 60 seconds, developed with n-butyl acetate for 30 seconds, and then spin-dried to obtain a negative pattern.

<欠陥評価>
上記線幅20nmのパターンを形成後、その後、UVision5(AMAT社製)で、シリコンウエハ上における欠陥分布を検出し、SEMVisionG4(AMAT社製)を用いて、欠陥の形状を観察した。なお、パターンウェハに生じた欠陥は、例えば、図1及び図2のような画像として観察される。シリコンウエハ1枚当たりの欠陥数を数えて、以下の評価基準に従って、評価した。欠陥数が少ないほど良好な結果を示す。
(評価基準)
「S」:欠陥数が50個以下
「A」:欠陥数が50個超200個以下
「B」:欠陥数が200個超300個以下
「C」:欠陥数が300個超400個以下
「D」:欠陥数が400個超500個以下
「E」:欠陥数が500個超
<Defect evaluation>
After forming the pattern with a line width of 20 nm, the defect distribution on the silicon wafer was detected using UVision 5 (manufactured by AMAT), and the shape of the defects was observed using SEMVision G4 (manufactured by AMAT). The defects generated on the pattern wafer were observed as images such as those shown in Figures 1 and 2. The number of defects per silicon wafer was counted and evaluated according to the following evaluation criteria. The fewer the number of defects, the better the results.
(Evaluation criteria)
"S": The number of defects is 50 or less; "A": The number of defects is more than 50 and less than 200; "B": The number of defects is more than 200 and less than 300; "C": The number of defects is more than 300 and less than 400; "D": The number of defects is more than 400 and less than 500; "E": The number of defects is more than 500

<評価結果>
以上の評価試験の結果を表10に示す。
なお、表10に記載の収容容器は、下記の通りである。
「A」:収容部の内壁がガラスの収容容器。
「B」:収容部の内壁がポリエチレン(PE)の収容容器。
「C」:収容部の内壁がポリテトラフルオロエチレン(PTFE)の収容容器。
また、表10中の「量比T」とは、下記式(1X)により表される。
式(1X):量比T=組成物中の固形分量に対する、化合物(I)~化合物(III)からなる群より選ばれる化合物(光酸発生剤Bに該当する)の含有率(含有量)(質量%)/組成物の全質量に対する含水率(質量%)
<Evaluation Results>
The results of the above evaluation tests are shown in Table 10.
The storage containers listed in Table 10 are as follows.
"A": A container with an inner wall of the container section made of glass.
"B": A container whose inner wall is made of polyethylene (PE).
"C": A container whose inner wall is made of polytetrafluoroethylene (PTFE).
Moreover, the "amount ratio T" in Table 10 is expressed by the following formula (1X).
Formula (1X): Amount ratio T = content (mass %) of the compound selected from the group consisting of compounds (I) to (III) (corresponding to photoacid generator B) relative to the solid content in the composition / water content (mass %) relative to the total mass of the composition

表10に示すように、本発明のレジスト組成物によれば、所定期間保管された後であっても欠陥が抑制されたパターンを形成できることが明らかである。
また、実施例3-1~実施例3-9、実施例3-12~実施例3-14の対比から、上述した式(1X)で表される量比Tが4.0~500.0である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。更に、含水率が、組成物の全質量に対して、0.50質量%以下(好ましくは、0.03~0.30質量%)である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
また、実施例3-1、実施例3-10、及び実施例3-11の対比から、収容容器の収容部の内壁がポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上の樹脂である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
一方、比較例のレジスト組成物では、所望の要求を満たさないことが明らかである。
As shown in Table 10, it is clear that the resist composition of the present invention can form a pattern with suppressed defects even after storage for a specified period of time.
In addition, from a comparison of Examples 3-1 to 3-9 and Examples 3-12 to 3-14, it is clear that the defect suppression performance of the formed pattern is more excellent when the quantitative ratio T represented by the above formula (1X) is 4.0 to 500.0. Furthermore, it is clear that the defect suppression performance of the formed pattern is more excellent when the water content is 0.50 mass% or less (preferably 0.03 to 0.30 mass%) with respect to the total mass of the composition.
Furthermore, from a comparison of Examples 3-1, 3-10, and 3-11, it is clear that when the inner wall of the storage portion of the storage container is made of one or more resins selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins, the defect suppression performance of the formed pattern is superior.
On the other hand, it is clear that the resist compositions of the comparative examples do not satisfy the desired requirements.

〔パターン形成(4):EUV露光、アルカリ水溶液現像〕
シリコンウエハ上に下層膜形成用組成物AL412(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚20nmの下地膜を形成した。その上に、表11に示す各樹脂組成物(所定保管容器に封入して所定期間経時させた樹脂組成物)を塗布し、100℃で60秒間ベークして、膜厚30nmのレジスト膜を形成した。
EUV露光装置(Exitech社製、Micro Exposure Tool、NA0.3、Quadrupol、アウターシグマ0.68、インナーシグマ0.36)を用いて、得られたレジスト膜を有するシリコンウエハに対してパターン照射を行った。なお、レクチルとしては、ラインサイズ=20nmであり、且つ、ライン:スペース=1:1であるマスクを用いた。
露光後のレジスト膜を90℃で60秒間ベークした後、テトラメチルアンモニウムハイドロオキサイド水溶液(2.38質量%)で30秒間現像し、次いで純水で30秒間リンスした。その後、これをスピン乾燥してポジ型のパターンを得た。
得られたポジ型のパターンに対して、上述した〔パターン形成(3):EUV露光、有機溶剤現像〕で得られたネガ型のパターンで実施した<欠陥評価>を実施した。
[Pattern formation (4): EUV exposure, alkaline aqueous solution development]
A composition for forming an underlayer film, AL412 (manufactured by Brewer Science), was applied onto a silicon wafer and baked at 205° C. for 60 seconds to form a 20 nm thick undercoat film. Each of the resin compositions shown in Table 11 (resin compositions sealed in a designated storage container and aged for a designated period of time) was applied thereon and baked at 100° C. for 60 seconds to form a 30 nm thick resist film.
The silicon wafer having the resist film thus obtained was subjected to pattern irradiation using an EUV exposure apparatus (Micro Exposure Tool, NA 0.3, Quadrupol, outer sigma 0.68, inner sigma 0.36, manufactured by Exitech). As a reticle, a mask with a line size of 20 nm and a line:space ratio of 1:1 was used.
The exposed resist film was baked at 90° C. for 60 seconds, developed with an aqueous solution of tetramethylammonium hydroxide (2.38% by mass) for 30 seconds, rinsed with pure water for 30 seconds, and then spin-dried to obtain a positive pattern.
The resulting positive pattern was subjected to the same <Defect Evaluation> as that performed on the negative pattern obtained in the above-mentioned [Pattern Formation (3): EUV Exposure, Organic Solvent Development].

<評価結果>
以上の評価試験の結果を表11に示す。
なお、表11に記載の収容容器は、下記の通りである。
「A」:収容部の内壁がガラスの収容容器。
「B」:収容部の内壁がポリエチレン(PE)の収容容器。
「C」:収容部の内壁がポリテトラフルオロエチレン(PTFE)の収容容器。
また、表11中の「量比T」とは、下記式(1X)により表される。
式(1X):量比T=組成物中の固形分量に対する、化合物(I)~化合物(III)からなる群より選ばれる化合物(光酸発生剤Bに該当する)の含有率(含有量)(質量%)/組成物の全質量に対する含水率(質量%)
<Evaluation Results>
The results of the above evaluation tests are shown in Table 11.
The storage containers listed in Table 11 are as follows.
"A": A container with an inner wall of the container section made of glass.
"B": A container whose inner wall is made of polyethylene (PE).
"C": A container whose inner wall is made of polytetrafluoroethylene (PTFE).
Moreover, the "amount ratio T" in Table 11 is expressed by the following formula (1X).
Formula (1X): Amount ratio T = content (mass %) of the compound selected from the group consisting of compounds (I) to (III) (corresponding to photoacid generator B) relative to the solid content in the composition / water content (mass %) relative to the total mass of the composition

表11に示すように、本発明のレジスト組成物によれば、所定期間保管された後であっても欠陥が抑制されたパターンを形成できることが明らかである。
また、実施例4-1~実施例4-9、実施例4-12~実施例4-15の対比から、上述した式(1X)で表される量比Tが4.0~500.0である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。更に、含水率が、組成物の全質量に対して、0.50質量%以下(好ましくは、0.03~0.30質量%)である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
また、実施例4-1、実施例4-10、及び実施例4-11の対比から、収容容器の収容部の内壁がポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上の樹脂である場合、形成されるパターンの欠陥抑制性能がより優れることが明らかである。
一方、比較例のレジスト組成物では、所望の要求を満たさないことが明らかである。
As shown in Table 11, it is clear that the resist composition of the present invention can form a pattern with suppressed defects even after storage for a specified period of time.
In addition, from a comparison of Examples 4-1 to 4-9 and Examples 4-12 to 4-15, it is clear that the defect suppression performance of the formed pattern is more excellent when the quantitative ratio T represented by the above formula (1X) is 4.0 to 500.0. Furthermore, it is clear that the defect suppression performance of the formed pattern is more excellent when the water content is 0.50 mass% or less (preferably 0.03 to 0.30 mass%) with respect to the total mass of the composition.
Furthermore, from a comparison of Examples 4-1, 4-10, and 4-11, it is clear that when the inner wall of the storage portion of the storage container is made of one or more resins selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins, the defect suppression performance of the formed pattern is superior.
On the other hand, it is clear that the resist compositions of the comparative examples do not satisfy the desired requirements.

Claims (4)

収容容器と、前記収容容器に収容された感活性光線性又は感放射線性樹脂組成物とを有する、組成物収容体であって、
前記収容容器内の前記組成物と接触する領域の材料が、ポリオレフィン樹脂及びフッ素原子含有ポリオレフィン樹脂からなる群から選ばれる1種以上であり、
前記組成物が、酸の作用により分解して極性が増大する樹脂と、
活性光線又は放射線の照射によって酸を発生する化合物と、
溶剤と、を含
前記活性光線又は放射線の照射によって酸を発生する化合物が、下記化合物(I)~下記化合物(III)からなる群より選ばれる1種以上を含み、
前記組成物中の含水率が、前記組成物の全質量に対して、1.00質量%以下であり、
下記式(1X)で表される量比Tが、4.0~500.0である、組成物収容体
式(1X):量比T=前記組成物中の固形分量に対する、前記化合物(I)~前記化合物(III)からなる群より選ばれる化合物の含有率(質量%)/前記組成物の全質量に対する含水率(質量%)
化合物(I):下記構造部位Xと下記構造部位Yとを各々1つずつ有する化合物であって、活性光線又は放射線の照射によって、下記構造部位Xに由来する下記第1の酸性部位と下記構造部位Yに由来する下記第2の酸性部位とを含む酸を発生する化合物
構造部位X:アニオン部位A とカチオン部位M とからなり、且つ活性光線又は放射線の照射によってHAで表される第1の酸性部位を形成する構造部位
構造部位Y:アニオン部位A とカチオン部位M とからなり、且つ活性光線又は放射線の照射によって、前記構造部位Xにて形成される前記第1の酸性部位とは異なる構造のHAで表される第2の酸性部位を形成する構造部位
但し、化合物(I)は、下記条件Iを満たす。
条件I:前記化合物(I)において前記構造部位X中の前記カチオン部位M 及び前記構造部位Y中の前記カチオン部位M をHに置き換えてなる化合物PIが、前記構造部位X中の前記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a1と、前記構造部位Y中の前記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a2を有し、且つ、前記酸解離定数a1よりも前記酸解離定数a2の方が大きい。
化合物(II):前記構造部位Xを2つ以上と前記構造部位Yとを有する化合物であって、活性光線又は放射線の照射によって、前記構造部位Xに由来する前記第1の酸性部位を2つ以上と前記構造部位Yに由来する前記第2の酸性部位とを含む酸を発生する化合物
但し、化合物(II)は、下記条件IIを満たす。
条件II:前記化合物(II)において前記構造部位X中の前記カチオン部位M 及び前記構造部位Y中の前記カチオン部位M をHに置き換えてなる化合物PIIが、前記構造部位X中の前記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a1と、前記構造部位Y中の前記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a2を有し、且つ、前記酸解離定数a1よりも前記酸解離定数a2の方が大きい。
化合物(III):前記構造部位Xを2つ以上と、下記構造部位Zとを有する化合物であって、活性光線又は放射線の照射によって、前記構造部位Xに由来する前記第1の酸性部位を2つ以上と前記構造部位Zとを含む酸を発生する化合物
構造部位Z:酸を中和可能な非イオン性の有機部位
A composition container having a container and an actinic ray-sensitive or radiation-sensitive resin composition contained in the container,
a material of a region in the container that comes into contact with the composition is at least one selected from the group consisting of polyolefin resins and fluorine atom-containing polyolefin resins;
The composition comprises a resin that decomposes under the action of an acid to increase its polarity;
A compound that generates an acid when irradiated with actinic rays or radiation;
A solvent ,
The compound that generates an acid upon irradiation with actinic rays or radiation includes one or more compounds selected from the group consisting of the following compounds (I) to (III):
The water content in the composition is 1.00% by mass or less based on the total mass of the composition,
A composition container , in which a quantitative ratio T represented by the following formula (1X) is 4.0 to 500.0:
Formula (1X): Amount ratio T=content (mass%) of the compound selected from the group consisting of the compound (I) to the compound (III) relative to the solid content in the composition/water content (mass%) relative to the total mass of the composition
Compound (I): a compound having each of the following structural moieties X and Y, which generates an acid containing the following first acidic moiety derived from the following structural moiety X and the following second acidic moiety derived from the following structural moiety Y upon irradiation with actinic rays or radiation; Structural moiety X: a structural moiety consisting of an anionic moiety A 1 - and a cationic moiety M 1 + , and which forms a first acidic moiety represented by HA 1 upon irradiation with actinic rays or radiation; Structural moiety Y: a structural moiety consisting of an anionic moiety A 2 - and a cationic moiety M 2 + , and which forms a second acidic moiety represented by HA 2 having a structure different from the first acidic moiety formed at the structural moiety X upon irradiation with actinic rays or radiation; provided that compound (I) satisfies the following condition I.
Condition I: Compound PI, which is obtained by replacing the cationic moiety M 1 + in the structural moiety X and the cationic moiety M 2 + in the structural moiety Y in compound (I) with H + , has an acid dissociation constant a1 derived from an acidic moiety represented by HA 1 obtained by replacing the cationic moiety M 1 + in the structural moiety X with H + , and an acid dissociation constant a2 derived from an acidic moiety represented by HA 2 obtained by replacing the cationic moiety M 2 + in the structural moiety Y with H + , and the acid dissociation constant a2 is greater than the acid dissociation constant a1.
Compound (II): A compound having two or more of the structural moieties X and the structural moiety Y, which generates an acid containing two or more of the first acidic moieties derived from the structural moiety X and the second acidic moiety derived from the structural moiety Y when irradiated with actinic rays or radiation. However, compound (II) satisfies the following condition II.
Condition II: Compound PII, which is obtained by replacing the cationic moiety M 1 + in the structural moiety X and the cationic moiety M 2 + in the structural moiety Y in compound (II) with H + , has an acid dissociation constant a1 derived from an acidic moiety represented by HA 1 obtained by replacing the cationic moiety M 1 + in the structural moiety X with H + , and an acid dissociation constant a2 derived from an acidic moiety represented by HA 2 obtained by replacing the cationic moiety M 2 + in the structural moiety Y with H + , and the acid dissociation constant a2 is greater than the acid dissociation constant a1.
Compound (III): A compound having two or more of the structural moieties X and the following structural moiety Z, which generates an acid containing two or more of the first acidic moieties derived from the structural moiety X and the structural moiety Z upon irradiation with actinic rays or radiation. Structural moiety Z: A nonionic organic moiety capable of neutralizing an acid.
前記組成物中の前記含水率が、前記組成物の全質量に対して、0.50質量%以下である、請求項1に記載の組成物収容体 The composition container according to claim 1 , wherein the moisture content in the composition is 0.50% by mass or less with respect to the total mass of the composition. 前記組成物中の前記含水率が、前記組成物の全質量に対して、0.30質量%以下である、請求項1又は2に記載の組成物収容体 The composition container according to claim 1 or 2, wherein the water content in the composition is 0.30% by mass or less with respect to the total mass of the composition. 前記組成物中の前記含水率が、前記組成物の全質量に対して、0.03~0.30質量%である、請求項1~3のいずれか1項に記載の組成物収容体 The composition container according to any one of claims 1 to 3, wherein the water content in the composition is 0.03 to 0.30% by mass with respect to the total mass of the composition.
JP2021527426A 2019-06-28 2020-04-28 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, method for manufacturing electronic device, composition container Active JP7495404B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019122326 2019-06-28
JP2019122326 2019-06-28
PCT/JP2020/018078 WO2020261753A1 (en) 2019-06-28 2020-04-28 Active-light-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, method for manufacturing electronic device, and composition-accommodating body

Publications (2)

Publication Number Publication Date
JPWO2020261753A1 JPWO2020261753A1 (en) 2020-12-30
JP7495404B2 true JP7495404B2 (en) 2024-06-04

Family

ID=74060582

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021527426A Active JP7495404B2 (en) 2019-06-28 2020-04-28 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, method for manufacturing electronic device, composition container

Country Status (3)

Country Link
JP (1) JP7495404B2 (en)
TW (1) TW202109185A (en)
WO (1) WO2020261753A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240011768A (en) * 2021-06-22 2024-01-26 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method
TW202340138A (en) * 2022-03-31 2023-10-16 日商Jsr 股份有限公司 Radiation-sensitive composition, pattern formation method, and photodegradable base

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006243293A (en) 2005-03-02 2006-09-14 Jsr Corp Radiation-sensitive resin composition
WO2012008546A1 (en) 2010-07-16 2012-01-19 Jsr株式会社 Radiation-sensitive resin composition, polymer, and resist pattern forming method
JP2014149409A (en) 2013-01-31 2014-08-21 Fujifilm Corp Pattern forming method, compound, actinic ray-sensitive or radiation-sensitive resin composition and resist film used for the method, method for manufacturing electronic device, and electronic device
JP2015132811A (en) 2013-12-13 2015-07-23 富士フイルム株式会社 Pattern formation method, and method for producing electronic device
WO2019017333A1 (en) 2017-07-18 2019-01-24 富士フイルム株式会社 Container, method for producing container, and chemical liquid housing

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3929000B2 (en) * 1998-05-08 2007-06-13 アイセロ化学株式会社 Container for high-purity chemical liquid
JP2005070327A (en) * 2003-08-22 2005-03-17 Fuji Photo Film Co Ltd Positive resist composition and pattern forming method using same
JP6458361B2 (en) * 2013-06-17 2019-01-30 住友化学株式会社 Salt, acid generator, resist composition, and method for producing resist pattern
JP7135457B2 (en) * 2017-07-07 2022-09-13 住友化学株式会社 Salt, acid generator, resist composition and method for producing resist pattern

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006243293A (en) 2005-03-02 2006-09-14 Jsr Corp Radiation-sensitive resin composition
WO2012008546A1 (en) 2010-07-16 2012-01-19 Jsr株式会社 Radiation-sensitive resin composition, polymer, and resist pattern forming method
JP2014149409A (en) 2013-01-31 2014-08-21 Fujifilm Corp Pattern forming method, compound, actinic ray-sensitive or radiation-sensitive resin composition and resist film used for the method, method for manufacturing electronic device, and electronic device
JP2015132811A (en) 2013-12-13 2015-07-23 富士フイルム株式会社 Pattern formation method, and method for producing electronic device
WO2019017333A1 (en) 2017-07-18 2019-01-24 富士フイルム株式会社 Container, method for producing container, and chemical liquid housing

Also Published As

Publication number Publication date
JPWO2020261753A1 (en) 2020-12-30
WO2020261753A1 (en) 2020-12-30
TW202109185A (en) 2021-03-01

Similar Documents

Publication Publication Date Title
JP7101773B2 (en) Sensitive light or radiation sensitive resin composition, pattern forming method, electronic device manufacturing method, resin
KR102603920B1 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method
JP7176010B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
KR102634581B1 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method
JP7313443B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
JP7221308B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
US20220107561A1 (en) Method for producing actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method, and method for manufacturing electronic device
JP7495404B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, method for manufacturing electronic device, composition container
JP7239695B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition purification method, pattern formation method, electronic device production method
JP7260643B2 (en) Methods for purifying compounds that generate acids upon exposure to actinic rays or radiation, methods for producing actinic ray- or radiation-sensitive resin compositions, methods for forming patterns, and methods for producing electronic devices
WO2020158467A1 (en) Active ray-sensitive or radiation-sensitive resin composition, resist film, method for forming pattern, and method for manufacturing electronic device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221007

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230329

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20230329

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230405

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20230411

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20230616

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240523

R150 Certificate of patent or registration of utility model

Ref document number: 7495404

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150