JP7488989B2 - On-chip 3D system in which TSV groups each containing multiple TSVs connect layers - Google Patents

On-chip 3D system in which TSV groups each containing multiple TSVs connect layers Download PDF

Info

Publication number
JP7488989B2
JP7488989B2 JP2020094220A JP2020094220A JP7488989B2 JP 7488989 B2 JP7488989 B2 JP 7488989B2 JP 2020094220 A JP2020094220 A JP 2020094220A JP 2020094220 A JP2020094220 A JP 2020094220A JP 7488989 B2 JP7488989 B2 JP 7488989B2
Authority
JP
Japan
Prior art keywords
tsv
tsvs
candidate
test
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020094220A
Other languages
Japanese (ja)
Other versions
JP2021190829A (en
Inventor
アブダラ アブデラゼク ベン
ナム カイン ダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Aizu
Original Assignee
University of Aizu
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Aizu filed Critical University of Aizu
Priority to JP2020094220A priority Critical patent/JP7488989B2/en
Publication of JP2021190829A publication Critical patent/JP2021190829A/en
Application granted granted Critical
Publication of JP7488989B2 publication Critical patent/JP7488989B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Error Detection And Correction (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

特許法第30条第2項適用 (1)令和1年10月1日に https://mcsoc-forum.org/m2019/wp-content/uploads/2019/10/Khanh_OCTT.pdfにて発表。 (2)令和1年10月1日に 2019 IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip(MCSoC-2019)論文集 第223~228頁にて発表。 (3)令和1年10月3日に 2019 IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip(MCSoC-2019)にて発表。 (4)令和1年11月21日に https://ieeexplore.ieee.org/document/8906722にて発表。 (5)令和1年11月7日に https://ieeexplore.ieee.org/document/8894077にて発表。 (6)令和2年3月に IEEE Transactions on Very Large Scale Integration(VLSI)Systems 第28巻 第3号 第672~685頁にて発表。Article 30, paragraph 2 of the Patent Act applies (1) Announced on October 1, 2019 at https://mcsoc-forum.org/m2019/wp-content/uploads/2019/10/Khanh_OCTT.pdf (2) Announced on October 1, 2019 at the 2019 IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-2019) Proceedings, pages 223-228. (3) Announced at the 2019 IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-2019) on October 3, 2019. (4) Announced at https://ieeeexplorer.ieee.org/document/8906722 on November 21, 2019. (5) Announced at https://ieeeexplorer.ieee.org/document/8894077 on November 7, 2019. (6) Published in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 28, No. 3, pp. 672-685, March 2020.

本発明は、複数のTSVを含むTSVグループが層間を接続するオンチップの3次元システムに関する。 The present invention relates to an on-chip three-dimensional system in which a TSV group containing multiple TSVs connects between layers.

集積密度を高めるために、トランジスタを縮小することは、従来から行われている方法である。しかしながら、自然の障壁である原子のサイズに達することにより、トランジスタの縮小は、実行不可能であり、さらに、近い形状であっても非常に高価になる。したがって、より高い集積密度の必要性から、製造プロセスでは、代替的なソリューションを見つける必要がある。また、スループットと電力効率密度とを高めるために、アナログ/RF、センサー/MEMS、RIFD及びメモリ等の異種技術を同じダイに統合する必要がある。どちらの場合においても、上記のような統合方法は、より高い密度と多様性を実現するために進化する必要がある(非特許文献1)。 Scaling down transistors is a traditional approach to increase integration density. However, reaching the natural barrier of atomic size makes transistor scaling infeasible and even close geometries very expensive. Therefore, the need for higher integration density requires manufacturing processes to find alternative solutions. Also, heterogeneous technologies such as analog/RF, sensor/MEMS, RFID and memory need to be integrated on the same die to increase throughput and power efficiency density. In both cases, such integration methods need to evolve to achieve higher density and diversity (Non-Patent Document 1).

このような異種間における統合を実現するために、また、従来の2次元ICの集積密度の問題により、有望なソリューションとして3次元IC(3次元集積回路)が提案されている(非特許文献2)。3次元ICは、デバイスの単一平面を可能にするだけでなく、垂直に積み重ねられた平面、または、完全に垂直な配置及び相互接続をサポートする追加的な統合次元を可能にする。 To achieve such heterogeneous integration, and due to the integration density problems of conventional 2D ICs, 3D ICs (three-dimensional integrated circuits) have been proposed as a promising solution (Non-Patent Document 2). 3D ICs not only allow for a single plane of devices, but also allow for an additional integration dimension supporting vertically stacked planes or even fully vertical placement and interconnection.

ボンドワイヤ、はんだボール、スルーシリコンビア、または、カップリングを使用した最先端の3次元ICは、個別の製造レイヤーに基づき、それらを積み重ねる(非特許文献2)。特に、製造レイヤーは、特別な相互接続媒体を介して整列されて接続される。相互接続媒体により、信号、電力、または、クロックワイヤを提供するレイヤー間の通信が可能になる。その結果、3次元ICは、様々なテクノロジーを統合することが可能になる。個別に製造することにより、様々なテクノロジーノード及び様々なデバイスタイプが可能になる。また、分子サイズによって制限されるトランジスタを縮小する代わりに、より多くの層を積み重ねることで、ダイ内により多くのトランジスタを配置しながら面積コスト(取り付け面積)を小さく保つことが可能になる。積み重ね構造では、ワイヤ長が短くなり、これによって消費電力が小さくなり、かつ、待ち時間が短くなる。 State-of-the-art 3D ICs using bond wires, solder balls, through-silicon vias or couplings are based on separate fabrication layers and then stacked on top of each other (Non-Patent Document 2). In particular, the fabrication layers are aligned and connected via special interconnection media. The interconnection media allows communication between layers providing signal, power or clock wires. As a result, 3D ICs allow the integration of different technologies. Separate fabrication allows different technology nodes and different device types. Also, instead of shrinking transistors, which are limited by molecular size, stacking more layers allows the area cost to be kept small while placing more transistors in a die. Stacked structures allow shorter wire lengths, which results in lower power consumption and lower latency.

3次元ICに関する技術の中で、シリコン貫通ビア(TSV:Through-Silicon-Via)は、レイヤー間の通信を可能にする最も成熟したものの1つである(非特許文献3)。ビアは層を通過し、さらに熱圧縮によって、これらのビアは2つの層の接続を可能とする。 Among the technologies related to 3D ICs, through-silicon-vias (TSVs) are one of the most mature, allowing communication between layers (Non-Patent Document 3). Vias pass through the layers, and by thermal compression, these vias allow the connection of two layers.

TSVに基づく3次元ICシステムは、従来の2次元ICシステムよりも高密度、低消費電力、及び異種設計になっているが、将来における大規模で複雑なSoCシステムに関しては、理想的なソリューションではない。これは、TSVテクノロジーの信頼性に関するいくつかの制限によるものである。第一に、TSVの製造段階は、積層の不完全性による低歩留まりとして知られており、全ての層からの歩留まり率を蓄積する(非特許文献4、5)。第二に、3次元ICの熱放散は、層が最下層とヒートシンクの間の障害として機能するため、非常に困難である(非特許文献6)。熱TSVやマイクロ流体チャネル等のいくつかの方法が提案されている。しかしながら、現時点ではまだ不十分である。 Although 3D IC systems based on TSVs have higher density, lower power consumption, and more heterogeneous design than traditional 2D IC systems, they are not an ideal solution for future large and complex SoC systems. This is due to several limitations on the reliability of TSV technology. First, the fabrication stage of TSVs is known for low yields due to stacking imperfections, accumulating yield rates from all layers (Non-Patent Documents 4, 5). Second, heat dissipation in 3D ICs is very difficult because the layers act as obstacles between the bottom layer and the heat sink (Non-Patent Document 6). Several methods such as thermal TSVs and microfluidic channels have been proposed. However, they are still insufficient at present.

TSVの欠陥は、通常、3つのケース(オープン、基盤へのショートまたはブリッジ)のうちの1つにある(非特許文献7)。オープン欠陥は、TSVの2つの端子を(部分的または全体的に)電気的に切断し、高抵抗TSVとしてモデル化することができる。欠陥のあるTSVの抵抗によっては、遅延が大きいために、断線またはタイミング違反が発生する場合がある。基板へのショートは、出力端子の電圧をグランドに近づけるTSVから基板(グランド)へのリークを引き起こし、また、TSVとグランドとの間の追加抵抗としてモデル化することができる。この抵抗が十分に小さい場合、TSVの出力を強制的にグランド(バイナリでは0)にする。また、抵抗が大きいとタイミング違反が発生する場合がある。TSVからTSVへのブリッジの欠陥は、2つ以上のTSVが導電性材料で接続されている場合である。その結果、これらのTSVを異なる出力にすることが難しくなる。例えば、1つのTSVが「1」で、1つのTSVが「0」であり、さらに、ブリッジの欠陥によりそれらが接続される場合、出力が浮遊電圧に近くなり、準安定性が生じる。それにもかかわらず、これら3つの主要なTSV欠陥は、シグナルインテグリティを破壊し、不正な値につながるため、非常に重大である。したがって、システムは、信頼性を維持するためにこれらの欠陥を検出する必要がある。 A TSV defect is usually in one of three cases (open, short to substrate or bridge) (Non-Patent Document 7). An open defect electrically disconnects the two terminals of the TSV (partially or fully) and can be modeled as a high resistance TSV. Depending on the resistance of the defective TSV, a large delay may cause an open circuit or a timing violation. A short to substrate causes a leakage from the TSV to the substrate (ground) that brings the voltage of the output terminal closer to ground and can be modeled as an additional resistance between the TSV and ground. If this resistance is small enough, it forces the output of the TSV to ground (0 in binary). Also, a large resistance may cause timing violations. A TSV-to-TSV bridge defect is when two or more TSVs are connected with a conductive material. As a result, it becomes difficult to make these TSVs have different outputs. For example, if one TSV is a "1" and one TSV is a "0" and a bridge defect connects them, the output will be close to a floating voltage and metastability will occur. Nevertheless, these three major TSV defects are very critical because they destroy signal integrity and lead to incorrect values. Therefore, the system needs to detect these defects to maintain reliability.

TSVの信頼性を高めるために、フォールトトレランスプロセスを3つの主要なフェーズに分類する。具体的には、検出、位置特定(診断)、及び回復である。検出と位置特定の場合、組み込み/自己テスト(BIST)(非特許文献8,9)及び外部テスト(非特許文献10)は、TSVに欠陥があるかどうかを判断する2つの一般的な方法である。また、エラー修正コード(ECC)(非特許文献11)または専用回路(非特許文献12,13,14,15)は、障害の検出及び修正についてもサポートしている。一方、最近の研究では、ハードウェアフォールトトレランス(修正回路(非特許文献13)、冗長性(非特許文献16)、信頼性マッピング(非特許文献17))、情報の冗長性(コーディング技法(非特許文献11))、アルゴリズムベースのフォールトトレランス(フォールトトレラントルーティング(非特許文献18)、ランタイム修復(非特許文献19)、または再マッピング(非特許文献16))等のいくつかのアプローチがあるリカバリに焦点を当てている。商用のCADツール及び既存のソリューションは、欠陥の位置特定と検出のために成熟したが、オンラインのノンブロッキングソリューションがある場合、障害のあるオペレーティングシステムについての高価な結果の防止に役立つ。 To improve the reliability of TSVs, we classify the fault tolerance process into three main phases: detection, localization (diagnosis), and recovery. For detection and localization, built-in/self-test (BIST) (Non-Patent Documents 8, 9) and external testing (Non-Patent Document 10) are two common ways to determine whether a TSV is defective. Error correction code (ECC) (Non-Patent Document 11) or dedicated circuits (Non-Patent Documents 12, 13, 14, 15) also support fault detection and correction. Meanwhile, recent research focuses on recovery, of which there are several approaches, such as hardware fault tolerance (correction circuits (Non-Patent Document 13), redundancy (Non-Patent Document 16), reliability mapping (Non-Patent Document 17)), information redundancy (coding techniques (Non-Patent Document 11)), and algorithm-based fault tolerance (fault-tolerant routing (Non-Patent Document 18), run-time repair (Non-Patent Document 19), or remapping (Non-Patent Document 16)). Commercial CAD tools and existing solutions are mature for locating and detecting defects, but having an online, non-blocking solution would help prevent the costly consequences of a faulty operating system.

International Technology Roadmap for Semiconductors 2.0 2015 Edition Executive ReportInternational Technology Roadmap for Semiconductors 2.0 2015 Edition Executive Report International Technology Roadmap for Semiconductors 2011 EditionInternational Technology Roadmap for Semiconductors 2011 Edition Beyne, E., “The 3-D Interconnect Technology Landscape”. IEEE Design & Test, 33(3), 8-20, 2016.Beyne, E., “The 3-D Interconnect Technology Landscape”. IEEE Design & Test, 33(3), 8-20, 2016. G. Van der Plas et al., “Design issues and considerations for low-cost 3-D TSV IC technology,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 293-307, Jan. 2011.G. Van der Plas et al., “Design issues and considerations for low-cost 3-D TSV IC technology,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 293-307, Jan. 2011. K. N. Dang, A. B. Ahmed, Y. Okuyama, and A. B. Abdallah, “Scalable design methodology and online algorithm for TSV-cluster defects recovery in highly reliable 3D-NoC systems,” IEEE Trans. Emerg. Topics Comput., to be published.K. N. Dang, A. B. Ahmed, Y. Okuyama, and A. B. Abdallah, “Scalable design methodology and online algorithm for TSV-cluster defects recovery in highly reliable 3D-NoC systems,” IEEE Trans. Emerg. Topics Comput., to be published. T. Frank et al., “Reliability of TSV interconnects: Electromigration, thermal cycling, and impact on above metal level dielectric,” Microelectron. Rel., vol. 53, no. 1, pp. 17- 29, 2013.T. Frank et al., “Reliability of TSV interconnects: Electromigration, thermal cycling, and impact on above metal level dielectric,” Microelectron. Rel., vol. 53, no. 1, pp. 17- 29, 2013. Jung, D. H., Kim, Y., Kim, J. J., Kim, H., Choi, S., Song, Y.-H., … Kim, J. “Through Silicon Via (TSV) Defect Modeling, Measurement, and Analysis”. IEEE Transactions on Components, Packaging and Manufacturing Technology, 7(1), 138-152 (2017).Jung, D. H., Kim, Y., Kim, J. J., Kim, H., Choi, S., Song, Y.-H., … Kim, J. “Through Silicon Via (TSV) Defect Modeling, Measurement, and Analysis”. IEEE Transactions on Components, Packaging and Manufacturing Technology, 7(1), 138-152 (2017). Y. Lou, Z. Yan, F. Zhang, and P. D. Franzon, “Comparing through-silicon-via (TSV) void/pinhole defect self-test methods,” J. Electron. Test., vol. 28, no. 1, pp. 27-38, Feb. 2012.Y. Lou, Z. Yan, F. Zhang, and P. D. Franzon, “Comparing through-silicon-via (TSV) void/pinhole defect self-test methods,” J. Electron. Test., vol. 28, no. 1, pp. 27-38, Feb. 2012. M. Tsai, A. Klooz, A. Leonard, J. Appel, and P. Franzon, “Through Silicon Via (TSV) defect/pinhole self test circuit for 3D-IC,” in Proc. IEEE Int. Conf. 3D Syst. Integr., Sep. 2009, pp. 1-8.M. Tsai, A. Klooz, A. Leonard, J. Appel, and P. Franzon, “Through Silicon Via (TSV) defect/pinhole self test circuit for 3D-IC,” in Proc. IEEE Int. Conf. 3D Syst. Integr., Sep. 2009, pp. 1-8. B. Noia and K. Chakrabarty, “Pre-bond probing of TSVs in 3D stacked ICs,” in Proc. IEEE Int. Test Conf., Sep. 2011, pp. 1-10.B. Noia and K. Chakrabarty, “Pre-bond probing of TSVs in 3D stacked ICs,” in Proc. IEEE Int. Test Conf., Sep. 2011, pp. 1-10. R. W. Hamming, “Error detecting and error correcting codes,” Bell Syst.Tech. J., vol. 29, no. 2, pp. 147-160, Apr. 1950.R. W. Hamming, “Error detecting and error correcting codes,” Bell Syst. Tech. J., vol. 29, no. 2, pp. 147-160, Apr. 1950. Y. Zhao, S. Khursheed, and B. M. Al-Hashimi, “Online fault tolerance technique for TSV-based 3-D-IC,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 8, pp. 1567-1571, Aug. 2015.Y. Zhao, S. Khursheed, and B. M. Al-Hashimi, “Online fault tolerance technique for TSV-based 3-D-IC,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 8, pp. 1567-1571, Aug. 2015. M. Cho, C. Liu, D. H. Kim, S. K. Lim, and S. Mukhopadhyay, “Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design (ICCAD), Nov. 2010, pp. 694-697.M. Cho, C. Liu, D. H. Kim, S. K. Lim, and S. Mukhopadhyay, “Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design (ICCAD), Nov. 2010, pp. 694-697. K. A. Bowman et al., “Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 49-63, Jan. 2009.K. A. Bowman et al., “Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 49-63, Jan. 2009. P.-Y. Chen, C.-W. Wu, and D.-M. Kwai, “On-chip TSV testing for 3D IC before bonding using sense amplification,” in Proc. Asian Test Symp., Nov. 2009, pp. 450-455.P.-Y. Chen, C.-W. Wu, and D.-M. Kwai, “On-chip TSV testing for 3D IC before bonding using sense amplification,” in Proc. Asian Test Symp., Nov. 2009, pp. 450-455. L. Jiang et al., “On effective through-silicon via repair for 3-D-stacked ICs,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 32, no. 4, pp. 559-571, 2013.L. Jiang et al., “On effective through-silicon via repair for 3-D-stacked ICs,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 32, no. 4, pp. 559-571, 2013. F. Ye and K. Chakrabarty, “TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation,” in Proc. Design Autom. Conf. (DAC), Jun. 2012, pp. 1024-1030. https://www.frontiersin.org/article/10.3389/fnins.2018.00774F. Ye and K. Chakrabarty, “TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation,” in Proc. Design Autom. Conf. (DAC), Jun. 2012, pp. 1024-1030. https://www.frontiersin.org/article/10.3389/fnins.2018.00774 A. Ben Ahmed and A. Ben Abdallah. ‘’Architecture and Design of Highthroughput, Low-Latency, and Fault-Tolerant Routing Algorithm for 3D-Network-on-Chip (3DNoC)’’. The Jnl. of Supercomputing, December 2013, Volume 66, Issue 3, pp 1507-1532.A. Ben Ahmed and A. Ben Abdallah. Architecture and Design of Highthroughput, Low-Latency, and Fault-Tolerant Routing Algorithm for 3D-Network-on-Chip (3DNoC). The Jnl. of Supercomputing, December 2013, Volume 66, Issue 3, pp 1507-1532. J. Wang, M. Ebrahimi, L. Huang, X. Xie, Q. Li, G. Li, and A. Jantsch, “Efficient design-for-test approach for networks-on-chip,” IEEE Trans. Comput., vol. 68, no. 2, pp. 198- 213, Feb. 2018.J. Wang, M. Ebrahimi, L. Huang, X. Xie, Q. Li, G. Li, and A. Jantsch, “Efficient design-for-test approach for networks-on-chip,” IEEE Trans. Comput., vol. 68, no. 2, pp. 198- 213, Feb. 2018. G. C. Buttazzo, Hard Real-Time Computing Systems: Predictable Scheduling Algorithms and Applications. Cham, Switzerland: Springer, 2011, vol. 24.G. C. Buttazzo, Hard Real-Time Computing Systems: Predictable Scheduling Algorithms and Applications. Cham, Switzerland: Springer, 2011, vol. 24. D. Gizopoulos et al., “Architectures for online error detection and recovery in multicore processors,” in Proc. Design, Autom. Test Eur., Mar. 2011, pp. 1-6.D. Gizopoulos et al., “Architectures for online error detection and recovery in multicore processors,” in Proc. Design, Autom. Test Eur., Mar. 2011, pp. 1-6. M. Y. Hsiao, “A class of optimal minimum odd-weight-column SEC-DED codes,” IBM J. Res. Develop., vol. 14, no. 4, pp. 395-401,Jul. 1970.M. Y. Hsiao, “A class of optimal minimum odd-weight-column SEC-DED codes,” IBM J. Res. Develop., vol. 14, no. 4, pp. 395-401,Jul. 1970. D. Bertozzi, L. Benini, and G. De Micheli, “Error control schemes for on-chip communication links: the energy-reliability tradeoff,” Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 24, pp. 818-831, June 2005D. Bertozzi, L. Benini, and G. De Micheli, “Error control schemes for on-chip communication links: the energy-reliability tradeoff,” Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 24, pp. 818-831, June 2005 L.-C. Li, W.-H. Hsu, K.-J. Lee, and C.-L. Hsu, “An efficient 3D-IC onchip test framework to embed TSV testing in memory BIST,” in Proc. 20th Asia South Pacific Design Autom. Conf., Jan. 2015, pp. 520-525.L.-C. Li, W.-H. Hsu, K.-J. Lee, and C.-L. Hsu, “An efficient 3D-IC onchip test framework to embed TSV testing in memory BIST,” in Proc. 20th Asia South Pacific Design Autom. Conf., Jan. 2015, pp. 520-525. C. Serafy and A. Srivastava, “Online TSV health monitoring and builtin selfrepair to overcome aging,” in Proc. Int. Symp. Defect Fault Tolerance VLSI Nanotechnol. Syst., Oct. 2013, pp. 224-229.C. Serafy and A. Srivastava, “Online TSV health monitoring and builtin selfrepair to overcome aging,” in Proc. Int. Symp. Defect Fault Tolerance VLSI Nanotechnol. Syst., Oct. 2013, pp. 224-229. I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, “A low-overhead fault tolerance scheme for TSV-based 3D network on chip links,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design, Nov. 2008, pp. 598-602.I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, “A low-overhead fault tolerance scheme for TSV-based 3D network on chip links,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design, Nov. 2008, pp. 598-602. K. Manna, S. Singh, S. Chattopadhyay, and I. Sengupta, “Preemptive test scheduling for network-on-chip using particle swarm optimization,” in VLSI Design Test. New York, NY, USA: Springer, 2013, pp. 74-82.K. Manna, S. Singh, S. Chattopadhyay, and I. Sengupta, “Preemptive test scheduling for network-on-chip using particle swarm optimization,” in VLSI Design Test. New York, NY, USA: Springer, 2013, pp. 74-82. M. R. Kakoee, V. Bertacco, and L. Benini, “At-speed distributed functional testing to detect logic and delay faults in NoCs,” IEEE Trans. Comput., vol. 63, no. 3, pp. 703- 717, Mar. 2014.M. R. Kakoee, V. Bertacco, and L. Benini, “At-speed distributed functional testing to detect logic and delay faults in NoCs,” IEEE Trans. Comput., vol. 63, no. 3, pp. 703- 717, Mar. 2014. L. Huang et al., “Non-blocking testing for network-on-chip,” IEEE Trans. Comput., vol. 65, no. 3, pp. 679-692, Mar. 2016.L. Huang et al., “Non-blocking testing for network-on-chip,” IEEE Trans. Comput., vol. 65, no. 3, pp. 679-692, Mar. 2016. C. Grecu, A. Ivanov, R. Saleh, and P. P. Pande, “Testing network-onchip communication fabrics,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 26, no. 12, pp. 2201-2214, Dec. 2007.C. Grecu, A. Ivanov, R. Saleh, and P. P. Pande, “Testing network-onchip communication fabrics,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 26, no. 12, pp. 2201-2214, Dec. 2007. T. Lehtonen, P. Liljeberg, and J. Plosila, “Online reconfigurable self-timed links for fault tolerant NoC,” VLSI Des., vol. 2007, Mar. 2007, Art. no. 94676. [Online]. Available: https://www.hindawi.com/journals/vlsi/2007/094676/abs/T. Lehtonen, P. Liljeberg, and J. Plosila, “Online reconfigurable self-timed links for fault tolerant NoC,” VLSI Des., vol. 2007, Mar. 2007, Art. no. 94676. [Online]. Available: https://www.hindawi.com/journals/vlsi/2007/094676/abs/ A. Ganguly, P. P. Pande, and B. Belzer, “Crosstalk-aware channel coding schemes for energy-efficient and reliable NoC interconnect,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 11, pp. 1626-1639, Nov. 2009.A. Ganguly, P. P. Pande, and B. Belzer, “Crosstalk-aware channel coding schemes for energy-efficient and reliable NoC interconnect,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 11, pp. 1626-1639, Nov. 2009. A. Prodromou, A. Panteli, C. A. Nicopoulos, and Y. T. Sazeides, “NoCAlert: An on-line and real-time fault detection mechanism for network-on-chip architectures,” in Proc. 45th Annu. IEEE/ACM Int. Symp. Microarchit., Dec. 2012, pp. 60-71.A. Prodromou, A. Panteli, C. A. Nicopoulos, and Y. T. Sazeides, “NoCAlert: An on-line and real-time fault detection mechanism for network-on-chip architectures,” in Proc. 45th Annu. IEEE/ACM Int. Symp. Microarchit., Dec. 2012, pp. 60-71. S. Shamshiri, A.-A. Ghofrani, and K.-T. Cheng, “End-to-end error correction and online diagnosis for on-chip networks,” in Test Conference (ITC), 2011 IEEE International, pp. 1-10, IEEE, 2011S. Shamshiri, A.-A. Ghofrani, and K.-T. Cheng, “End-to-end error correction and online diagnosis for on-chip networks,” in Test Conference (ITC), 2011 IEEE International, pp. 1-10, IEEE, 2011

信頼性の高いリアルタイムシステムを維持するには、障害の検出及び回復が重要なタスクになる。したがって、デッドラインに基づいて、他のタスクの操作を維持する必要がある(非特許文献20)。しかしながら、TSVの信頼性の問題を解決するための既存の方法のほとんどは、製造テスト及び回復に焦点を当てているが、オンラインでの寿命の信頼性については、適切に対処されていない。サイレント欠陥の結果は高価になる可能性があるため、欠陥検出タスクには、短い応答時間及び少ないパフォーマンス低下が求められる。既存のテストインフラストラクチャを再利用するために、システムは、BIST(非特許文献8,9,19)または外部テスト(非特許文献10)を使用して定期的にテストプロセスを実行することができる。以下、この種のテストには、定期的BIST((P-BIST)(非特許文献10)という用語を使用する。ECCは、ほぼ瞬時の障害検出方法及び位置特定方法としても機能する。 To maintain a reliable real-time system, fault detection and recovery are important tasks. Therefore, it is necessary to maintain the operation of other tasks based on deadlines (Non-Patent Document 20). However, most of the existing methods to solve the TSV reliability problem focus on production test and recovery, but online lifetime reliability has not been adequately addressed. Since the consequences of silent defects can be expensive, the fault detection task requires short response times and little performance degradation. To reuse the existing test infrastructure, the system can perform the test process periodically using BIST (Non-Patent Documents 8, 9, 19) or external testing (Non-Patent Document 10). Hereafter, we use the term Periodic BIST (P-BIST) (Non-Patent Document 10) for this type of test. ECC also serves as a nearly instantaneous fault detection and location method.

そこで、本発明の目的は、パフォーマンスを低下させることなく、TSVのグループの複数の障害を特定することである。 The object of the present invention is therefore to identify multiple faults in a group of TSVs without degrading performance.

本発明の一態様では、複数の層を接続する複数のTSVからなるTSV群が備えられたオンチップの3次元システムであって、前記TSV群に含まれるTSVのそれぞれから送信される第1ビットに基づいて、前記TSV群のうち、所定の欠陥を有する欠陥TSVである可能性がある第1候補TSVを特定し、前記第1候補TSVが排除された前記TSV群に含まれるTSVのそれぞれからの送信される第2ビットに基づいて、前記第1候補TSVが排除された前記TSV群のうち、前記欠陥TSVである可能性がある第2候補TSVを特定し、前記第1及び第2候補TSVごとに、各TSVが排除された前記TSV群に含まれるTSVのそれぞれから送信される第3ビットに基づいて、各TSVが前記欠陥TSVであるか否かを判定し、前記第1及び第2候補TSVから、前記欠陥TSVであると判定された1以上のTSVを特定する。 In one aspect of the present invention, an on-chip three-dimensional system is provided with a TSV group consisting of multiple TSVs connecting multiple layers, and identifies a first candidate TSV from the TSV group that may be a defective TSV having a predetermined defect based on a first bit transmitted from each TSV included in the TSV group, identifies a second candidate TSV from the TSV group from which the first candidate TSV has been excluded that may be the defective TSV based on a second bit transmitted from each TSV included in the TSV group from which the first candidate TSV has been excluded, and determines for each of the first and second candidate TSVs whether each TSV is the defective TSV based on a third bit transmitted from each TSV included in the TSV group from which each TSV has been excluded, and identifies one or more TSVs determined to be the defective TSV from the first and second candidate TSVs.

すなわち、本発明の一態様では、3次元ICを操作するための検出及び位置特定の課題を解決するために、統計的な検出及び分離後のチェック方法に基づいて、シリコンビア上通信テスト(TSV-OCT)メカニズムを提示する。オープン/ショート/ブリッジの欠陥は、動作の点で一貫していないため(通常、隠れた障害が発生するため)、TSVグループを監視する統計的検出を適用して、可能な限り欠陥のある位置を特定のサイクル数でキャプチャする。次に、より多くの障害位置をさらに検出するために、TSV-OCTは、検出された疑わしいTSVを分離して、より多くのチェックを実行する。これらのTSVをエンコード/デコードプロセスから削除する分離により、TSV-OCTは、複数の欠陥によって隠れている可能性のあるより多くの欠陥位置を検出できる。誤検知の可能性があるため、TSV-OCTは、位置特定プロセスの正確性を確認する必要性から、結論を出す前に、疑わしいTSVについての再チェックを行う。時間の観点から考えると、新しい障害に対する応答時間は、特にリアルタイムシステムにとって非常に重要であるため、システムが新しい欠陥に適切に反応できるようにする。通常、新しい欠陥の位置特定が行われると、システムは、その接続を通過したデータの整合性が破損していることを示す。破損したデータの有用性に応じて、システムは、それを受け入れるか、またはロールバックを行う必要がある。どちらの場合においても、障害位置を知ることは、リアルタイムシステムにとって非常に重要である。 That is, in one aspect of the present invention, a communication-over-silicon-via test (TSV-OCT) mechanism is presented based on a statistical detection and post-isolation check method to solve the detection and localization challenges for operating 3D ICs. Since open/short/bridge defects are not consistent in terms of operation (usually due to hidden faults), statistical detection is applied to monitor a group of TSVs to capture as many defective locations as possible in a certain number of cycles. Then, to further detect more fault locations, TSV-OCT isolates the detected suspect TSVs to perform more checks. Isolation, which removes these TSVs from the encoding/decoding process, allows TSV-OCT to detect more defect locations that may be hidden by multiple defects. Due to the possibility of false positives, TSV-OCT performs rechecks on the suspect TSVs before drawing a conclusion due to the need to confirm the accuracy of the localization process. Considered from a time perspective, the response time to a new fault is very important, especially for real-time systems, so that the system can react appropriately to the new fault. Typically, when a new defect is localized, the system indicates that the integrity of the data that passed through that connection has been corrupted. Depending on the usefulness of the corrupted data, the system must either accept it or perform a rollback. In either case, knowing the failure location is crucial for real-time systems.

このように、局所性の観点から、システムの様々なコンポーネントの障害の動作を分析することによって、障害がより頻繁に発生している障害位置を見つけることは重要である。 Thus, from a locality perspective, it is important to find out the fault locations where faults occur more frequently by analyzing the fault behavior of various components of the system.

パフォーマンスを低下させることなく、TSVのグループの複数の障害を特定する。 Identify multiple faults in a group of TSVs without performance degradation.

図1は、様々な戦略の下でのデータ及びテストトラフィックのシーケンスを示す図である。FIG. 1 is a diagram showing sequences of data and test traffic under different strategies. 図2は、3次元ICシステムでの従来のTSV組織の構成を示す図である。FIG. 2 is a diagram showing a conventional TSV organization configuration in a three-dimensional IC system. 図3は、従来のテスト戦略を示す図である。FIG. 3 is a diagram showing a conventional test strategy. 図4は、本実施の形態における障害位置特定のブロック図を示す図である。FIG. 4 is a block diagram showing a fault location specification according to this embodiment. 図5は、本実施の形態における障害位置特定のタイムチャートを示す図である。FIG. 5 is a diagram showing a time chart for identifying a fault location in this embodiment. 図6は、本実施の形態におけるTSV領域の統計的検出を示す図である。FIG. 6 is a diagram showing statistical detection of TSV regions in this embodiment. 図7は、本実施の形態におけるアルゴリズム1を示す図である。FIG. 7 is a diagram showing algorithm 1 in this embodiment. 図8は、本実施の形態におけるアルゴリズム2を示す図である。FIG. 8 is a diagram showing algorithm 2 in this embodiment. 図9は、本実施の形態における分離及びチェックのステップ1から3を示す図である。FIG. 9 is a diagram showing steps 1 to 3 of the separation and checking in this embodiment. 図10は、本実施の形態における分離及びチェックのステップ4及び5を示す図である。FIG. 10 is a diagram showing steps 4 and 5 of the separation and checking in this embodiment.

以下、図面を参照して本発明の実施の形態について説明する。各実施の形態は、本発明のより良い理解のために準備されている。ただし、かかる実施の形態は、本発明の技術的範囲を限定するものではない。また、本発明の範囲は、特許請求の範囲及びこれと同等のものを網羅している。 The following describes embodiments of the present invention with reference to the drawings. Each embodiment is prepared for a better understanding of the present invention. However, such embodiments do not limit the technical scope of the present invention. The scope of the present invention also includes the claims and their equivalents.

従来技術とは異なり、本実施の形態におけるシステムは、パフォーマンスを低下させることなく、TSVのグループの複数の障害を特定する。さらに、障害位置の特定に失敗しても、このTSVグループを介した通信が信頼できないことをシステムが認識するのに役立つ未検出の障害があることを示すことが可能になる。また、後述する時間制約メカニズムを使用して、システムは、チェックポイント及びロールバックを適切に実行できるように、実行時間が特定の範囲内にあることを確認する。 Unlike the prior art, the system in the present embodiment identifies multiple failures in a group of TSVs without performance degradation. Furthermore, failure to identify the failure location can indicate an undetected failure that helps the system realize that communication through this TSV group is unreliable. Also, using a time constraint mechanism described below, the system ensures that execution times are within certain bounds so that checkpoints and rollbacks can be performed appropriately.

本実施の形態は、以下のもので構成される。 This embodiment is composed of the following:

1.TSV内の障害位置をキャプチャするための統計的検出メカニズム。 1. Statistical detection mechanism to capture fault locations within TSVs.

2.より多くの障害を捕捉できるメカニズムの検出可能性を高める分離及びチェック技術。 2. Isolation and checking techniques that increase the detectability of mechanisms that can catch more faults.

3.また、再接続及びチェックにより、誤検知のケース(TSVが正常であるが障害とみなされるケース)を排除できる。 3. In addition, reconnecting and checking can eliminate false positive cases (cases where the TSV is normal but is deemed to be faulty).

[従来技術]
初めに、従来技術について説明を行う。図1は、様々な戦略の下でのデータ及びテストトラフィックのシーケンスを示す図である。具体的に、図1(a)は、アプリケーショントラフィックを示す図である。図1(b)は、ブロックテストを示す図である。図1(c)は、空き時間テストのトラフィック注入を示す図である。図1(d)は、分割空き時間テスト(非特許文献9)を示す図である。図1(e)は、エラー修正コードを示す図である。
[Prior Art]
First, the prior art will be described. Figure 1 shows sequences of data and test traffic under various strategies. Specifically, Figure 1(a) shows application traffic; Figure 1(b) shows block testing; Figure 1(c) shows traffic injection for free-time testing; Figure 1(d) shows split free-time testing (Non-Patent Document 9); and Figure 1(e) shows error correction code.

近年、3次元ICの研究が行われているが、3次元ICシステムのTSV障害に取り組むための研究についてはほとんど行われていない。 Although research into 3D ICs has been conducted in recent years, little research has been done to address TSV failures in 3D IC systems.

EDC/ECC(非特許文献11,22)は、TSV内の障害を通常のワイヤとして検出及び特定を行う。例えば、SECDEDは、1つを修正し、データの2つの反転ビットを検出することが可能である(非特許文献22)。これをTSVに使用すると、SECDEDは、最大1つの欠陥位置を特定することが可能である。その他のECC/EDCの方法には、SEC(シングルエラー修正)、SECDED(シングルエラー修正及びダブルエラー検出)、ED(エラー検出)、PAR(パリティコード)、CRC-4(巡回冗長検査)、OLSC(直交ラテン方格コード)及びCRC-8がある(非特許文献23)。EDC/ECCは、通常、即時の応答時間を提供するが、検出可能/修正可能な欠陥の数によって制限される。 EDC/ECC (Non-Patent Documents 11, 22) detects and locates faults in TSVs as normal wires. For example, SECDED can correct one and detect two inverted bits of data (Non-Patent Document 22). When used on TSVs, SECDED can locate up to one defect. Other ECC/EDC methods include SEC (single error correction), SECDED (single error correction and double error detection), ED (error detection), PAR (parity code), CRC-4 (cyclic redundancy check), OLSC (orthogonal Latin squares code) and CRC-8 (Non-Patent Document 23). EDC/ECC usually provides a fast response time but is limited by the number of defects that can be detected/corrected.

他のアプローチは、テスト回路またはBISTを使用するものである。非特許文献12及び13は、単純な回路を使用してオープン欠陥を検出する細粒度の方法を提示している。非特許文献12では、さらに、テストパターンをTSVに挿入し、出力をキャプチャし、さらに、論理閾値電圧を持つNANDゲートを使用してオープン欠陥を検出する。非特許文献8及び9は、ピンホール及びボイド欠陥のためのTSVのBISTの他の方法についても提示している。非特許文献24は、TSVのメモリBISTを再利用して、テスト時間を短縮する。外部テスターと結合する前に厳密な調査を行うことも(非特許文献10)、全体的な歩留まりを改善するのに役立つ。 Other approaches use test circuits or BIST. Non-Patent Documents 12 and 13 present a fine-grained method to detect open defects using simple circuits. Non-Patent Document 12 further inserts test patterns into TSVs, captures the output, and uses NAND gates with logic threshold voltages to detect open defects. Non-Patent Documents 8 and 9 also present other methods of TSV BIST for pinhole and void defects. Non-Patent Document 24 reuses memory BIST for TSVs to reduce test time. Rigorous probing before coupling with an external tester (Non-Patent Document 10) also helps to improve the overall yield.

オンラインの検出/回復のために、非特許文献25は、TSVの劣化を克服するための抵抗追跡法及びBISTを提示している。 For online detection/recovery, Non-Patent Document 25 presents resistance tracking and BIST to overcome TSV degradation.

非特許文献6で発表された研究では、オープンTSV欠陥をテストするためのテストパターンジェネレーターも提案され、非特許文献26では、テストベクトルの注入及び収集を行うために、テストアクセスポイントを使用している。非特許文献16及び27では、正確性を確保するためのテストが事前にスケジュールされている。 The work published in Non-Patent Document 6 also proposes a test pattern generator for testing open TSV defects, and Non-Patent Document 26 uses test access points to inject and collect test vectors. In Non-Patent Documents 16 and 27, tests are scheduled in advance to ensure correctness.

[従来のテスト方法]
P-BISTは、BISTを定期的にアクティブにする方法である。ここでは、主にNoCテストに焦点を当てて説明を行う。非特許文献19及び非特許文献28において、テスターは、定期的にアクティブになるが、テスト中のNoCのルータが非アクティブになるのを避けるために、空き時間帯にのみ実行される。また、テスト時のコアへのアクセスのしやすさを提供する。非特許文献29は、NoCのノンブロッキングテストも提示しているが、これは同様のアイデアである。非特許文献30では、3次元NoCに使用できるNoCファブリックのテストが、専用のテストデータ及び構造を使用することによって提示されている。これらの方法の共通の目標は、システムに輻輳/劣化が発生しないようにスマートなスケジュールを提供することである。これらの実験は、サイズの点で制限されているため、システムを複雑にすることで実行時間を増大させる可能性がある。
[Conventional testing method]
P-BIST is a method of periodically activating BIST. Here, the explanation focuses mainly on NoC testing. In Non-Patent Document 19 and Non-Patent Document 28, the tester is periodically active, but only during idle times to avoid inactivating the router of the NoC under test. It also provides easy access to the cores during testing. Non-Patent Document 29 also presents non-blocking testing of NoC, which is a similar idea. In Non-Patent Document 30, testing of NoC fabrics that can be used for 3D NoC is presented by using dedicated test data and structures. The common goal of these methods is to provide a smart schedule so that congestion/degradation does not occur in the system. These experiments are limited in terms of size, which may increase the execution time by complicating the system.

冗長な実行については、スプリットリンク伝送(非特許文献31)及びチャネルコーディング(非特許文献32)において提示されている。動的検証については、NoCについてのオンラインテストを行うためのいくつかの不変条件とともに、非特許文献33で提示されている。また、動的検証については、エンドツーエンドの監視とともに非特許文献34で提示されている。異常検出(非特許文献25)は、低コストのハードウェアまたはソフトウェアを使用して、TSVの異常な動作を示す。これらの方法は、システムにおいて深く統合されているため効率的であるが、欠陥の位置及びリアルタイムの検出において、TSVの脆弱性に細心の注意を払って対処する必要がある。図1は、様々なテスト戦略を示している。図1(b)の戦略に示されているブロッキングテスト(P-BIST)は、テストトラフィックを送信するために、データトラフィックをブロックする必要があるが、図1(c)及び(d)の戦略は、テストトラフィックをスケジュールするため、混雑が少なくなる。図1(e)の戦略は、エラー訂正コードを表しており、テストがデータトランザクションと一緒に実行され、輻輳もパフォーマンスの低下も引き起こされない。 Redundant implementations have been presented in split-link transmission (NPL 31) and channel coding (NPL 32). Dynamic verification has been presented in NPL 33 with some invariants for online testing of NoCs. Dynamic verification has also been presented in NPL 34 with end-to-end monitoring. Anomaly detection (NPL 25) uses low-cost hardware or software to indicate abnormal behavior of TSVs. These methods are efficient because they are deeply integrated in the system, but they require careful attention to the vulnerability of TSVs in the location and real-time detection of defects. Figure 1 shows various test strategies. The blocking test (P-BIST) shown in the strategy in Figure 1(b) requires blocking data traffic to transmit test traffic, while the strategies in Figures 1(c) and (d) schedule the test traffic, resulting in less congestion. The strategy in Figure 1(e) represents an error-correcting code, where the test is performed together with the data transaction, causing neither congestion nor performance degradation.

[従来のシステム]
次に、従来のシステムについて説明を行う。上記のように、3次元ICシステムのTSVの検出及び位置特定の問題に対処する既存の様々なソリューションがあるにもかかわらず、以下に示すいくつかの問題が依然として存在している。
[Conventional System]
Conventional systems are described below. Despite the various existing solutions addressing the problem of TSV detection and location in 3D IC systems as described above, several problems still exist:

第1に、特定のTSVベースの3次元ICの障害は、一時的、永続的、または断続的な障害によって引き起こされる。一時的な影響を除去する間、永続的または断続的であるTSV欠陥の完全な障害検出及び位置特定を提供する研究はない。 First, failures of certain TSV-based 3D ICs can be caused by faults that are transient, persistent, or intermittent. While eliminating transient effects, no work provides complete fault detection and localization of TSV defects that are persistent or intermittent.

第2に、障害検出及び位置特定についてのほとんどの従来のソリューションは、オフラインの障害に対して部分的または完全に対処することに焦点を合わせるものである。言い換えれば、試験対象装置は、試験のために操作から取り外さなければならない。しかしながら、この場合、パフォーマンスが低下し、テストの頻度が低くなる(テスト期間が長くなる)。その結果、一時的な欠陥がテスト時間において隠され、応答時間が長くなる。 Second, most conventional solutions for fault detection and localization focus on partially or completely addressing offline faults. In other words, the device under test must be removed from operation for testing. However, this leads to reduced performance and less frequent testing (longer test duration). As a result, transient defects are hidden at test time and response times are longer.

第3に、全ての従来のアーキテクチャは、TSVの欠陥がデータを完全に破損することを想定していた。しかしながら、オープン/基板へのショート/ブリッジは、全て一貫性のない動作をしているため、隠れた欠陥が発生する。本研究は、この動作に対処するのに役立つ。 Third, all previous architectures assume that TSV defects completely corrupt data. However, opens/shorts/bridges to the substrate all have inconsistent behavior, resulting in hidden defects. This work helps address this behavior.

第4に、リアルタイムシステムでは、各タスクに特定の応答時間が必要であり、障害検出と及び位置特定は、このルールに従う必要がある。どのテストスケジュールもこの制約を考慮していないが、本研究では、期限を適切に処理する。 Fourth, in a real-time system, each task requires a certain response time, and fault detection and localization must follow this rule. No test schedule takes this constraint into account, but in this work we handle deadlines appropriately.

[TSVグループのエラー修正コード]
図2は、3次元ICシステムでの従来のTSV組織の構成を示している。図2に示すように、TSVには2つのタイプがある。
[TSV Group Error Correction Code]
A conventional TSV organization in a 3D IC system is shown in Figure 2. As shown in Figure 2, there are two types of TSVs.

最初のタイプは、データビットbi,jであり、TSV接続を介して送信する必要があるデータである。通常、バスベースまたはネットワークオンチップベースのシステムでは、データはわずかなデータ(8、16、32または64ビット)である。同期や制御信号は、このタイプのデータと見なされる。 The first type is the data bits b i,j , which are the data that needs to be transmitted over the TSV connection. Typically in bus-based or network-on-chip based systems, the data is a small amount of data (8, 16, 32 or 64 bits). Synchronization and control signals are considered to be this type of data.

2番目のタイプは、障害位置の検出と位置特定に役立つパリティチェックTSV(r,u及びu)である。例えば、パリティ製品コードは、次のようにエンコードされる。 The second type is the parity-check TSV (r i , u j and u), which helps in fault detection and localization. For example, the parity product code is encoded as follows:

Figure 0007488989000001
Figure 0007488989000001

Figure 0007488989000002
Figure 0007488989000002

Figure 0007488989000003
Figure 0007488989000003

デコードには、行と列のパリティチェックが使用される。 Row and column parity checks are used for decoding.

Figure 0007488989000004
Figure 0007488989000004

Figure 0007488989000005
Figure 0007488989000005

Figure 0007488989000006
Figure 0007488989000006

Figure 0007488989000007
Figure 0007488989000007

欠陥のある位置は、欠陥のある行と列をチェックすることによって示すことが可能になる。 The location of the defect can be indicated by checking the defective row and column.

Figure 0007488989000008
Figure 0007488989000008

障害検出のケースは次のとおりである。 The cases for fault detection are as follows:

Figure 0007488989000009
Figure 0007488989000009

ここで、PPCコードは、2つのTSV欠陥ケースを検出し、多くても1つの欠陥位置を特定できる。欠陥の数は複数になる可能性があるため、PPCは、位置を特定することができない可能性がある。 Here, the PPC code detects two TSV defect cases and can identify at most one defect location. Since the number of defects can be multiple, the PPC may not be able to identify the location.

[テストスケジューリング]
図3は、従来のテスト戦略を示す図である。具体的に、図3(a)は、アプリケーショントラフィックを示す図である。図3(b)は、ブロックテストを示す図である。図3(c)は、空き時間テストのトラフィック注入を示す図である。図3(d)は、分割空き時間テスト(非特許文献9)を示す図である。図3(e)は、エラー修正コードを示す図である。
[Test Scheduling]
3 is a diagram showing a conventional test strategy. Specifically, FIG. 3(a) is a diagram showing application traffic. FIG. 3(b) is a diagram showing block testing. FIG. 3(c) is a diagram showing traffic injection for free-time testing. FIG. 3(d) is a diagram showing split free-time testing (Non-Patent Document 9). FIG. 3(e) is a diagram showing error correction code.

図3は、様々なテスト戦略を示している。図3(b)の戦略に示されているブロッキングテスト(P-BIST)は、テストトラフィックを送信するためにデータトラフィックをブロックする必要があるが、図3(c)及び(d)の戦略は、混雑が少なくなるテストトラフィックをスケジュールする。図3(e)の戦略は、テストがデータトランザクションと一緒に実行され、輻輳もパフォーマンスの低下も引き起こさないOCT方法を表している。 Figure 3 shows various test strategies. The strategy in Figure 3(b) shows blocking test (P-BIST) which requires blocking data traffic to transmit test traffic, while the strategies in Figures 3(c) and (d) schedule test traffic which results in less congestion. The strategy in Figure 3(e) represents an OCT method where tests are performed alongside data transactions, causing neither congestion nor performance degradation.

明らかに、P-BISTを使用したブロッキングテストは、テストするためにテスト対象装置を切り離すため、より正確で高カバレッジのテスト結果を提供できる。しかしながら、この場合におけるトレードオフは、パフォーマンスの低下である。一部の重要なシステムでは、テストのためにデバイスを取り外すことが困難である。一方、ECCのようなノンブロッキングは、通信/操作と一緒に実行ができる。ECCの欠点は、位置特定の制限である。既に示されているように、PPC等のECCは、1つの欠陥のみの位置特定が可能である。 Obviously, blocking testing using P-BIST can provide more accurate and higher coverage test results since it isolates the device under test for testing. However, the trade-off in this case is performance degradation. In some critical systems, it is difficult to remove the device for testing. On the other hand, non-blocking such as ECC can be performed together with communication/operation. The drawback of ECC is the location limitation. As already shown, ECC such as PPC can only locate a single defect.

[3D-ICのTSVにおける故障位置特定]
本実施の形態における障害位置特定のブロック図とタイムチャートとを、図4及び図5のそれぞれに示す。図4は、本実施の形態における障害位置特定のブロック図を示す図である。また、図5は、本実施の形態における障害位置特定のタイムチャートを示す図である。
[Fault localization in TSV of 3D-IC]
A block diagram and a time chart of fault location identification in this embodiment are shown in Fig. 4 and Fig. 5, respectively. Fig. 4 is a diagram showing a block diagram of fault location identification in this embodiment. Fig. 5 is a diagram showing a time chart of fault location identification in this embodiment.

図4に示すように、本実施の形態におけるフォールトトレラントシステムにおいて、データは、分離モジュール11に送信され、次にECCエンコーダ12に送信される。コントローラー13は、分離モジュール11を管理して分離及びチェックを実行する。エンコードされたデータ(コードワード)は、レイヤー間通信を実行するためにTSVグループ21に送信される。受信したデータは、ECCデコード32に送られ、デコードによる処理結果は、コントローラー33に送信され、データは、出力データを取得するために併合モジュール31によって併合される。 As shown in FIG. 4, in the fault-tolerant system of this embodiment, data is sent to the separation module 11 and then to the ECC encoder 12. The controller 13 manages the separation module 11 to perform separation and checking. The encoded data (codeword) is sent to the TSV group 21 to perform inter-layer communication. The received data is sent to the ECC decoder 32, and the result of the decoding is sent to the controller 33, and the data is merged by the merge module 31 to obtain the output data.

そして、図5に示すように、本実施の形態におけるシステムは、上記の問題を次の3つのステップで解決する:(1)統計的検出(S41~S44)、(2)分離と検出(S51~S55)、(3)再接続とチェック(S61~S68)。最初のステップでは、統計的な検出を使用して、可能な限り疑わしい位置を捕捉する(S41~S43)。次に、2番目のステップで疑わしいTSVを分離し(S44)、統計を再度実行して、より多くの障害をキャプチャする(S51~S53)。2番目のステップは、障害が検出されなくなるか(S54)、または、時間切れになるまで(デッドラインになるまで)実行される(S55)。次に、最後のステップで、疑わしい各TSVを再接続して、正常/障害状態を確認する(S61)。疑わしい各TSVを接続した状態で統計的検出を実行することにより(S62~S64)、システムは、そのTSVのステータスを結論付けることができる(S65~S68)。 As shown in FIG. 5, the system in this embodiment solves the above problem in three steps: (1) statistical detection (S41-S44), (2) isolation and detection (S51-S55), and (3) reconnection and check (S61-S68). In the first step, statistical detection is used to capture as many suspect locations as possible (S41-S43). Then, in the second step, the suspect TSV is isolated (S44) and statistics are run again to capture more faults (S51-S53). The second step is run until no faults are detected (S54) or until a timeout (deadline) is reached (S55). Then, in the final step, each suspect TSV is reconnected to check for normal/fault status (S61). By running statistical detection with each suspect TSV connected (S62-S64), the system can conclude the status of that TSV (S65-S68).

[隠れたエラーの影響]
オープン及びショートの欠陥の自然な動作の1つは、ビットの反転に関する矛盾である。TSVに基板へのショートがあり、値「0」を送信する場合、受信機においてエラーは発生しない。一方、基板へのショートがあるTSVを介して値「1」を送信すると、ビットが反転する。オープン障害によりタイミング違反が発生した場合、最後に送信された値と同じ値を送信してもエラーは発生しないが、異なる値を送信するとビットが反転する可能性がある。この特性により、N個の欠陥があるTSV領域には、同時にN個以下の欠陥が存在している可能性がある。
[Impact of hidden errors]
One natural behavior of open and short defects is the paradox of bit flipping. If a TSV has a short to the substrate and transmits a value of "0", no error occurs at the receiver. However, transmitting a value of "1" through a TSV with a short to the substrate will result in a bit flip. If a timing violation occurs due to an open fault, transmitting the same value as the last transmitted value will not result in an error, but transmitting a different value may result in a bit flip. Due to this property, a TSV region with N defects may have up to N defects present at the same time.

[統計的検出]
図6は、TSV領域の統計的検出を示している。図6は、16データビット、PPC(4×4)及び3つの欠陥があるTSV領域の統計検出器の動作を示している。反転ビット欠陥TSVは、入力が「1」である場合に「0」を出力し、隠れた欠陥TSVは、入力が「0」である場合に「0」を出力する。具体的に、図6(a)は、隠れた欠陥がゼロの場合に対応する図である。図6(b)は、隠れた欠陥が1つの場合に対応する図である。図6(c)は、隠れた欠陥が2つの場合(ケース1)に対応する図である。図6(d)は、隠れた欠陥が2つの場合(ケース2)に対応する図である。図6(e)は、隠れた欠陥が2つの場合(ケース3)に対応する図である。図6(f)は、隠れた欠陥が3つの場合に対応する図である。図6(g)は、32トランザクションの場合における統計検出器の波形である。ここでの設定は、従来方式のPPC(4×4)を使用した16データビットである。また、検査欠陥タイプは、基板へのショートである。
Statistical Detection
FIG. 6 illustrates the statistical detection of a TSV region. FIG. 6 illustrates the operation of a statistical detector for a TSV region with 16 data bits, PPC (4×4), and 3 defects. A flipped-bit defective TSV outputs “0” when the input is “1”, and a hidden defective TSV outputs “0” when the input is “0”. Specifically, FIG. 6(a) corresponds to the case where there are zero hidden defects. FIG. 6(b) corresponds to the case where there is one hidden defect. FIG. 6(c) corresponds to the case where there are two hidden defects (case 1). FIG. 6(d) corresponds to the case where there are two hidden defects (case 2). FIG. 6(e) corresponds to the case where there are two hidden defects (case 3). FIG. 6(f) corresponds to the case where there are three hidden defects. FIG. 6(g) illustrates the waveform of the statistical detector for 32 transactions. The setting here is 16 data bits using a conventional PPC (4×4). Additionally, the inspection defect type is a short to the substrate.

従来のシステムで説明したように、PPCは、1つの障害の位置を特定し、2つの障害を検出することが可能である。ここでは、隠れた障害が影響を受けるTSVの数を減らす可能性を利用している。データが一旦受信されると、デコーダは、障害のある位置を検出して位置特定を行うことを試みる。当然のことながら、検出器は、最大J個の障害を修正し、最大K個の障害を検出できる(J≦K)。T送信において、検出器は、位置特定制限(J未満)の障害を蓄積する。T送信の後、障害の累積数を閾値(Thres_Loc)と比較して、破損の可能性を検出する。コストを削減するには、単純に閾値を1に設定する。ただし、ビットの反転を引き起こす可能性のあるソフトエラーを除去するために、Thres_Locをより高い値に設定できる。この方法の詳細は、図7に示すアルゴリズム1に記載されている。 As described in the conventional system, PPC is capable of locating one fault and detecting two faults. Here, we exploit the possibility that hidden faults reduce the number of affected TSVs. Once the data is received, the decoder attempts to detect and localize the faulty location. Naturally, the detector can correct up to J faults and detect up to K faults (J≦K). In T transmissions, the detector accumulates faults of the localization limit (less than J). After T transmissions, the accumulated number of faults is compared with a threshold (Thres_Loc) to detect possible corruption. To reduce the cost, we simply set the threshold to 1. However, to eliminate soft errors that may cause bit flips, Thres_Loc can be set to a higher value. The details of this method are described in Algorithm 1 shown in Figure 7.

ここでは、貪欲な位置特定(Opt.=2)を使用する。行と列のチェックに失敗する限り、対応するインデックスの位置を不良と判断する。例えば、図6(b)に示されている事態では、4つの位置((2,0)、(2,4)、(3,0)及び(3,4))に障害があると見なされる。この結果は、偽陽性のケースで構成されているが、信頼性への影響は重要でない。 Here, we use greedy location (Opt.=2). As long as the row and column checks fail, we consider the corresponding index location to be bad. For example, in the scenario shown in Figure 6(b), four locations ((2,0), (2,4), (3,0) and (3,4)) are considered to be faulty. This result consists of false positive cases, but the impact on reliability is insignificant.

図6は、16データビット、PPC(4×4)及び3つの欠陥((0,3)、(2,0)及び(3,4))があるTSV領域の統計検出器の動作を示している。隠された効果のため、起こり得る4つのケースがある。 Figure 6 shows the operation of the statistical detector for a TSV region with 16 data bits, PPC (4x4) and three defects ((0,3), (2,0) and (3,4)). Due to hidden effects, there are four possible cases:

(1)隠れた欠陥がゼロの場合(図6(a)):3つの欠陥の全てがビットの反転を引き起こすため、検出器は修正に失敗する。 (1) When there are zero hidden defects (Figure 6(a)): The detector fails to correct because all three defects cause bit flips.

(2)隠れた欠陥が1つの場合(図6(b)):2つの欠陥によりビットが反転するため、検出器は修正に失敗するが、システムに警告する可能性がある。 (2) Case where there is one hidden defect (Figure 6(b)): Two defects cause a bit to be flipped, so the detector fails to correct it but may alert the system.

(3)隠れた欠陥が2つの場合(図6(c)から(e)):検出器は1つの欠陥位置の特定に成功する。 (3) When there are two hidden defects (Figures 6 (c) to (e)): The detector successfully identifies the location of one defect.

(4)隠れた欠陥が3つの場合(図6(f)):隠れたエラーのためにシステムに警告を出すことはできない。 (4) When there are three hidden defects (Figure 6(f)): The system cannot issue a warning due to the hidden errors.

ここでは、誤検知のケースは重大な問題ではないため、貪欲なバージョン(Opt.=2)の使用を選択している。図6(g)に示すように、貪欲な位置特定オプションは、障害のある位置を可能な限りカバーしようとする。1つの隠れた欠陥(図6(b))のヒットは、4つの位置((2,0)、(2,4)、(3,0)及び(3,4))が不良であることを示す。これらの誤検知は、後述するように、分離及びチェックのアルゴリズムを使用して削除可能である。 Here, we choose to use the greedy version (Opt. = 2) since the false positive cases are not a critical issue. As shown in Fig. 6(g), the greedy localization option tries to cover as many faulty locations as possible. A hit on one hidden defect (Fig. 6(b)) indicates that four locations ((2,0), (2,4), (3,0) and (3,4)) are bad. These false positives can be removed using a separation and checking algorithm, as described below.

[分離及びチェック]
図8のアルゴリズム2に示されている分離及びチェックは、偽陽性と偽陰性の両方のケースを解決するために使用される。専用のテスターを近づけることが困難である場合があるため、分離及びチェックの方法は、PPCの再利用に基づいてこの問題を解決することを目的とする。このアルゴリズムは、以下の手順に従う。
[Separation and Checks]
The isolate and check shown in Algorithm 2 of Fig. 8 is used to solve both false positive and false negative cases. Since it may be difficult to have a dedicated tester close by, the isolate and check method aims to solve this problem based on reusing PPC. The algorithm follows the steps below:

(ステップ1)統計検出器を使用して障害位置を検出する。これらの場所は、疑わしいTSVと見なされる。貪欲な位置特定を使用して、疑わしいTSVを可能な限り捕捉する。偽陽性のTSVは再確認され、後で修正される。 (Step 1) Detect fault locations using a statistical detector. These locations are considered as suspect TSVs. Use greedy localization to capture as many suspect TSVs as possible. False positive TSVs are rechecked and later fixed.

(ステップ2)システムは、疑わしいTSVをエンコード/デコードプロセスから事実上分離する。ただし、それらはまだデータトランザクションに使用される。言い換えれば、疑わしいTSVは、(1)及び(2)のパリティビット関数から削除される。列、行及び最終的なパリティビットを削除できないが、システムは、必要に応じてパリティビットを異なる位置に切り替えることができる。 (Step 2) The system effectively isolates the suspect TSVs from the encoding/decoding process, although they are still used in data transactions. In other words, the suspect TSVs are removed from the parity bit functions of (1) and (2). Although the column, row and final parity bits cannot be removed, the system can switch the parity bits to different positions if necessary.

(ステップ3)障害が検出されなくなるか、期限が切れるまで(デッドラインまで)、ステップ1から3を再実行する。 (Step 3) Rerun steps 1 to 3 until the failure is no longer detected or the deadline has elapsed.

(ステップ4)分離された各TSVの再割り当てを行う。TSVは、エンコードおよびデコードプロセスに再度取り付けられる。専用のテストが利用可能な場合、それを使用するとテスト時間を短縮できる。 (Step 4) Reassign each separated TSV. The TSV is reattached to the encoding and decoding process. If a dedicated test is available, it can be used to reduce the test time.

(ステップ5)ステップ4の後、分離されたTSVを持つTSV領域がまだ障害として検出されている場合、分離及びチェックによって認識できない障害がある。ここでは、TSV領域全体に欠陥があると考えられる。システムは、より高いカバレッジを持つように分離とチェックを繰り返すこともできる。 (Step 5) After step 4, if the TSV region with isolated TSVs is still detected as faulty, there is a fault that cannot be recognized by the isolation and check. Here, the entire TSV region is considered to be defective. The system can also repeat the isolation and check to have higher coverage.

全ての疑わしいTSVを無効にし、統計検出器を再実行することにより、システムは、より多くの障害を特定できる。図9の場合を考えた場合、統計検出器を1回使用した後、図9(a)に示すように、2つのTSV(0,1)及び(2,3)がデコード及びエンコードから削除される。疑わしいTSVを分離した後、システムは、チェック時間が終了するまで実行を続ける(T=32トランザクション)。図9(f)におけるD7に示すように、1つの隠れた欠陥ケース(図9(a))が再度ヒットすると、システムは(2,1)を検出できる。(2,1)が疑わしいと結論付けた後、システムは、次の実行のためにそれを分離する。(0,1)、(2,1)及び(2,3)が分離されると、隠れた欠陥がゼロである場合におけるヒットは、最後の欠陥である(0,3)を示すことが可能になる(図9(d)及び図9(f)におけるD55)。ステップ3の最後に位置が検出されない場合、分離及びチェックは、全ての不良位置をカバーできる。ただし、誤検出のケースが残されている。 By invalidating all suspect TSVs and rerunning the statistical detector, the system can identify more faults. Consider the case of FIG. 9, after one use of the statistical detector, two TSVs (0,1) and (2,3) are removed from the decode and encode as shown in FIG. 9(a). After isolating the suspect TSVs, the system continues to run until the check time is over (T=32 transactions). When one hidden defect case (FIG. 9(a)) is hit again, the system can detect (2,1), as shown in D7 in FIG. 9(f). After concluding that (2,1) is suspect, the system isolates it for the next run. Once (0,1), (2,1) and (2,3) are isolated, a hit in the case of zero hidden defects can indicate the last defect (0,3) (D55 in FIG. 9(d) and FIG. 9(f)). If no location is detected at the end of step 3, the isolation and checking can cover all bad locations. However, there are still false positive cases remaining.

分離及びチェックアルゴリズムにおけるステップ4及び5を図10に示す。ステップ3の最後に(図9を参照)、疑いとして4つの位置が示されている。ステップ4及び5において、アルゴリズムは、疑わしいTSVのそれぞれを再度有効にしてその正当性を確認する。アルゴリズムは、最初に、TSVにおける(0,1)を有効にし、データトランザクションを実行する。このTSVに欠陥があり、図10(f)のD11において欠陥のある出力を引き起こすため、システムは、T送信後に欠陥があると簡単に結論付けることができる。誤検知のケース(TSVにおける(2,3))が再度有効になっている場合、障害のある出力は見つからない。システムはそれを障害なしと判断し、リストから削除できる。疑わしいTSVのそれぞれをテストした後、システムは、最終的に障害のある位置を結論付けることができる。 Steps 4 and 5 in the isolation and checking algorithm are shown in Figure 10. At the end of step 3 (see Figure 9), four locations are shown as suspect. In steps 4 and 5, the algorithm re-enables each of the suspected TSVs and checks their validity. The algorithm first enables (0,1) in TSV and executes a data transaction. Since this TSV is faulty and causes a faulty output at D11 in Figure 10(f), the system can easily conclude that it is faulty after T transmission. When the false positive case ((2,3) in TSV) is re-enabled, no faulty output is found. The system can determine it as non-faulty and remove it from the list. After testing each of the suspected TSVs, the system can finally conclude the faulty location.

11:分離モジュール
12:ECCエンコーダ
13:コントローラー
21:TSVグループ
31:併合モジュール
32:ECCデコード
33:コントローラー
11: Separation module 12: ECC encoder 13: Controller 21: TSV group 31: Merge module 32: ECC decode 33: Controller

Claims (4)

複数の層を接続する複数のTSVからなるTSV群が備えられたオンチップの3次元システムであって、
前記TSV群に含まれるTSVのそれぞれから送信される第1ビットに基づいて、前記TSV群のうち、所定の欠陥を有する欠陥TSVである可能性がある第1候補TSVを特定し、
前記第1候補TSVが排除された前記TSV群に含まれるTSVのそれぞれからの送信される第2ビットに基づいて、前記第1候補TSVが排除された前記TSV群のうち、前記欠陥TSVである可能性がある第2候補TSVを特定し、
前記第1及び第2候補TSVごとに、各TSVが排除された前記TSV群に含まれるTSVのそれぞれから送信される第3ビットに基づいて、各TSVが前記欠陥TSVであるか否かを判定し、
前記第1及び第2候補TSVから、前記欠陥TSVであると判定された1以上のTSVを特定する、
ことを特徴とするオンチップの3次元システム。
A three-dimensional system on a chip having a TSV group including a plurality of TSVs connecting a plurality of layers, the system comprising:
Identifying a first candidate TSV among the group of TSVs that may be a defective TSV having a predetermined defect based on a first bit transmitted from each of the TSVs included in the group of TSVs;
Identifying a second candidate TSV that may be the defective TSV from the group of TSVs from which the first candidate TSV has been excluded based on a second bit transmitted from each of the TSVs included in the group of TSVs from which the first candidate TSV has been excluded;
For each of the first and second candidate TSVs, determine whether or not each TSV is the defective TSV based on a third bit transmitted from each of the TSVs included in the TSV group from which each TSV is excluded;
identifying one or more TSVs determined to be the defective TSV from the first and second candidate TSVs;
An on-chip three-dimensional system comprising:
請求項1において、
前記第1候補TSVを特定する工程では、
前記TSV群のうち、同一行に位置する複数のTSVのそれぞれから送信された前記第1ビットに不整合が存在する場合、前記同一行に位置する複数のTSVに前記第1候補TSVが含まれていると判定し、
前記TSV群のうち、同一列に位置する複数のTSVのそれぞれから送信された前記第1ビットに不整合が存在する場合、前記同一列に位置する複数のTSVに前記第1候補TSVが含まれていると判定する、
ことを特徴とするオンチップの3次元システム。
In claim 1,
In the step of identifying the first candidate TSV,
When there is an inconsistency in the first bits transmitted from each of a plurality of TSVs located in the same row among the TSV group, it is determined that the first candidate TSV is included in the plurality of TSVs located in the same row;
When there is an inconsistency in the first bits transmitted from each of a plurality of TSVs located in the same column among the TSV group, it is determined that the first candidate TSV is included in the plurality of TSVs located in the same column.
An on-chip three-dimensional system comprising:
請求項1において、
前記第2候補TSVを特定する工程では、
前記第1候補TSVが排除された前記TSV群のうち、同一行に位置する複数のTSVのそれぞれから送信された前記第2ビットに不整合が存在する場合、前記同一行に位置する複数のTSVに前記第2候補TSVが含まれていると判定し、
前記第1候補TSVが排除された前記TSV群のうち、同一列に位置する複数のTSVのそれぞれから送信された前記第2ビットに不整合が存在する場合、前記同一列に位置する複数のTSVに前記第2候補TSVが含まれていると判定する、
ことを特徴とするオンチップの3次元システム。
In claim 1,
In the step of identifying the second candidate TSV,
When there is an inconsistency in the second bits transmitted from each of a plurality of TSVs located in the same row among the group of TSVs from which the first candidate TSV has been excluded, it is determined that the second candidate TSV is included in the plurality of TSVs located in the same row;
When there is an inconsistency in the second bits transmitted from each of a plurality of TSVs located in the same column among the group of TSVs from which the first candidate TSV has been excluded, it is determined that the second candidate TSV is included in the plurality of TSVs located in the same column.
An on-chip three-dimensional system comprising:
請求項1において、
前記欠陥TSVであるか否かを判定する工程では、
前記第1及び第2候補TSVごとに、各TSVが排除された前記TSV群のうち、同一行に位置する複数のTSVのそれぞれから送信された前記第3ビットに不整合が存在する場合、前記同一行に位置する複数のTSVに前記欠陥TSVが含まれていると判定し、
前記第1及び第2候補TSVごとに、各TSVが排除された前記TSV群のうち、同一列に位置する複数のTSVのそれぞれから送信された前記第3ビットに不整合が存在する場合、前記同一列に位置する複数のTSVに前記欠陥TSVが含まれていると判定する、
ことを特徴とするオンチップの3次元システム。
In claim 1,
In the step of determining whether or not the TSV is defective,
For each of the first and second candidate TSVs, when there is an inconsistency in the third bits transmitted from each of a plurality of TSVs located in the same row among the group of TSVs from which each TSV has been excluded, it is determined that the defective TSV is included in the plurality of TSVs located in the same row;
For each of the first and second candidate TSVs, when there is an inconsistency in the third bits transmitted from each of a plurality of TSVs located in the same column among the group of TSVs from which each TSV has been excluded, it is determined that the defective TSV is included in the plurality of TSVs located in the same column.
An on-chip three-dimensional system comprising:
JP2020094220A 2020-05-29 2020-05-29 On-chip 3D system in which TSV groups each containing multiple TSVs connect layers Active JP7488989B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020094220A JP7488989B2 (en) 2020-05-29 2020-05-29 On-chip 3D system in which TSV groups each containing multiple TSVs connect layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020094220A JP7488989B2 (en) 2020-05-29 2020-05-29 On-chip 3D system in which TSV groups each containing multiple TSVs connect layers

Publications (2)

Publication Number Publication Date
JP2021190829A JP2021190829A (en) 2021-12-13
JP7488989B2 true JP7488989B2 (en) 2024-05-23

Family

ID=78847527

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020094220A Active JP7488989B2 (en) 2020-05-29 2020-05-29 On-chip 3D system in which TSV groups each containing multiple TSVs connect layers

Country Status (1)

Country Link
JP (1) JP7488989B2 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130230932A1 (en) 2012-03-02 2013-09-05 Venkatraghavan Bringivijayaraghavan Through-substrate via (tsv) testing
WO2016038673A1 (en) 2014-09-09 2016-03-17 株式会社日立製作所 Error correction device, error correction method, and error correction system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130230932A1 (en) 2012-03-02 2013-09-05 Venkatraghavan Bringivijayaraghavan Through-substrate via (tsv) testing
WO2016038673A1 (en) 2014-09-09 2016-03-17 株式会社日立製作所 Error correction device, error correction method, and error correction system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Tsung-Chu Huang,Cluster Error Correction for Real-Time Channels by Unbound Rotation of Two-Dimensional Parity-Check Codes,IEEE Communications Letters,IEEE,2015年04月17日,Volume: 19, Issue: 6,pp. 917 - 920,https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=7088552

Also Published As

Publication number Publication date
JP2021190829A (en) 2021-12-13

Similar Documents

Publication Publication Date Title
TWI286280B (en) Self-healing chip-to-chip interface
Radetzki et al. Methods for fault tolerance in networks-on-chip
US9734920B2 (en) Memory test with in-line error correction code logic to test memory data and test the error correction code logic surrounding the memories
US7328384B1 (en) Method and apparatus using device defects as an identifier
Dang et al. TSV-OCT: A scalable online multiple-TSV defects localization for real-time 3-D-IC systems
Wu et al. A memory yield improvement scheme combining built-in self-repair and error correction codes
Dang et al. TSV-IaS: Analytic analysis and low-cost non-preemptive on-line detection and correction method for TSV defects
Roberts et al. FAULTSIM: A fast, configurable memory-resilience simulator
Dang et al. A thermal-aware on-line fault tolerance method for TSV lifetime reliability in 3D-NoC systems
Lu et al. Enhanced built-in self-repair techniques for improving fabrication yield and reliability of embedded memories
Wang et al. Design of fault-tolerant and reliable networks-on-chip
JP7488989B2 (en) On-chip 3D system in which TSV groups each containing multiple TSVs connect layers
Shamshiri et al. Yield and cost analysis of a reliable NoC
Dang et al. A non-blocking non-degrading multiple defects link testing method for 3D-networks-on-chip
Maity et al. Built-in self-repair for manufacturing and runtime TSV defects in 3D ICs
Jung et al. Predicting Future-System Reliability with a Component-Level DRAM Fault Model
Pan et al. A framework for system reliability analysis considering both system error tolerance and component test quality
Dang et al. An on-communication multiple-TSV defects detection and localization for real-time 3D-ICs
Pasca et al. Fault tolerant communication in 3d integrated systems
Wang et al. Improving 3D DRAM fault tolerance through weak cell aware error correction
Dang et al. 2D Parity Product Code for TSV online fault correction and detection
Lee et al. ECMO: ECC Architecture Reusing Content-Addressable Memories for Obtaining High Reliability in DRAM
Dang et al. 2D-PPC: A single-correction multiple-detection method for Through-Silicon-Via Faults
Safiruddin et al. Is 3D integration the way to future dependable computing platforms?
Chessa Self-diagnosis of grid-interconnected systems, with application to self-test of VLSI wafers

Legal Events

Date Code Title Description
A80 Written request to apply exceptions to lack of novelty of invention

Free format text: JAPANESE INTERMEDIATE CODE: A80

Effective date: 20200626

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230310

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240408

R150 Certificate of patent or registration of utility model

Ref document number: 7488989

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150