JP7480728B2 - Resist material and pattern forming method - Google Patents

Resist material and pattern forming method Download PDF

Info

Publication number
JP7480728B2
JP7480728B2 JP2021041923A JP2021041923A JP7480728B2 JP 7480728 B2 JP7480728 B2 JP 7480728B2 JP 2021041923 A JP2021041923 A JP 2021041923A JP 2021041923 A JP2021041923 A JP 2021041923A JP 7480728 B2 JP7480728 B2 JP 7480728B2
Authority
JP
Japan
Prior art keywords
group
carbon atoms
atom
bond
resist material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021041923A
Other languages
Japanese (ja)
Other versions
JP2022029411A (en
Inventor
潤 畠山
朝美 渡邊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to US17/381,405 priority Critical patent/US12001139B2/en
Priority to TW110128299A priority patent/TWI785709B/en
Priority to KR1020210101477A priority patent/KR102588477B1/en
Publication of JP2022029411A publication Critical patent/JP2022029411A/en
Application granted granted Critical
Publication of JP7480728B2 publication Critical patent/JP7480728B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Description

本発明は、レジスト材料及びパターン形成方法に関する。 The present invention relates to a resist material and a pattern formation method.

LSIの高集積化と高速度化に伴い、パターンルールの微細化が急速に進んでいる。特に、スマートフォンの普及によるロジックメモリー市場の拡大が微細化を牽引している。最先端の微細化技術としては、ArF液浸リソグラフィーのダブルパターニングによる10nmノードのデバイスの量産が行われており、次世代には同じくダブルパターニングによる7nmノードの量産準備が進行中である。次次世代の5nmノードとしては、極端紫外線(EUV)リソグラフィーが候補に挙がっている。 As LSIs become more highly integrated and faster, pattern rules are becoming finer at a rapid pace. In particular, the expansion of the logic memory market due to the spread of smartphones is driving miniaturization. The most advanced miniaturization technology is the mass production of 10 nm node devices using double patterning with ArF immersion lithography, and preparations are underway for mass production of 7 nm node devices using double patterning for the next generation. Extreme ultraviolet (EUV) lithography is being considered as a candidate for the next-generation 5 nm node.

ロジックデバイスにおいて微細化が進行する一方で、フラッシュメモリーにおいては3D-NANDと呼ばれるゲートが積層されたデバイスとなって、積層数が増えることによって容量が増大している。積層数が増えると、これを加工するためのハードマスクが厚くなり、フォトレジスト膜も厚くなっている。ロジックデバイス向けレジスト膜は薄膜化し、3D-NAND向けレジスト膜は厚膜化している。 While logic devices are becoming more miniaturized, flash memory devices have become devices with stacked gates known as 3D-NAND, and the capacity is increasing as the number of layers increases. As the number of layers increases, the hard masks used to process them become thicker, and the photoresist films also become thicker. Resist films for logic devices are becoming thinner, while resist films for 3D-NAND are becoming thicker.

微細化が進行し、光の回折限界に近づくにつれて、光のコントラストが低下してくる。光のコントラストの低下によって、ポジ型レジスト膜においてはホールパターンやトレンチパターンの解像性や、フォーカスマージンの低下が生じる。レジスト膜の厚膜化は、以前の旧世代デバイス用のレジスト膜の膜厚に戻るわけであるが、より一層の寸法均一性(CDU)が要求されており、以前のフォトレジスト膜では対応できない。寸法が小さくなることによる光のコントラスト低下によるレジストパターンの解像性低下を防ぐため、あるいはレジスト膜の厚膜化においてCDUを向上させるため、レジスト膜の溶解コントラストを向上させる試みが行われている。 As miniaturization progresses and approaches the diffraction limit of light, the contrast of light decreases. This decrease in contrast of light causes a decrease in the resolution of hole and trench patterns and a decrease in focus margin in positive resist films. Thickening the resist film would restore the film thickness of the resist film for previous generation devices, but a higher degree of dimensional uniformity (CDU) is required, which cannot be met by previous photoresist films. In order to prevent a decrease in the resolution of the resist pattern due to the decrease in contrast of light caused by smaller dimensions, or to improve the CDU when thickening the resist film, attempts are being made to improve the dissolution contrast of the resist film.

酸発生剤を添加し、光あるいは電子線(EB)の照射によって酸を発生させて、酸による脱保護反応を起こす化学増幅ポジ型レジスト材料及び酸による極性変化反応又は架橋反応を起こす化学増幅ネガ型レジスト材料にとって、酸の未露光部分への拡散を制御してコントラストを向上させる目的でのクエンチャーの添加は、非常に効果的であった。そのため、多くのアミンクエンチャーが提案された(特許文献1、2)。 For chemically amplified positive resist materials, which contain an acid generator and generate acid by irradiation with light or an electron beam (EB) to cause an acid-induced deprotection reaction, and for chemically amplified negative resist materials, which cause an acid-induced polarity change reaction or crosslinking reaction, the addition of a quencher to control the diffusion of acid into unexposed areas and improve contrast has been extremely effective. For this reason, many amine quenchers have been proposed (Patent Documents 1 and 2).

酸触媒による極性変化を伴うアミンクエンチャーが提案されている。特許文献3には、酸不安定基を有するアミンクエンチャーが提案されている。これは、窒素原子側にカルボニル基が配置された第3級エステルの酸による脱保護反応によってカルボン酸が発生し、アルカリ溶解性が向上するものである。しかしこの場合、窒素原子側の分子量が大きくできないため酸拡散制御能は低いし、コントラストの向上効果はわずかである。特許文献4には、酸によるtert-ブトキシカルボニル基の脱保護反応によってアミノ基が発生するクエンチャーが提案されている。これは、露光によってクエンチャーが発生する機構であり、コントラストを高めるのとは逆効果である。露光又は酸によって、クエンチャーが消失する機構又はクエンチ能が低下する機構によってコントラストは向上する。特許文献5には、酸によってアミン化合物が環を形成してラクタム構造になるクエンチャーが提案されている。強塩基のアミン化合物が弱塩基のラクタム化合物に変化することによって、酸の活性度が変化してコントラストが向上するものである。 Amine quenchers with polarity change due to acid catalyst have been proposed. Patent Document 3 proposes an amine quencher with an acid labile group. In this case, a tertiary ester with a carbonyl group on the nitrogen atom side is deprotected by an acid to generate a carboxylic acid, which improves alkali solubility. However, in this case, the molecular weight on the nitrogen atom side cannot be increased, so the acid diffusion control ability is low and the effect of improving contrast is slight. Patent Document 4 proposes a quencher in which an amino group is generated by a deprotection reaction of a tert-butoxycarbonyl group by an acid. This is a mechanism in which a quencher is generated by exposure to light, which has the opposite effect to increasing contrast. The contrast is improved by a mechanism in which the quencher disappears or the quenching ability is reduced by exposure to light or acid. Patent Document 5 proposes a quencher in which an amine compound forms a ring with an acid to form a lactam structure. The activity of the acid changes as a strong base amine compound changes to a weak base lactam compound, improving contrast.

ArFレジスト材料用の(メタ)アクリレートポリマーに用いられる酸不安定基は、α位がフッ素原子で置換されたスルホン酸を発生する光酸発生剤を使うことによって脱保護反応が進行するが、α位がフッ素原子で置換されていないスルホン酸又はカルボン酸を発生する酸発生剤では脱保護反応が進行しない。α位がフッ素原子で置換されたスルホン酸を発生するスルホニウム塩又はヨードニウム塩に、α位がフッ素原子で置換されていないスルホン酸を発生するスルホニウム塩又はヨードニウム塩を混合すると、α位がフッ素原子で置換されていないスルホン酸を発生するスルホニウム塩又はヨードニウム塩は、α位がフッ素原子で置換されたスルホン酸とイオン交換を起こす。光によって発生したα位がフッ素原子で置換されたスルホン酸は、イオン交換によってスルホニウム塩又はヨードニウム塩に逆戻りするため、α位がフッ素原子で置換されていないスルホン酸又はカルボン酸のスルホニウム塩又はヨードニウム塩はクエンチャーとして機能する。カルボン酸を発生するスルホニウム塩又はヨードニウム塩をクエンチャーとして用いるレジスト材料が提案されている(特許文献6)。 The acid labile groups used in (meth)acrylate polymers for ArF resist materials undergo deprotection reaction using a photoacid generator that generates sulfonic acid substituted with a fluorine atom at the α-position, but the deprotection reaction does not proceed with an acid generator that generates sulfonic acid or carboxylic acid not substituted with a fluorine atom at the α-position. When a sulfonium salt or iodonium salt that generates a sulfonic acid not substituted with a fluorine atom at the α-position is mixed with a sulfonium salt or iodonium salt that generates a sulfonic acid not substituted with a fluorine atom at the α-position, the sulfonium salt or iodonium salt that generates a sulfonic acid not substituted with a fluorine atom at the α-position undergoes ion exchange with the sulfonic acid not substituted with a fluorine atom at the α-position. The sulfonic acid substituted with a fluorine atom at the α-position generated by light is reverted to a sulfonium salt or iodonium salt by ion exchange, so the sulfonium salt or iodonium salt of the sulfonic acid or carboxylic acid not substituted with a fluorine atom at the α-position functions as a quencher. A resist material has been proposed that uses a sulfonium salt or an iodonium salt that generates a carboxylic acid as a quencher (Patent Document 6).

スルホニウム塩型のクエンチャー及びヨードニウム塩型のクエンチャーは、光酸発生剤と同様に光分解性である。つまり、露光部分は、クエンチャーの量が少なくなる。露光部分には酸が発生するので、クエンチャーの量が減ると、相対的に酸の濃度が高くなり、これによってコントラストが向上する。しかしながら、露光部分の酸拡散を抑えることができないため、酸拡散制御が困難になる。 Sulfonium salt-type quenchers and iodonium salt-type quenchers are photodegradable, just like photoacid generators. In other words, the amount of quencher is reduced in the exposed areas. Since acid is generated in the exposed areas, when the amount of quencher is reduced, the acid concentration becomes relatively higher, which improves contrast. However, acid diffusion in the exposed areas cannot be suppressed, making it difficult to control acid diffusion.

スルホニウム塩型のクエンチャー及びヨードニウム塩型のクエンチャーは、波長193nmの光を吸収するため、スルホニウム塩型又はヨードニウム塩型の酸発生剤と併用するとレジスト膜の前記光の透過率が低下する。これによって、特に膜厚が100nm以上のレジスト膜においては、現像後のパターンの断面形状がテーパー形状となる。膜厚が100nm以上、特に150nm以上のレジスト膜においては、高透明なクエンチャーが必要である。 Sulfonium salt type quenchers and iodonium salt type quenchers absorb light with a wavelength of 193 nm, so when used in combination with a sulfonium salt type or iodonium salt type acid generator, the transmittance of the light through the resist film decreases. As a result, the cross-sectional shape of the pattern after development becomes tapered, especially in resist films with a thickness of 100 nm or more. A highly transparent quencher is required for resist films with a thickness of 100 nm or more, especially 150 nm or more.

酸の拡散を抑えるため、露光後のベーク(PEB)温度を下げることは効果的である。しかしながら、この場合、溶解コントラストが低下するため、これによる解像性やエッジラフネス(LWR)の劣化が発生する。酸の拡散が抑えられ、かつ高いコントラストを発現する新しいコンセプトのレジスト材料が求められている。 In order to suppress the diffusion of acid, it is effective to lower the post-exposure bake (PEB) temperature. However, in this case, the dissolution contrast decreases, which causes degradation of the resolution and line edge roughness (LWR). There is a demand for a new concept resist material that suppresses the diffusion of acid and exhibits high contrast.

特開2001-194776号公報JP 2001-194776 A 特開2007-108451号公報JP 2007-108451 A 特開2002-363148号公報JP 2002-363148 A 特開2001-166476号公報JP 2001-166476 A 特開2012-137729号公報JP 2012-137729 A 国際公開第2008/066011号International Publication No. 2008/066011

酸を触媒とする化学増幅レジスト材料において、ラインパターンのLWRやホールパターンのCDUを低減させることが可能で、かつ感度も向上させることができるクエンチャーの開発が望まれている。これには、酸の拡散距離を一段と小さくして、同時にコントラストを向上させる必要があり、相反する特性の両方を向上させる必要がある。 In chemically amplified resist materials that use acid as a catalyst, there is a need to develop a quencher that can reduce the LWR of line patterns and the CDU of hole patterns while also improving sensitivity. This requires further reducing the diffusion distance of the acid and simultaneously improving the contrast, which are two opposing properties.

本発明は、前記事情に鑑みなされたもので、ポジ型であってもネガ型であっても、高感度であり、LWRやCDUが小さいレジスト材料、及びこれを用いるパターン形成方法を提供することを目的とする。 The present invention has been made in consideration of the above circumstances, and aims to provide a resist material that is highly sensitive and has small LWR and CDU, whether positive or negative, and a pattern formation method using the same.

本発明者らは、前記目的を達成するため鋭意検討を重ねた結果、フッ素原子で置換された飽和ヒドロカルビル基又はアリール基を有する環式アンモニウム塩(以下、フッ素原子含有環式アンモニウム塩化合物ともいう。)をクエンチャーとして用いることによって、LWR及びCDUが小さく、コントラストが高く、解像性に優れ、プロセスマージンが広いレジスト材料を得ることができることを見出し、本発明を完成させた。 As a result of extensive research into achieving the above object, the inventors discovered that by using a cyclic ammonium salt having a saturated hydrocarbyl group or aryl group substituted with a fluorine atom (hereinafter also referred to as a fluorine atom-containing cyclic ammonium salt compound) as a quencher, it is possible to obtain a resist material with small LWR and CDU, high contrast, excellent resolution, and a wide process margin, and thus completed the present invention.

すなわち、本発明は、下記レジスト材料及びパターン形成方法を提供する。
1.ベースポリマー、及び下記式(A)で表される塩化合物を含むクエンチャーを含むレジスト材料。

Figure 0007480728000001
(式中、環Rは、式中の窒素原子と共に形成される炭素数2~12の脂環基であり、該環の中に、エーテル結合、チオエーテル結合、カルボニル基、-N(R')-又はスルホニル基を含んでいてもよい。R'は、水素原子、炭素数1~6の飽和ヒドロカルビル基又は-L3-R3である。
1、L2及びL3は、それぞれ独立に、単結合、エステル結合、スルホニル基又は炭素数1~6のアルカンジイル基であり、該アルカンジイル基の水素原子の一部がヒドロキシ基、フッ素原子で置換されていてもよい炭素数1~12のヒドロカルビルオキシ基、又はフッ素原子で置換されていてもよい炭素数2~12のヒドロカルビルカルボニルオキシ基で置換されていてもよく、該アルカンジイル基を構成するメチレン基の一部がエーテル結合、エステル結合、アミド結合、スルホニル基、スルホン酸エステル結合又はスルホンアミド結合で置換されていてもよい。ただし、L1、L2及びL3は、第3級エステル構造は含まない。
1、R2及びR3は、それぞれ独立に、水素原子、炭素数1~16の飽和ヒドロカルビル基、炭素数6~10のアリール基、少なくとも3つのフッ素原子で置換された炭素数1~16の飽和ヒドロカルビル基、少なくとも3つのフッ素原子で置換された炭素数6~10のアリール基、又はこれらを組み合わせて得られる基であり、R1及びR2の一方又は両方は、少なくとも3つのフッ素原子を有する。
-は、カルボン酸アニオン、スルホンアミドアニオン、ハロゲン化フェノキシドアニオン又はハロゲン化物アニオンである。)
2.X-が、ヨウ素原子若しくは臭素原子を含むカルボン酸アニオン、ヨウ素原子若しくは臭素原子を含むスルホンアミドアニオン、又はヨウ素原子若しくは臭素原子を含むフェノキシドアニオンである1のレジスト材料。
3.更に、スルホン酸、イミド酸又はメチド酸を発生する酸発生剤を含む1又は2のレジスト材料。
4.更に、有機溶剤を含む1~3のいずれかのレジスト材料。
5.前記ベースポリマーが、下記式(a1)で表される繰り返し単位又は下記式(a2)で表される繰り返し単位を含むものである1~4のいずれかのレジスト材料。
Figure 0007480728000002
(式中、RAは、それぞれ独立に、水素原子又はメチル基である。
1は、単結合、フェニレン基若しくはナフチレン基、又はエステル結合若しくはラクトン環から選ばれる少なくとも1種を含む炭素数1~12の連結基である。
2は、単結合又はエステル結合である。
3は、単結合、エーテル結合又はエステル結合である。
11及びR12は、それぞれ独立に、酸不安定基である。
13は、フッ素原子、トリフルオロメチル基、シアノ基又は炭素数1~6の飽和ヒドロカルビル基である。
14は、単結合又は炭素数1~6のアルカンジイル基であり、その炭素原子の一部がエーテル結合又はエステル結合で置換されていてもよい。
aは、1又は2である。bは、0~4の整数である。ただし、1≦a+b≦5である。)
6.化学増幅ポジ型レジスト材料である5のレジスト材料。
7.前記ベースポリマーが、酸不安定基を含まないものである1~4のいずれかのレジスト材料。
8.化学増幅ネガ型レジスト材料である7のレジスト材料。
9.更に、界面活性剤を含む1~8のいずれかのレジスト材料。
10.前記ベースポリマーが、更に、下記式(f1)~(f3)で表される繰り返し単位から選ばれる少なくとも1種を含む1~9のいずれかのレジスト材料。
Figure 0007480728000003
(式中、RAは、それぞれ独立に、水素原子又はメチル基である。
1は、単結合、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、ナフチレン基若しくはこれらを組み合わせて得られる炭素数7~18の基、又は-O-Z11-、-C(=O)-O-Z11-若しくは-C(=O)-NH-Z11-である。Z11は、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、ナフチレン基又はこれらを組み合わせて得られる炭素数7~18の基であり、カルボニル基、エステル結合、エーテル結合又はヒドロキシ基を含んでいてもよい。
2は、単結合又はエステル結合である。
3は、単結合、-Z31-C(=O)-O-、-Z31-O-又は-Z31-O-C(=O)-である。Z31は、炭素数1~12のヒドロカルビレン基、フェニレン基又はこれらを組み合わせて得られる炭素数7~18の基であり、カルボニル基、エステル結合、エーテル結合、ヨウ素原子又は臭素原子を含んでいてもよい。
4は、メチレン基、2,2,2-トリフルオロ-1,1-エタンジイル基又はカルボニル基である。
5は、単結合、メチレン基、エチレン基、フェニレン基、フッ素化フェニレン基、トリフルオロメチル基で置換されたフェニレン基、-O-Z51-、-C(=O)-O-Z51-又は-C(=O)-NH-Z51-である。Z51は、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、フッ素化フェニレン基又はトリフルオロメチル基で置換されたフェニレン基であり、カルボニル基、エステル結合、エーテル結合又はヒドロキシ基を含んでいてもよい。
21~R28は、それぞれ独立に、ハロゲン原子、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビル基である。また、R23とR24と又はR26とR27とが、互いに結合してこれらが結合する硫黄原子と共に環を形成していてもよい。
-は、非求核性対向イオンである。)
11.1~10のいずれかのレジスト材料を用いて基板上にレジスト膜を形成する工程と、前記レジスト膜を高エネルギー線で露光する工程と、前記露光したレジスト膜を、現像液を用いて現像する工程とを含むパターン形成方法。
12.前記高エネルギー線が、波長365nmのi線、波長193nmのArFエキシマレーザー光又は波長248nmのKrFエキシマレーザー光である11のパターン形成方法。
13.前記高エネルギー線が、EB又は波長3~15nmのEUVである11のパターン形成方法。
14.下記式(A')で表される塩化合物
Figure 0007480728000004
(式中、R、L1、L2、R1及びR2は、前記と同じ。
4は、単結合又はカルボニル基である。
5は、水素原子、ヒドロキシ基、ハロゲン原子で置換されていてもよい炭素数1~6の飽和ヒドロカルビル基、ハロゲン原子で置換されていてもよい炭素数1~6の飽和ヒドロカルビルオキシ基、ハロゲン原子で置換されていてもよい炭素数2~7の飽和ヒドロカルビルカルボニルオキシ基、ハロゲン原子で置換されていてもよい炭素数1~4の飽和ヒドロカルビルスルホニルオキシ基、フッ素原子、塩素原子、臭素原子、アミノ基、ニトロ基、シアノ基、-N(R51)-C(=O)-R52又は-N(R51)-C(=O)-O-R52である。R51は、水素原子又は炭素数1~6の飽和ヒドロカルビル基である。R52は、炭素数1~6の飽和ヒドロカルビル基又は炭素数2~8の不飽和脂肪族ヒドロカルビル基である。
s及びtは、1≦s≦5、0≦t≦3及び1≦s+t≦5を満たす整数である。) That is, the present invention provides the following resist material and pattern forming method.
1. A resist material comprising a base polymer and a quencher comprising a salt compound represented by the following formula (A):
Figure 0007480728000001
(In the formula, ring R is an alicyclic group having 2 to 12 carbon atoms formed together with the nitrogen atom in the formula, and the ring may contain an ether bond, a thioether bond, a carbonyl group, -N(R')- or a sulfonyl group. R' is a hydrogen atom, a saturated hydrocarbyl group having 1 to 6 carbon atoms or -L3 - R3 .
L 1 , L 2 and L 3 are each independently a single bond, an ester bond, a sulfonyl group or an alkanediyl group having 1 to 6 carbon atoms, some of the hydrogen atoms of the alkanediyl group may be substituted with a hydroxy group, a hydrocarbyloxy group having 1 to 12 carbon atoms which may be substituted with a fluorine atom, or a hydrocarbylcarbonyloxy group having 2 to 12 carbon atoms which may be substituted with a fluorine atom, and some of the methylene groups constituting the alkanediyl group may be substituted with an ether bond, an ester bond, an amide bond, a sulfonyl group, a sulfonate ester bond or a sulfonamide bond, provided that L 1 , L 2 and L 3 do not include a tertiary ester structure.
R 1 , R 2 and R 3 each independently represent a hydrogen atom, a saturated hydrocarbyl group having 1 to 16 carbon atoms, an aryl group having 6 to 10 carbon atoms, a saturated hydrocarbyl group having 1 to 16 carbon atoms and substituted with at least three fluorine atoms, an aryl group having 6 to 10 carbon atoms and substituted with at least three fluorine atoms, or a group obtained by combining these, and one or both of R 1 and R 2 have at least three fluorine atoms.
X is a carboxylate anion, a sulfonamide anion, a halogenated phenoxide anion, or a halide anion.
2. The resist material of 1, wherein X is a carboxylate anion containing an iodine atom or a bromine atom, a sulfonamide anion containing an iodine atom or a bromine atom, or a phenoxide anion containing an iodine atom or a bromine atom.
3. The resist material according to 1 or 2, further comprising an acid generator that generates a sulfonic acid, an imide acid or a methide acid.
4. The resist material according to any one of 1 to 3, further comprising an organic solvent.
5. The resist material according to any one of 1 to 4, wherein the base polymer contains a repeating unit represented by the following formula (a1) or a repeating unit represented by the following formula (a2):
Figure 0007480728000002
(In the formula, each R A is independently a hydrogen atom or a methyl group.
Y 1 is a linking group having 1 to 12 carbon atoms and containing at least one selected from a single bond, a phenylene group, a naphthylene group, an ester bond, and a lactone ring.
Y2 is a single bond or an ester bond.
Y3 is a single bond, an ether bond or an ester bond.
R 11 and R 12 are each independently an acid labile group.
R 13 is a fluorine atom, a trifluoromethyl group, a cyano group or a saturated hydrocarbyl group having 1 to 6 carbon atoms.
R 14 is a single bond or an alkanediyl group having 1 to 6 carbon atoms, some of the carbon atoms of which may be substituted with ether bonds or ester bonds.
a is 1 or 2. b is an integer from 0 to 4, provided that 1≦a+b≦5.
6. The resist material of 5, which is a chemically amplified positive resist material.
7. The resist material according to any one of 1 to 4, wherein the base polymer does not contain an acid labile group.
8. The resist material of 7, which is a chemically amplified negative resist material.
9. The resist material according to any one of 1 to 8, further comprising a surfactant.
10. The resist material of any one of 1 to 9, wherein the base polymer further contains at least one repeating unit selected from those represented by the following formulas (f1) to (f3):
Figure 0007480728000003
(In the formula, each R A is independently a hydrogen atom or a methyl group.
Z 1 is a single bond, an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a naphthylene group, or a group having 7 to 18 carbon atoms obtained by combining these, or -O-Z 11 -, -C(═O)-O-Z 11 -, or -C(═O)-NH-Z 11 -. Z 11 is an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a naphthylene group, or a group having 7 to 18 carbon atoms obtained by combining these, and may contain a carbonyl group, an ester bond, an ether bond, or a hydroxy group.
Z2 is a single bond or an ester bond.
Z 31 is a single bond, -Z 31 -C(═O)-O-, -Z 31 -O-, or -Z 31 -O-C(═O)-. Z 31 is a hydrocarbylene group having 1 to 12 carbon atoms, a phenylene group, or a group having 7 to 18 carbon atoms obtained by combining these, and may contain a carbonyl group, an ester bond, an ether bond, an iodine atom, or a bromine atom.
Z4 is a methylene group, a 2,2,2-trifluoro-1,1-ethanediyl group or a carbonyl group.
Z5 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, a phenylene group substituted with a trifluoromethyl group, -O- Z51- , -C(=O)-O- Z51- or -C(=O)-NH- Z51- . Z51 is an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a fluorinated phenylene group or a phenylene group substituted with a trifluoromethyl group, and may contain a carbonyl group, an ester bond, an ether bond or a hydroxy group.
R 21 to R 28 are each independently a halogen atom or a hydrocarbyl group having 1 to 20 carbon atoms which may contain a heteroatom. R 23 and R 24 , or R 26 and R 27 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded.
M is a non-nucleophilic counter ion.
11. A pattern forming method comprising the steps of forming a resist film on a substrate using a resist material according to any one of claims 1 to 10, exposing the resist film to high-energy radiation, and developing the exposed resist film using a developer.
12. The pattern formation method according to 11, wherein the high-energy radiation is i-rays having a wavelength of 365 nm, ArF excimer laser light having a wavelength of 193 nm, or KrF excimer laser light having a wavelength of 248 nm.
13. The pattern formation method according to 11, wherein the high energy radiation is EB or EUV having a wavelength of 3 to 15 nm.
14. A salt compound represented by the following formula (A'):
Figure 0007480728000004
(In the formula, R, L 1 , L 2 , R 1 and R 2 are the same as defined above.
R4 is a single bond or a carbonyl group.
R 5 is a hydrogen atom, a hydroxy group, a saturated hydrocarbyl group having 1 to 6 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbyloxy group having 1 to 6 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbylcarbonyloxy group having 2 to 7 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbylsulfonyloxy group having 1 to 4 carbon atoms which may be substituted with a halogen atom, a fluorine atom, a chlorine atom, a bromine atom, an amino group, a nitro group, a cyano group, -N(R 51 )-C(═O)-R 52 , or -N(R 51 )-C(═O)-O-R 52. R 51 is a hydrogen atom or a saturated hydrocarbyl group having 1 to 6 carbon atoms. R 52 is a saturated hydrocarbyl group having 1 to 6 carbon atoms or an unsaturated aliphatic hydrocarbyl group having 2 to 8 carbon atoms.
s and t are integers satisfying 1≦s≦5, 0≦t≦3, and 1≦s+t≦5.

前記フッ素原子含有環式アンモニウム塩化合物は、酸拡散を抑えるクエンチャーである。前記フッ素原子含有環式アンモニウム塩化合物は、フッ素原子で置換された飽和ヒドロカルビル基やフッ素原子で置換されたアリール基を有しているので、フッ素原子の電気的な反発によりクエンチャー同士が凝集することがなく、レジスト膜内で均一に分散する。さらに、アニオンがヨウ素原子又は臭素原子を含む場合、露光光の吸収が大きいため感度が向上し、かつ低酸拡散な特性となり、LWRやCDUを小さくすることが可能である。これらによって、高感度であり、LWRかつCDUが改善されたレジスト材料を構築することが可能となる。 The fluorine-containing cyclic ammonium salt compound is a quencher that suppresses acid diffusion. The fluorine-containing cyclic ammonium salt compound has a saturated hydrocarbyl group substituted with a fluorine atom or an aryl group substituted with a fluorine atom, so that the quenchers do not aggregate due to the electrical repulsion of the fluorine atoms, and are uniformly dispersed in the resist film. Furthermore, when the anion contains an iodine atom or a bromine atom, the absorption of the exposure light is large, so that the sensitivity is improved and the acid diffusion characteristics are low, making it possible to reduce the LWR and CDU. These make it possible to construct a resist material that is highly sensitive and has improved LWR and CDU.

合成例1-1で得られたクエンチャーQ-1の1H-NMRスペクトルである。1 is a 1 H-NMR spectrum of the quencher Q-1 obtained in Synthesis Example 1-1.

[レジスト材料]
本発明のレジスト材料は、ベースポリマー、及びフッ素原子含有環式アンモニウム塩化合物を含むクエンチャーを含む。
[Resist Material]
The resist material of the present invention comprises a base polymer and a quencher comprising a fluorine atom-containing cyclic ammonium salt compound.

[フッ素原子含有環式アンモニウム塩化合物]
前記フッ素原子含有環式アンモニウム塩化合物は、下記式(A)で表されるものである。

Figure 0007480728000005
[Fluorine atom-containing cyclic ammonium salt compound]
The fluorine atom-containing cyclic ammonium salt compound is represented by the following formula (A).
Figure 0007480728000005

式(A)中、環Rは、式中の窒素原子と共に形成される炭素数2~12の脂環基であり、該環の中に、エーテル結合、チオエーテル結合、カルボニル基、-N(R')-又はスルホニル基を含んでいてもよい。R'は、水素原子、炭素数1~6の飽和ヒドロカルビル基又は-L3-R3である。前記炭素数1~6の飽和ヒドロカルビル基としては、後述するR1~R3で表される炭素数1~16の飽和ヒドロカルビル基として例示するもののうち、炭素数が1~6のものが挙げられる。 In formula (A), ring R is an alicyclic group having 2 to 12 carbon atoms formed together with the nitrogen atom in the formula, and the ring may contain an ether bond, a thioether bond, a carbonyl group, -N(R')- or a sulfonyl group. R' is a hydrogen atom, a saturated hydrocarbyl group having 1 to 6 carbon atoms, or -L 3 -R 3. Examples of the saturated hydrocarbyl group having 1 to 6 carbon atoms include those having 1 to 6 carbon atoms among the examples of saturated hydrocarbyl groups having 1 to 16 carbon atoms represented by R 1 to R 3 described below.

前記脂環基としては、炭素数3~13の環式飽和炭化水素の炭素原子の1つが窒素原子で置換された構造のものが挙げられ、その他の炭素原子の一部がエーテル結合、チオエーテル結合、カルボニル基、-N(R')-又はスルホニル基で置換されていてもよい。前記環式飽和炭化水素としては、シクロプロパン、シクロブタン、シクロペンタン、シクロヘキサン、ノルボルナン、アダマンタン等が挙げられる。 The alicyclic group may be a structure in which one carbon atom of a cyclic saturated hydrocarbon having 3 to 13 carbon atoms is replaced with a nitrogen atom, and some of the other carbon atoms may be replaced with an ether bond, a thioether bond, a carbonyl group, -N(R')-, or a sulfonyl group. Examples of the cyclic saturated hydrocarbon include cyclopropane, cyclobutane, cyclopentane, cyclohexane, norbornane, and adamantane.

式(A)中、L1、L2及びL3は、それぞれ独立に、単結合、エステル結合、スルホニル基又は炭素数1~6のアルカンジイル基であり、該アルカンジイル基の水素原子の一部がヒドロキシ基、フッ素原子で置換されていてもよい炭素数1~12のヒドロカルビルオキシ基、又はフッ素原子で置換されていてもよい炭素数2~12のヒドロカルビルカルボニルオキシ基で置換されていてもよく、該アルカンジイル基を構成するメチレン基の一部がエーテル結合、エステル結合、アミド結合、スルホニル基、スルホン酸エステル結合又はスルホンアミド結合で置換されていてもよい。ただし、L1、L2及びL3は、第3級エステル構造は含まない。 In formula (A), L 1 , L 2 and L 3 are each independently a single bond, an ester bond, a sulfonyl group or an alkanediyl group having 1 to 6 carbon atoms, some of the hydrogen atoms of the alkanediyl group may be substituted with a hydroxy group, a hydrocarbyloxy group having 1 to 12 carbon atoms which may be substituted with a fluorine atom, or a hydrocarbylcarbonyloxy group having 2 to 12 carbon atoms which may be substituted with a fluorine atom, and some of the methylene groups constituting the alkanediyl group may be substituted with an ether bond, an ester bond, an amide bond, a sulfonyl group, a sulfonate ester bond or a sulfonamide bond, provided that L 1 , L 2 and L 3 do not include a tertiary ester structure.

1、L2及びL3で表される炭素数1~6のアルカンジイル基としては、メタンジイル基、エタン-1,1-ジイル基、エタン-1,2-ジイル基、プロパン-1,2-ジイル基、プロパン-1,3-ジイル基、ブタン-1,4-ジイル基、ペンタン-1,5-ジイル基、ヘキサン-1,6-ジイル基等が挙げられる。 Examples of the alkanediyl group having 1 to 6 carbon atoms represented by L 1 , L 2 , and L 3 include a methanediyl group, an ethane-1,1-diyl group, an ethane-1,2-diyl group, a propane-1,2-diyl group, a propane-1,3-diyl group, a butane-1,4-diyl group, a pentane-1,5-diyl group, and a hexane-1,6-diyl group.

前記フッ素原子で置換されていてもよい炭素数1~12のヒドロカルビルオキシ基及びフッ素原子で置換されていてもよい炭素数2~12のヒドロカルビルカルボニルオキシ基のヒドロカルビル部は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。前記ヒドロカルビル部の具体例としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、sec-ブチル基、tert-ブチル基、n-ペンチル基、n-ヘキシル基、n-オクチル基、n-ノニル基、n-デシル基、ウンデシル基、ドデシル基等の炭素数1~12のアルキル基;シクロプロピル基、シクロペンチル基、シクロヘキシル基、シクロプロピルメチル基、4-メチルシクロヘキシル基、シクロヘキシルメチル基、ノルボルニル基、アダマンチル基等の炭素数3~12の環式飽和ヒドロカルビル基;ビニル基、プロペニル基、ブテニル基、ペンテニル基、ヘキセニル基等の炭素数2~12のアルケニル基;フェニル基、メチルフェニル基、ジメチルフェニル基、エチルフェニル基、ジエチルフェニル基、n-プロピルフェニル基、イソプロピルフェニル基、ナフチル基等の炭素数6~12のアリール基;ベンジル基、フェネチル基等の炭素数7~12のアラルキル基;これらを組み合わせて得られる基等が挙げられる。 The hydrocarbyl moiety of the hydrocarbyloxy group having 1 to 12 carbon atoms, which may be substituted with a fluorine atom, and the hydrocarbylcarbonyloxy group having 2 to 12 carbon atoms, which may be substituted with a fluorine atom, may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples of the hydrocarbyl moiety include alkyl groups having 1 to 12 carbon atoms, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, and dodecyl; cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and a Examples include cyclic saturated hydrocarbyl groups having 3 to 12 carbon atoms, such as a damantyl group; alkenyl groups having 2 to 12 carbon atoms, such as a vinyl group, a propenyl group, a butenyl group, a pentenyl group, and a hexenyl group; aryl groups having 6 to 12 carbon atoms, such as a phenyl group, a methylphenyl group, a dimethylphenyl group, an ethylphenyl group, a diethylphenyl group, an n-propylphenyl group, an isopropylphenyl group, and a naphthyl group; aralkyl groups having 7 to 12 carbon atoms, such as a benzyl group and a phenethyl group; and groups obtained by combining these groups.

式(A)中、R1、R2及びR3は、それぞれ独立に、水素原子、炭素数1~16の飽和ヒドロカルビル基、炭素数6~10のアリール基、少なくとも3つのフッ素原子で置換された炭素数1~16の飽和ヒドロカルビル基、少なくとも3つのフッ素原子で置換された炭素数6~10のアリール基、又はこれらを組み合わせて得られる基であり、R1及びR2の一方又は両方は、少なくとも3つのフッ素原子を有する。 In formula (A), R 1 , R 2 and R 3 each independently represent a hydrogen atom, a saturated hydrocarbyl group having 1 to 16 carbon atoms, an aryl group having 6 to 10 carbon atoms, a saturated hydrocarbyl group having 1 to 16 carbon atoms and substituted with at least three fluorine atoms, an aryl group having 6 to 10 carbon atoms and substituted with at least three fluorine atoms, or a group obtained by combining these, and one or both of R 1 and R 2 have at least three fluorine atoms.

1、R2及びR3で表される炭素数1~16の飽和ヒドロカルビル基は、直鎖状、分岐状、環状のいずれでもよく、その具体例としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、sec-ブチル基、tert-ブチル基、n-ペンチル基、n-ヘキシル基、n-オクチル基、n-ノニル基、n-デシル基、ウンデシル基、ドデシル基、トリデシル基、テトラデシル基、ペンタデシル基等の炭素数1~16のアルキル基;シクロプロピル基、シクロペンチル基、シクロヘキシル基、シクロプロピルメチル基、4-メチルシクロヘキシル基、シクロヘキシルメチル基、ノルボルニル基、アダマンチル基等の炭素数3~16の環式飽和ヒドロカルビル基;これらを組み合わせて得られる基が挙げられる。前記炭素数6~10のアリール基としては、フェニル基、メチルフェニル基、ジメチルフェニル基、エチルフェニル基、ジエチルフェニル基、n-プロピルフェニル基、イソプロピルフェニル基、ナフチル基等が挙げられる。 The saturated hydrocarbyl group having 1 to 16 carbon atoms represented by R 1 , R 2 , and R 3 may be linear, branched, or cyclic, and specific examples thereof include alkyl groups having 1 to 16 carbon atoms, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, and pentadecyl groups; cyclic saturated hydrocarbyl groups having 3 to 16 carbon atoms, such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl groups; and groups obtained by combining these. Examples of the aryl group having 6 to 10 carbon atoms include a phenyl group, a methylphenyl group, a dimethylphenyl group, an ethylphenyl group, a diethylphenyl group, an n-propylphenyl group, an isopropylphenyl group, and a naphthyl group.

式(A)で表される塩化合物のカチオンとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000006
Examples of the cation of the salt compound represented by formula (A) include, but are not limited to, those shown below.
Figure 0007480728000006

Figure 0007480728000007
Figure 0007480728000007

Figure 0007480728000008
Figure 0007480728000008

Figure 0007480728000009
Figure 0007480728000009

Figure 0007480728000010
Figure 0007480728000010

Figure 0007480728000011
Figure 0007480728000011

Figure 0007480728000012
Figure 0007480728000012

Figure 0007480728000013
Figure 0007480728000013

Figure 0007480728000014
Figure 0007480728000014

Figure 0007480728000015
Figure 0007480728000015

Figure 0007480728000016
Figure 0007480728000016

Figure 0007480728000017
Figure 0007480728000017

Figure 0007480728000018
Figure 0007480728000018

Figure 0007480728000019
Figure 0007480728000019

Figure 0007480728000020
Figure 0007480728000020

Figure 0007480728000021
Figure 0007480728000021

Figure 0007480728000022
Figure 0007480728000022

Figure 0007480728000023
Figure 0007480728000023

Figure 0007480728000024
Figure 0007480728000024

Figure 0007480728000025
Figure 0007480728000025

Figure 0007480728000026
Figure 0007480728000026

Figure 0007480728000027
Figure 0007480728000027

Figure 0007480728000028
Figure 0007480728000028

Figure 0007480728000029
Figure 0007480728000029

Figure 0007480728000030
Figure 0007480728000030

Figure 0007480728000031
Figure 0007480728000031

Figure 0007480728000032
Figure 0007480728000032

Figure 0007480728000033
Figure 0007480728000033

Figure 0007480728000034
Figure 0007480728000034

Figure 0007480728000035
Figure 0007480728000035

Figure 0007480728000036
Figure 0007480728000036

Figure 0007480728000037
Figure 0007480728000037

Figure 0007480728000038
Figure 0007480728000038

Figure 0007480728000039
Figure 0007480728000039

Figure 0007480728000040
Figure 0007480728000040

Figure 0007480728000041
Figure 0007480728000041

Figure 0007480728000042
Figure 0007480728000042

Figure 0007480728000043
Figure 0007480728000043

Figure 0007480728000044
Figure 0007480728000044

Figure 0007480728000045
Figure 0007480728000045

Figure 0007480728000046
Figure 0007480728000046

Figure 0007480728000047
Figure 0007480728000047

Figure 0007480728000048
Figure 0007480728000048

式(A)中、X-は、カルボン酸アニオン、スルホンアミドアニオン、ハロゲン化フェノキシドアニオン又はハロゲン化物アニオンである。 In formula (A), X is a carboxylate anion, a sulfonamide anion, a halogenated phenoxide anion or a halide anion.

前記カルボン酸アニオンとしては、下記式(Aa)で表されるものが好ましい。前記スルホンアミドアニオンとしては、下記式(Ab)で表されるものが好ましい。前記ハロゲン化フェノキシドアニオンとしては、下記式(Ac)で表されるものが好ましい。

Figure 0007480728000049
The carboxylate anion is preferably one represented by the following formula (Aa): The sulfonamide anion is preferably one represented by the following formula (Ab): The halogenated phenoxide anion is preferably one represented by the following formula (Ac):
Figure 0007480728000049

式(Aa)中、Ra1は、水素原子、又はヘテロ原子を含んでいてもよい炭素数1~30のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、炭素数1~30のアルキル基、炭素数3~30の環式飽和ヒドロカルビル基、炭素数2~30のアルケニル基、炭素数2~30のアルキニル基、炭素数3~30の環式不飽和脂肪族ヒドロカルビル基、炭素数6~30のアリール基、炭素数7~30のアラルキル基、これらを組み合わせて得られる基等が挙げられる。また、これらの基の水素原子の一部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子を含む基で置換されていてもよく、これらの基の炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子を含む基で置換されていてもよく、その結果、エステル結合、エーテル結合、チオエーテル結合、カルボニル基、スルホニル基、カーボネート基、カーバメート基、スルホン基、アミノ基、アミド結合、ヒドロキシ基、チオール基、ニトロ基、フッ素原子、塩素原子、臭素原子、ヨウ素原子等を含んでいてもよい。 In formula (Aa), R a1 is a hydrogen atom or a hydrocarbyl group having 1 to 30 carbon atoms which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include an alkyl group having 1 to 30 carbon atoms, a cyclic saturated hydrocarbyl group having 3 to 30 carbon atoms, an alkenyl group having 2 to 30 carbon atoms, an alkynyl group having 2 to 30 carbon atoms, a cyclic unsaturated aliphatic hydrocarbyl group having 3 to 30 carbon atoms, an aryl group having 6 to 30 carbon atoms, an aralkyl group having 7 to 30 carbon atoms, and groups obtained by combining these groups. In addition, a portion of the hydrogen atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom or a halogen atom, and a portion of the carbon atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom or a nitrogen atom, so that the group may contain an ester bond, an ether bond, a thioether bond, a carbonyl group, a sulfonyl group, a carbonate group, a carbamate group, a sulfone group, an amino group, an amide bond, a hydroxyl group, a thiol group, a nitro group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, or the like.

式(Ab)中、Rb1は、フッ素原子、炭素数1~10のヒドロカルビル基又は炭素数1~10のフッ素化ヒドロカルビル基であり、該ヒドロカルビル基又はフッ素化ヒドロカルビル基は、ヒドロキシ基、カルボキシ基、エーテル結合、エステル結合又はアミド結合を含んでいてもよい。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、炭素数1~10のアルキル基、炭素数3~10の環式飽和ヒドロカルビル基、炭素数2~10のアルケニル基、炭素数2~10のアルキニル基、炭素数3~10の環式不飽和脂肪族ヒドロカルビル基、炭素数6~10のアリール基、炭素数7~10のアラルキル基、これらを組み合わせて得られる基等が挙げられる。また、前記フッ素化ヒドロカルビル基としては、前記ヒドロカルビル基の水素原子の一部又は全部がフッ素原子で置換された基が挙げられる。 In formula (Ab), R b1 is a fluorine atom, a hydrocarbyl group having 1 to 10 carbon atoms, or a fluorinated hydrocarbyl group having 1 to 10 carbon atoms, and the hydrocarbyl group or fluorinated hydrocarbyl group may contain a hydroxy group, a carboxy group, an ether bond, an ester bond, or an amide bond. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include an alkyl group having 1 to 10 carbon atoms, a cyclic saturated hydrocarbyl group having 3 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, an alkynyl group having 2 to 10 carbon atoms, a cyclic unsaturated aliphatic hydrocarbyl group having 3 to 10 carbon atoms, an aryl group having 6 to 10 carbon atoms, an aralkyl group having 7 to 10 carbon atoms, and groups obtained by combining these groups. In addition, the fluorinated hydrocarbyl group may be a group in which some or all of the hydrogen atoms of the hydrocarbyl group are substituted with fluorine atoms.

式(Ab)中、Rb2は、水素原子、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビル基である。また、Rb1とRb2とが、互いに結合してこれらが結合する原子と共に環を形成してもよい。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、炭素数1~20のアルキル基、炭素数3~20の環式飽和ヒドロカルビル基、炭素数2~20のアルケニル基、炭素数2~20のアルキニル基、炭素数3~20の環式不飽和脂肪族ヒドロカルビル基、炭素数6~20のアリール基、炭素数7~20のアラルキル基、これらを組み合わせて得られる基等が挙げられる。また、これらの基の水素原子の一部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子を含む基で置換されていてもよく、これらの基の炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子を含む基で置換されていてもよく、その結果、エステル結合、エーテル結合、チオエーテル結合、カルボニル基、スルホニル基、カーボネート基、カーバメート基、スルホン基、アミノ基、アミド結合、ヒドロキシ基、チオール基、ニトロ基、フッ素原子、塩素原子、臭素原子、ヨウ素原子等を含んでいてもよい。 In formula (Ab), R b2 is a hydrogen atom or a hydrocarbyl group having 1 to 20 carbon atoms which may contain a heteroatom. R b1 and R b2 may be bonded to each other to form a ring together with the atom to which they are bonded. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include an alkyl group having 1 to 20 carbon atoms, a cyclic saturated hydrocarbyl group having 3 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, an alkynyl group having 2 to 20 carbon atoms, a cyclic unsaturated aliphatic hydrocarbyl group having 3 to 20 carbon atoms, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, and groups obtained by combining these groups. In addition, a portion of the hydrogen atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom or a halogen atom, and a portion of the carbon atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom or a nitrogen atom, so that the group may contain an ester bond, an ether bond, a thioether bond, a carbonyl group, a sulfonyl group, a carbonate group, a carbamate group, a sulfone group, an amino group, an amide bond, a hydroxyl group, a thiol group, a nitro group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, or the like.

式(Ac)中、Rc1は、フッ素原子、トリフルオロメチル基、1,1,1,3,3,3-ヘキサフルオロ-2-プロパノール基、塩素原子、臭素原子又はヨウ素原子である。これらのうち、Rc1としては、フッ素原子、臭素原子、ヨウ素原子が好ましい。 In formula (Ac), R c1 is a fluorine atom, a trifluoromethyl group, a 1,1,1,3,3,3-hexafluoro-2-propanol group, a chlorine atom, a bromine atom or an iodine atom. Of these, R c1 is preferably a fluorine atom, a bromine atom or an iodine atom.

式(Ac)中、Rc2は、水素原子、ヒドロキシ基、ハロゲン原子で置換されていてもよい炭素数1~6の飽和ヒドロカルビル基、ハロゲン原子で置換されていてもよい炭素数1~6の飽和ヒドロカルビルオキシ基、ハロゲン原子で置換されていてもよい炭素数2~7の飽和ヒドロカルビルカルボニルオキシ基、ハロゲン原子で置換されていてもよい炭素数1~4の飽和ヒドロカルビルスルホニルオキシ基、フッ素原子、塩素原子、臭素原子、アミノ基、ニトロ基、シアノ基、-N(Rc21)-C(=O)-Rc22又は-N(Rc21)-C(=O)-O-Rc22である。Rc21は、水素原子又は炭素数1~6の飽和ヒドロカルビル基である。Rc22は、炭素数1~6の飽和ヒドロカルビル基又は炭素数2~8の不飽和脂肪族ヒドロカルビル基である。m及びnは、1≦m≦5、0≦n≦3及び1≦m+n≦5を満たす整数である。 In formula (Ac), R c2 is a hydrogen atom, a hydroxy group, a saturated hydrocarbyl group having 1 to 6 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbyloxy group having 1 to 6 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbylcarbonyloxy group having 2 to 7 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbylsulfonyloxy group having 1 to 4 carbon atoms which may be substituted with a halogen atom, a fluorine atom, a chlorine atom, a bromine atom, an amino group, a nitro group, a cyano group, -N(R c21 )-C(═O)-R c22 , or -N(R c21 )-C(═O)-O-R c22 . R c21 is a hydrogen atom or a saturated hydrocarbyl group having 1 to 6 carbon atoms. R c22 is a saturated hydrocarbyl group having 1 to 6 carbon atoms or an unsaturated aliphatic hydrocarbyl group having 2 to 8 carbon atoms. m and n are integers which satisfy 1≦m≦5, 0≦n≦3, and 1≦m+n≦5.

c2、Rc21及びRc22で表される炭素数1~6の飽和ヒドロカルビル基は、直鎖状、分岐状、環状のいずれでもよく、その具体例としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、sec-ブチル基、tert-ブチル基、n-ペンチル基、n-ヘキシル基等の炭素数1~6のアルキル基;シクロプロピル基、シクロブチル基、シクロペンチル基、シクロヘキシル基等のシクロアルキル基;これらを組み合わせて得られる基等が挙げられる。また、炭素数1~6の飽和ヒドロカルビルオキシ基及び炭素数2~7の飽和ヒドロカルビルカルボニルオキシ基の飽和ヒドロカルビル部としては、前述した飽和ヒドロカルビル基と同様のものが挙げられる。また、炭素数1~4の飽和ヒドロカルビルスルホニルオキシ基の飽和ヒドロカルビル部としては、前述した飽和ヒドロカルビル基のうち炭素数1~4のものが挙げられる。 The saturated hydrocarbyl group having 1 to 6 carbon atoms represented by R c2 , R c21 and R c22 may be linear, branched or cyclic, and specific examples thereof include alkyl groups having 1 to 6 carbon atoms such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl and n-hexyl groups; cycloalkyl groups such as cyclopropyl, cyclobutyl, cyclopentyl and cyclohexyl groups; and groups obtained by combining these groups. In addition, examples of the saturated hydrocarbyl moiety of the saturated hydrocarbyloxy group having 1 to 6 carbon atoms and the saturated hydrocarbylcarbonyloxy group having 2 to 7 carbon atoms include the same as the saturated hydrocarbyl group described above. In addition, examples of the saturated hydrocarbyl moiety of the saturated hydrocarbylsulfonyloxy group having 1 to 4 carbon atoms include the saturated hydrocarbyl groups described above having 1 to 4 carbon atoms.

c22で表される炭素数2~8の不飽和脂肪族ヒドロカルビル基は、直鎖状、分岐状、環状のいずれでもよく、その具体例としては、ビニル基、1-プロペニル基、2-プロペニル基、ブテニル基、ヘキセニル基等のアルケニル基;シクロヘキセニル基等の環式不飽和脂肪族ヒドロカルビル基等が挙げられる。 The unsaturated aliphatic hydrocarbyl group having 2 to 8 carbon atoms represented by R may be linear, branched, or cyclic. Specific examples thereof include alkenyl groups such as a vinyl group, a 1-propenyl group, a 2-propenyl group, a butenyl group, and a hexenyl group; and cyclic unsaturated aliphatic hydrocarbyl groups such as a cyclohexenyl group.

これらのうち、Rc2としては、フッ素原子、塩素原子、臭素原子、ヒドロキシ基、アミノ基、炭素数1~3のアルキル基、炭素数1~3のアルコキシ基、炭素数2~4のアシロキシ基、-N(Rc21)-C(=O)-Rc22又は-N(Rc21)-C(=O)-O-Rc22等が好ましい。 Of these, preferred R c2 are a fluorine atom, a chlorine atom, a bromine atom, a hydroxy group, an amino group, an alkyl group having 1 to 3 carbon atoms, an alkoxy group having 1 to 3 carbon atoms, an acyloxy group having 2 to 4 carbon atoms, -N(R c21 )-C(=O)-R c22 or -N(R c21 )-C(=O)-O-R c22 .

前記カルボン酸アニオンとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000050
Examples of the carboxylate anion include, but are not limited to, those shown below.
Figure 0007480728000050

Figure 0007480728000051
Figure 0007480728000051

Figure 0007480728000052
Figure 0007480728000052

Figure 0007480728000053
Figure 0007480728000053

Figure 0007480728000054
Figure 0007480728000054

Figure 0007480728000055
Figure 0007480728000055

Figure 0007480728000056
Figure 0007480728000056

Figure 0007480728000057
Figure 0007480728000057

Figure 0007480728000058
Figure 0007480728000058

Figure 0007480728000059
Figure 0007480728000059

Figure 0007480728000060
Figure 0007480728000060

Figure 0007480728000061
Figure 0007480728000061

Figure 0007480728000062
Figure 0007480728000062

Figure 0007480728000063
Figure 0007480728000063

Figure 0007480728000064
Figure 0007480728000064

Figure 0007480728000065
Figure 0007480728000065

Figure 0007480728000066
Figure 0007480728000066

Figure 0007480728000067
Figure 0007480728000067

前記スルホンアミドアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000068
The sulfonamide anions include, but are not limited to, those shown below.
Figure 0007480728000068

Figure 0007480728000069
Figure 0007480728000069

Figure 0007480728000070
Figure 0007480728000070

Figure 0007480728000071
Figure 0007480728000071

Figure 0007480728000072
Figure 0007480728000072

Figure 0007480728000073
Figure 0007480728000073

Figure 0007480728000074
Figure 0007480728000074

Figure 0007480728000075
Figure 0007480728000075

Figure 0007480728000076
Figure 0007480728000076

Figure 0007480728000077
Figure 0007480728000077

Figure 0007480728000078
Figure 0007480728000078

Figure 0007480728000079
Figure 0007480728000079

Figure 0007480728000080
Figure 0007480728000080

Figure 0007480728000081
Figure 0007480728000081

Figure 0007480728000082
Figure 0007480728000082

Figure 0007480728000083
Figure 0007480728000083

Figure 0007480728000084
Figure 0007480728000084

Figure 0007480728000085
Figure 0007480728000085

Figure 0007480728000086
Figure 0007480728000086

Figure 0007480728000087
Figure 0007480728000087

Figure 0007480728000088
Figure 0007480728000088

Figure 0007480728000089
Figure 0007480728000089

Figure 0007480728000090
Figure 0007480728000090

Figure 0007480728000091
Figure 0007480728000091

前記ハロゲン化フェノキシドアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000092
Examples of the halogenated phenoxide anions include, but are not limited to, those shown below.
Figure 0007480728000092

Figure 0007480728000093
Figure 0007480728000093

Figure 0007480728000094
Figure 0007480728000094

Figure 0007480728000095
Figure 0007480728000095

Figure 0007480728000096
Figure 0007480728000096

Figure 0007480728000097
Figure 0007480728000097

前記ハロゲン化物アニオンとしては、フッ化物イオン、塩化物イオン、臭化物イオン、ヨウ化物イオン等が挙げられる。 Examples of the halide anion include fluoride ion, chloride ion, bromide ion, iodide ion, etc.

これらのアニオンの中で、ヨウ素原子又は臭素原子を含むカルボン酸アニオン、ヨウ素原子又は臭素原子を含むスルホンアミドアニオン、及びヨウ素原子又は臭素原子を含むフェノキシドアニオンが、EUVの吸収が大きく、二次電子の発生による感度向上効果が高いため好ましい。 Among these anions, carboxylate anions containing iodine or bromine atoms, sulfonamide anions containing iodine or bromine atoms, and phenoxide anions containing iodine or bromine atoms are preferred because they have high EUV absorption and are highly effective in improving sensitivity through the generation of secondary electrons.

式(A)で表される塩化合物としては、特に、下記式(A')で表されるヨウ素原子を含むカルボン酸アニオン又はヨウ素原子を含むフェノキシドアニオンを含むものが好ましい。

Figure 0007480728000098
As the salt compound represented by formula (A), particularly preferred is one containing a carboxylate anion containing an iodine atom or a phenoxide anion containing an iodine atom represented by the following formula (A').
Figure 0007480728000098

式(A')中、R、L1、L2、R1及びR2は、前記と同じ。R4は、単結合又はカルボニル基である。R5は、水素原子、ヒドロキシ基、ハロゲン原子で置換されていてもよい炭素数1~6の飽和ヒドロカルビル基、ハロゲン原子で置換されていてもよい炭素数1~6の飽和ヒドロカルビルオキシ基、ハロゲン原子で置換されていてもよい炭素数2~7の飽和ヒドロカルビルカルボニルオキシ基、ハロゲン原子で置換されていてもよい炭素数1~4の飽和ヒドロカルビルスルホニルオキシ基、フッ素原子、塩素原子、臭素原子、アミノ基、ニトロ基、シアノ基、-N(R51)-C(=O)-R52又は-N(R51)-C(=O)-O-R52である。R51は、水素原子又は炭素数1~6の飽和ヒドロカルビル基である。R52は、炭素数1~6の飽和ヒドロカルビル基又は炭素数2~8の不飽和脂肪族ヒドロカルビル基である。s及びtは、1≦s≦5、0≦t≦3及び1≦s+t≦5を満たす整数である。R5、R51及びR52で表される基の具体例としては、式(Ac)の説明においてRc2、Rc21及びRc22で表される基の具体例として例示したものと同様のものが挙げられる。 In formula (A'), R, L 1 , L 2 , R 1 and R 2 are the same as above. R 4 is a single bond or a carbonyl group. R 5 is a hydrogen atom, a hydroxy group, a saturated hydrocarbyl group having 1 to 6 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbyloxy group having 1 to 6 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbylcarbonyloxy group having 2 to 7 carbon atoms which may be substituted with a halogen atom, a saturated hydrocarbylsulfonyloxy group having 1 to 4 carbon atoms which may be substituted with a halogen atom, a fluorine atom, a chlorine atom, a bromine atom, an amino group, a nitro group, a cyano group, -N(R 51 )-C(═O)-R 52 or -N(R 51 )-C(═O)-O-R 52. R 51 is a hydrogen atom or a saturated hydrocarbyl group having 1 to 6 carbon atoms. R 52 is a saturated hydrocarbyl group having 1 to 6 carbon atoms or an unsaturated aliphatic hydrocarbyl group having 2 to 8 carbon atoms. s and t are integers satisfying 1≦s≦5, 0≦t≦3 and 1≦s+t≦5. Specific examples of the groups represented by R 5 , R 51 and R 52 include the same as those exemplified as specific examples of the groups represented by R c2 , R c21 and R c22 in the explanation of formula (Ac).

前記フッ素原子含有環式アンモニウム塩化合物は、例えば、前記フッ素原子含有環式アンモニウム塩化合物のカチオンの第4級窒素原子上の水素原子が脱離した構造のフッ素原子含有環式アミン化合物と、カルボン酸化合物、スルホンアミド化合物、ハロゲン化フェノール化合物又はハロゲン化水素化合物との中和反応によって合成することができる。前記中和反応は、前記フッ素原子含有環式アミン化合物のアミノ基とカルボン酸化合物、スルホンアミド化合物、ハロゲン化フェノール化合物又はハロゲン化水素化合物との物質量比(モル比)が、1:1となる量で行うことが好ましいが、どちらか一方が過剰であってもよい。前記フッ素原子含有環式アミン化合物は、例えば、特開2007-108451号公報に記載された方法に従って合成することができる。 The fluorine-containing cyclic ammonium salt compound can be synthesized, for example, by a neutralization reaction between a fluorine-containing cyclic amine compound having a structure in which a hydrogen atom on the quaternary nitrogen atom of the cation of the fluorine-containing cyclic ammonium salt compound is eliminated, and a carboxylic acid compound, a sulfonamide compound, a halogenated phenol compound, or a hydrogen halide compound. The neutralization reaction is preferably carried out in an amount such that the ratio of the amount of substance (molar ratio) of the amino group of the fluorine-containing cyclic amine compound to the carboxylic acid compound, the sulfonamide compound, the halogenated phenol compound, or the hydrogen halide compound is 1:1, but either one may be in excess. The fluorine-containing cyclic amine compound can be synthesized, for example, according to the method described in JP-A-2007-108451.

前記フッ素原子含有環式アンモニウム塩化合物は、カチオン側に3つ以上のフッ素原子を有する。フッ素原子の電気的な反発によってクエンチャー同士が凝集することがなく、これによって酸拡散がナノメーターレベルで均一化する。これによって現像後のレジストパターンのLWRやCDUが向上する。 The fluorine atom-containing cyclic ammonium salt compound has three or more fluorine atoms on the cation side. The electrical repulsion of the fluorine atoms prevents the quenchers from agglomerating together, which makes acid diffusion uniform at the nanometer level. This improves the LWR and CDU of the resist pattern after development.

本発明のレジスト材料中、前記フッ素原子含有環式アンモニウム塩化合物の含有量は、後述するベースポリマー100質量部に対し、感度と酸拡散抑制効果の点から0.001~50質量部が好ましく、0.01~40質量部がより好ましい。前記フッ素原子含有環式アンモニウム塩化合物は、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。 In the resist material of the present invention, the content of the fluorine atom-containing cyclic ammonium salt compound is preferably 0.001 to 50 parts by mass, more preferably 0.01 to 40 parts by mass, per 100 parts by mass of the base polymer described below, from the viewpoints of sensitivity and acid diffusion suppression effect. The fluorine atom-containing cyclic ammonium salt compound may be used alone or in combination of two or more kinds.

[ベースポリマー]
本発明のレジスト材料に含まれるベースポリマーは、ポジ型レジスト材料の場合、酸不安定基を含む繰り返し単位を含む。酸不安定基を含む繰り返し単位としては、下記式(a1)で表される繰り返し単位(以下、繰り返し単位a1ともいう。)又は下記式(a2)で表される繰り返し単位(以下、繰り返し単位a2ともいう。)が好ましい。

Figure 0007480728000099
[Base polymer]
In the case of a positive resist material, the base polymer contained in the resist material of the present invention contains a repeating unit having an acid labile group. The repeating unit having an acid labile group is preferably a repeating unit represented by the following formula (a1) (hereinafter also referred to as repeating unit a1) or a repeating unit represented by the following formula (a2) (hereinafter also referred to as repeating unit a2).
Figure 0007480728000099

式(a1)及び(a2)中、RAは、それぞれ独立に、水素原子又はメチル基である。Y1は、単結合、フェニレン基若しくはナフチレン基、又はエステル結合若しくはラクトン環から選ばれる少なくとも1種を含む炭素数1~12の連結基である。Y2は、単結合又はエステル結合である。Y3は、単結合、エーテル結合又はエステル結合である。R11及びR12は、それぞれ独立に、酸不安定基である。なお、前記ベースポリマーが繰り返し単位a1及び繰り返し単位a2を共に含む場合、R11及びR12は、互いに同一であっても異なっていてもよい。R13は、フッ素原子、トリフルオロメチル基、シアノ基又は炭素数1~6の飽和ヒドロカルビル基である。R14は、単結合又は炭素数1~6のアルカンジイル基であり、その炭素原子の一部がエーテル結合又はエステル結合で置換されていてもよい。aは、1又は2である。bは、0~4の整数である。ただし、1≦a+b≦5である。 In formulae (a1) and (a2), R A is independently a hydrogen atom or a methyl group. Y 1 is a linking group having 1 to 12 carbon atoms containing at least one selected from a single bond, a phenylene group, a naphthylene group, an ester bond, or a lactone ring. Y 2 is a single bond or an ester bond. Y 3 is a single bond, an ether bond, or an ester bond. R 11 and R 12 are independently an acid labile group. In addition, when the base polymer contains both the repeating unit a1 and the repeating unit a2, R 11 and R 12 may be the same or different from each other. R 13 is a fluorine atom, a trifluoromethyl group, a cyano group, or a saturated hydrocarbyl group having 1 to 6 carbon atoms. R 14 is a single bond or an alkanediyl group having 1 to 6 carbon atoms, some of the carbon atoms of which may be substituted with an ether bond or an ester bond. a is 1 or 2. b is an integer of 0 to 4. However, 1≦a+b≦5.

繰り返し単位a1を与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、RA及びR11は、前記と同じである。

Figure 0007480728000100
Examples of monomers that provide the repeating unit a1 include, but are not limited to, those shown below: In the following formula, R A and R 11 are the same as defined above.
Figure 0007480728000100

繰り返し単位a2を与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、RA及びR12は、前記と同じである。

Figure 0007480728000101
Examples of monomers that provide the repeating unit a2 include, but are not limited to, those shown below: In the following formula, R A and R 12 are the same as defined above.
Figure 0007480728000101

式(a1)及び(a2)中、R11及びR12で表される酸不安定基としては、例えば、特開2013-80033号公報、特開2013-83821号公報に記載のものが挙げられる。 In formulae (a1) and (a2), examples of the acid labile group represented by R 11 and R 12 include those described in JP-A-2013-80033 and JP-A-2013-83821.

典型的には、前記酸不安定基としては、下記式(AL-1)~(AL-3)で表されるものが挙げられる。

Figure 0007480728000102
(式中、破線は、結合手である。) Typically, the acid labile group includes those represented by the following formulae (AL-1) to (AL-3).
Figure 0007480728000102
(In the formula, the dashed lines represent bonds.)

式(AL-1)及び(AL-2)中、RL1及びRL2は、それぞれ独立に、炭素数1~40のヒドロカルビル基であり、酸素原子、硫黄原子、窒素原子、フッ素原子等のヘテロ原子を含んでいてもよい。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。前記ヒドロカルビル基としては、炭素数1~40の飽和ヒドロカルビル基が好ましく、炭素数1~20の飽和ヒドロカルビル基がより好ましい。 In formulae (AL-1) and (AL-2), R L1 and R L2 are each independently a hydrocarbyl group having 1 to 40 carbon atoms, which may contain a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, or a fluorine atom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. As the hydrocarbyl group, a saturated hydrocarbyl group having 1 to 40 carbon atoms is preferred, and a saturated hydrocarbyl group having 1 to 20 carbon atoms is more preferred.

式(AL-1)中、cは、0~10の整数であり、1~5の整数が好ましい。 In formula (AL-1), c is an integer from 0 to 10, preferably an integer from 1 to 5.

式(AL-2)中、RL3及びRL4は、それぞれ独立に、水素原子又は炭素数1~20のヒドロカルビル基であり、酸素原子、硫黄原子、窒素原子、フッ素原子等のヘテロ原子を含んでいてもよい。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。前記ヒドロカルビル基としては、炭素数1~20の飽和ヒドロカルビル基が好ましい。また、RL2、RL3及びRL4のいずれか2つが、互いに結合してこれらが結合する炭素原子又は炭素原子と酸素原子と共に炭素数3~20の環を形成してもよい。前記環としては、炭素数4~16の環が好ましく、特に脂環が好ましい。 In formula (AL-2), R L3 and R L4 are each independently a hydrogen atom or a hydrocarbyl group having 1 to 20 carbon atoms, and may contain a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, or a fluorine atom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. The hydrocarbyl group is preferably a saturated hydrocarbyl group having 1 to 20 carbon atoms. Any two of R L2 , R L3 , and R L4 may be bonded to each other to form a ring having 3 to 20 carbon atoms together with the carbon atom to which they are bonded, or a carbon atom and an oxygen atom. The ring is preferably a ring having 4 to 16 carbon atoms, and particularly preferably an alicyclic ring.

式(AL-3)中、RL5、RL6及びRL7は、それぞれ独立に、炭素数1~20のヒドロカルビル基であり、酸素原子、硫黄原子、窒素原子、フッ素原子等のヘテロ原子を含んでいてもよい。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。前記ヒドロカルビル基としては、炭素数1~20の飽和ヒドロカルビル基が好ましい。また、RL5、RL6及びRL7のいずれか2つが、互いに結合してこれらが結合する炭素原子と共に炭素数3~20の環を形成してもよい。前記環としては、炭素数4~16の環が好ましく、特に脂環が好ましい。 In formula (AL-3), R L5 , R L6 and R L7 are each independently a hydrocarbyl group having 1 to 20 carbon atoms, which may contain a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom or a fluorine atom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched or cyclic. The hydrocarbyl group is preferably a saturated hydrocarbyl group having 1 to 20 carbon atoms. Any two of R L5 , R L6 and R L7 may be bonded to each other to form a ring having 3 to 20 carbon atoms together with the carbon atom to which they are bonded. The ring is preferably a ring having 4 to 16 carbon atoms, and particularly preferably an alicyclic ring.

前記ベースポリマーは、密着性基としてフェノール性ヒドロキシ基を含む繰り返し単位bを含んでもよい。繰り返し単位bを与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、RAは、前記と同じである。

Figure 0007480728000103
The base polymer may contain a repeating unit b containing a phenolic hydroxyl group as an adhesive group. Examples of monomers that provide the repeating unit b include, but are not limited to, the following. In the following formula, R A is the same as above.
Figure 0007480728000103

Figure 0007480728000104
Figure 0007480728000104

Figure 0007480728000105
Figure 0007480728000105

前記ベースポリマーは、他の密着性基として、フェノール性ヒドロキシ基以外のヒドロキシ基、ラクトン環、スルトン環、エーテル結合、エステル結合、スルホン酸エステル結合、カルボニル基、スルホニル基、シアノ基又はカルボキシ基を含む繰り返し単位cを含んでもよい。繰り返し単位cを与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、RAは、前記と同じである。

Figure 0007480728000106
The base polymer may contain a repeating unit c containing a hydroxy group other than a phenolic hydroxy group, a lactone ring, a sultone ring, an ether bond, an ester bond, a sulfonic acid ester bond, a carbonyl group, a sulfonyl group, a cyano group, or a carboxy group as another adhesive group. Examples of monomers that provide the repeating unit c include, but are not limited to, those shown below. In the following formula, R A is the same as above.
Figure 0007480728000106

Figure 0007480728000107
Figure 0007480728000107

Figure 0007480728000108
Figure 0007480728000108

Figure 0007480728000109
Figure 0007480728000109

Figure 0007480728000110
Figure 0007480728000110

Figure 0007480728000111
Figure 0007480728000111

Figure 0007480728000112
Figure 0007480728000112

Figure 0007480728000113
Figure 0007480728000113

Figure 0007480728000114
Figure 0007480728000114

Figure 0007480728000115
Figure 0007480728000115

Figure 0007480728000116
Figure 0007480728000116

前記ベースポリマーは、インデン、ベンゾフラン、ベンゾチオフェン、アセナフチレン、クロモン、クマリン、ノルボルナジエン又はこれらの誘導体に由来する繰り返し単位dを含んでもよい。繰り返し単位dを与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000117
The base polymer may include repeat units d derived from indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, norbornadiene, or derivatives thereof. Monomers that provide repeat units d include, but are not limited to, those shown below.
Figure 0007480728000117

前記ベースポリマーは、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、メチレンインダン、ビニルピリジン又はビニルカルバゾールに由来する繰り返し単位eを含んでもよい。 The base polymer may contain a repeating unit e derived from styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, methyleneindane, vinylpyridine, or vinylcarbazole.

前記ベースポリマーは、重合性不飽和結合を含むオニウム塩に由来する繰り返し単位fを含んでもよい。好ましい繰り返し単位fとしては、下記式(f1)で表される繰り返し単位(以下、繰り返し単位f1ともいう。)、下記式(f2)で表される繰り返し単位(以下、繰り返し単位f2ともいう。)及び下記式(f3)で表される繰り返し単位(以下、繰り返し単位f3ともいう。)が挙げられる。なお、繰り返し単位f1~f3は、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。

Figure 0007480728000118
The base polymer may contain a repeating unit f derived from an onium salt containing a polymerizable unsaturated bond. Preferred repeating units f include a repeating unit represented by the following formula (f1) (hereinafter also referred to as repeating unit f1), a repeating unit represented by the following formula (f2) (hereinafter also referred to as repeating unit f2), and a repeating unit represented by the following formula (f3) (hereinafter also referred to as repeating unit f3). The repeating units f1 to f3 may be used alone or in combination of two or more.
Figure 0007480728000118

式(f1)~(f3)中、RAは、それぞれ独立に、水素原子又はメチル基である。Z1は、単結合、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、ナフチレン基若しくはこれらを組み合わせて得られる炭素数7~18の基、又は-O-Z11-、-C(=O)-O-Z11-若しくは-C(=O)-NH-Z11-である。Z11は、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、ナフチレン基又はこれらを組み合わせて得られる炭素数7~18の基であり、カルボニル基、エステル結合、エーテル結合又はヒドロキシ基を含んでいてもよい。Z2は、単結合又はエステル結合である。Z3は、単結合、-Z31-C(=O)-O-、-Z31-O-又は-Z31-O-C(=O)-である。Z31は、炭素数1~12のヒドロカルビレン基、フェニレン基又はこれらを組み合わせて得られる炭素数7~18の基であり、カルボニル基、エステル結合、エーテル結合、ヨウ素原子又は臭素原子を含んでいてもよい。Z4は、メチレン基、2,2,2-トリフルオロ-1,1-エタンジイル基又はカルボニル基である。Z5は、単結合、メチレン基、エチレン基、フェニレン基、フッ素化フェニレン基、トリフルオロメチル基で置換されたフェニレン基、-O-Z51-、-C(=O)-O-Z51-又は-C(=O)-NH-Z51-である。Z51は、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、フッ素化フェニレン基又はトリフルオロメチル基で置換されたフェニレン基であり、カルボニル基、エステル結合、エーテル結合又はヒドロキシ基を含んでいてもよい。 In formulae (f1) to (f3), R A is each independently a hydrogen atom or a methyl group. Z 1 is a single bond, an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a naphthylene group, or a group having 7 to 18 carbon atoms obtained by combining these, or -O-Z 11 -, -C(═O)-O-Z 11 -, or -C(═O)-NH-Z 11 -. Z 11 is an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a naphthylene group, or a group having 7 to 18 carbon atoms obtained by combining these, and may contain a carbonyl group, an ester bond, an ether bond, or a hydroxy group. Z 2 is a single bond or an ester bond. Z 3 is a single bond, -Z 31 -C(═O)-O-, -Z 31 -O-, or -Z 31 -O-C(═O)-. Z 31 is a hydrocarbylene group having 1 to 12 carbon atoms, a phenylene group, or a group having 7 to 18 carbon atoms obtained by combining these, and may contain a carbonyl group, an ester bond, an ether bond, an iodine atom, or a bromine atom. Z 4 is a methylene group, a 2,2,2-trifluoro-1,1-ethanediyl group, or a carbonyl group. Z 5 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, a phenylene group substituted with a trifluoromethyl group, -O-Z 51 -, -C(═O)-O-Z 51 -, or -C(═O)-NH-Z 51 -. Z 51 is an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a fluorinated phenylene group, or a phenylene group substituted with a trifluoromethyl group, and may contain a carbonyl group, an ester bond, an ether bond, or a hydroxy group.

式(f1)~(f3)中、R21~R28は、それぞれ独立に、ハロゲン原子、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、後述する式(1-1)及び(1-2)中のR101~R105の説明において例示するものと同様のものが挙げられる。前記ヒドロカルビル基は、その水素原子の一部又は全部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子を含む基で置換されていてもよく、これらの基の炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子を含む基で置換されていてもよく、その結果、ヒドロキシ基、フッ素原子、塩素原子、臭素原子、ヨウ素原子、シアノ基、ニトロ基、カルボニル基、エーテル結合、エステル結合、スルホン酸エステル結合、カーボネート結合、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。また、R23及びR24又はR26及びR27が、互いに結合してこれらが結合する硫黄原子と共に環を形成していてもよい。このとき、前記環としては、後述する式(1-1)の説明において、R101とR102とが結合してこれらが結合する硫黄原子と共に形成し得る環として例示するものと同様のものが挙げられる。 In formulas (f1) to (f3), R 21 to R 28 are each independently a hydrocarbyl group having 1 to 20 carbon atoms, which may contain a halogen atom or a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include those exemplified in the description of R 101 to R 105 in formulas (1-1) and (1-2) described later. The hydrocarbyl group may have some or all of its hydrogen atoms substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, and some of the carbon atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, or a nitrogen atom, and as a result, may contain a hydroxy group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a cyano group, a nitro group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic acid anhydride, a haloalkyl group, or the like. In addition, R 23 and R 24 or R 26 and R 27 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded. In this case, examples of the ring include the same as those exemplified as the ring that can be formed by R 101 and R 102 bonded to each other together with the sulfur atom to which they are bonded in the description of formula (1-1) below.

式(f1)中、M-は、非求核性対向イオンである。前記非求核性対向イオンとしては、塩化物イオン、臭化物イオン等のハロゲン化物イオン;トリフレートイオン、1,1,1-トリフルオロエタンスルホネートイオン、ノナフルオロブタンスルホネートイオン等のフルオロアルキルスルホネートイオン;トシレートイオン、ベンゼンスルホネートイオン、4-フルオロベンゼンスルホネートイオン、1,2,3,4,5-ペンタフルオロベンゼンスルホネートイオン等のアリールスルホネートイオン;メシレートイオン、ブタンスルホネートイオン等のアルキルスルホネートイオン;ビス(トリフルオロメチルスルホニル)イミドイオン、ビス(パーフルオロエチルスルホニル)イミドイオン、ビス(パーフルオロブチルスルホニル)イミドイオン等のイミドイオン;トリス(トリフルオロメチルスルホニル)メチドイオン、トリス(パーフルオロエチルスルホニル)メチドイオン等のメチドイオンが挙げられる。 In formula (f1), M is a non-nucleophilic counter ion. Examples of the non-nucleophilic counter ion include halide ions such as chloride ion and bromide ion; fluoroalkylsulfonate ions such as triflate ion, 1,1,1-trifluoroethanesulfonate ion and nonafluorobutanesulfonate ion; arylsulfonate ions such as tosylate ion, benzenesulfonate ion, 4-fluorobenzenesulfonate ion and 1,2,3,4,5-pentafluorobenzenesulfonate ion; alkylsulfonate ions such as mesylate ion and butanesulfonate ion; imide ions such as bis(trifluoromethylsulfonyl)imide ion, bis(perfluoroethylsulfonyl)imide ion and bis(perfluorobutylsulfonyl)imide ion; and methide ions such as tris(trifluoromethylsulfonyl)methide ion and tris(perfluoroethylsulfonyl)methide ion.

前記非求核性対向イオンの他の例として、下記式(f1-1)で表されるα位がフッ素原子で置換されたスルホン酸イオン、下記式(f1-2)で表されるα位がフッ素原子で置換され、β位がトリフルオロメチル基で置換されたスルホン酸イオン等が挙げられる。

Figure 0007480728000119
Other examples of the non-nucleophilic counter ion include a sulfonate ion represented by the following formula (f1-1) in which the α-position is substituted with a fluorine atom, and a sulfonate ion represented by the following formula (f1-2) in which the α-position is substituted with a fluorine atom and the β-position is substituted with a trifluoromethyl group.
Figure 0007480728000119

式(f1-1)中、R31は、水素原子又は炭素数1~20のヒドロカルビル基であり、該ヒドロカルビル基は、エーテル結合、エステル結合、カルボニル基、ラクトン環又はフッ素原子を含んでいてもよい。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、式(1A')中のR111で表されるヒドロカルビル基として後述するものと同様のものが挙げられる。 In formula (f1-1), R 31 is a hydrogen atom or a hydrocarbyl group having 1 to 20 carbon atoms, and the hydrocarbyl group may contain an ether bond, an ester bond, a carbonyl group, a lactone ring, or a fluorine atom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include the same as those described below as the hydrocarbyl group represented by R 111 in formula (1A').

式(f1-2)中、R32は、水素原子、炭素数1~30のヒドロカルビル基又は炭素数6~20のヒドロカルビルカルボニル基であり、該ヒドロカルビル基及びヒドロカルビルカルボニル基は、エーテル結合、エステル結合、カルボニル基又はラクトン環を含んでいてもよい。前記ヒドロカルビル基及びヒドロカルビルカルボニル基のヒドロカルビル部は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、式(1A')中のR111で表されるヒドロカルビル基として後述するものと同様のものが挙げられる。 In formula (f1-2), R 32 is a hydrogen atom, a hydrocarbyl group having 1 to 30 carbon atoms, or a hydrocarbylcarbonyl group having 6 to 20 carbon atoms, and the hydrocarbyl group and the hydrocarbylcarbonyl group may contain an ether bond, an ester bond, a carbonyl group, or a lactone ring. The hydrocarbyl group and the hydrocarbylcarbonyl group may have a hydrocarbyl moiety that is saturated or unsaturated and may be linear, branched, or cyclic. Specific examples thereof include the same as those described below as the hydrocarbyl group represented by R 111 in formula (1A').

繰り返し単位f1を与えるモノマーのカチオンとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、RAは、前記と同じである。

Figure 0007480728000120
Examples of the cation of the monomer that gives the repeating unit f1 include, but are not limited to, those shown below: In the following formula, R A is the same as defined above.
Figure 0007480728000120

繰り返し単位f2又f3を与えるモノマーのカチオンとしては、後述する式(1-1)で表されるスルホニウム塩のカチオンとして例示するものと同様のものが挙げられる。 Cations of the monomers that give the repeating units f2 and f3 include the same as those exemplified as the cations of the sulfonium salts represented by formula (1-1) described below.

繰り返し単位f2を与えるモノマーのアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、RAは、前記と同じである。

Figure 0007480728000121
Examples of the anion of the monomer that gives the repeating unit f2 include, but are not limited to, those shown below: In the following formula, R A is the same as defined above.
Figure 0007480728000121

Figure 0007480728000122
Figure 0007480728000122

Figure 0007480728000123
Figure 0007480728000123

Figure 0007480728000124
Figure 0007480728000124

Figure 0007480728000125
Figure 0007480728000125

Figure 0007480728000126
Figure 0007480728000126

Figure 0007480728000127
Figure 0007480728000127

Figure 0007480728000128
Figure 0007480728000128

Figure 0007480728000129
Figure 0007480728000129

Figure 0007480728000130
Figure 0007480728000130

Figure 0007480728000131
Figure 0007480728000131

Figure 0007480728000132
Figure 0007480728000132

繰り返し単位f3を与えるモノマーのアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、RAは、前記と同じである。

Figure 0007480728000133
Examples of the anion of the monomer that gives the repeating unit f3 include, but are not limited to, those shown below: In the following formula, R A is the same as defined above.
Figure 0007480728000133

ポリマー主鎖に酸発生剤を結合させることによって酸拡散を小さくし、酸拡散のぼけによる解像性の低下を防止できる。また、酸発生剤が均一に分散することによってLWRやCDUが改善される。なお、繰り返し単位fを含むベースポリマー(すなわち、ポリマーバウンド型酸発生剤)を用いる場合、後述する添加型酸発生剤の配合を省略し得る。 By binding the acid generator to the polymer main chain, acid diffusion is reduced, and a decrease in resolution due to blurring caused by acid diffusion can be prevented. Furthermore, uniform dispersion of the acid generator improves LWR and CDU. Note that when using a base polymer containing repeating unit f (i.e., a polymer-bound acid generator), the incorporation of an additive acid generator, described below, can be omitted.

ポジ型レジスト材料用のベースポリマーは、酸不安定基を含む繰り返し単位a1又はa2を必須とする。この場合、繰り返し単位a1、a2、b、c、d、e及びfの含有比率は、0≦a1<1.0、0≦a2<1.0、0<a1+a2<1.0、0≦b≦0.9、0≦c≦0.9、0≦d≦0.8、0≦e≦0.8及び0≦f≦0.5が好ましく、0≦a1≦0.9、0≦a2≦0.9、0.1≦a1+a2≦0.9、0≦b≦0.8、0≦c≦0.8、0≦d≦0.7、0≦e≦0.7及び0≦f≦0.4がより好ましく、0≦a1≦0.8、0≦a2≦0.8、0.1≦a1+a2≦0.8、0≦b≦0.75、0≦c≦0.75、0≦d≦0.6、0≦e≦0.6及び0≦f≦0.3が更に好ましい。なお、繰り返し単位fが繰り返し単位f1~f3から選ばれる少なくとも1種である場合、f=f1+f2+f3である。また、a1+a2+b+c+d+e+f=1.0である。 The base polymer for a positive resist material must contain a repeating unit a1 or a2 that contains an acid labile group. In this case, the content ratios of the repeating units a1, a2, b, c, d, e, and f are preferably 0≦a1<1.0, 0≦a2<1.0, 0<a1+a2<1.0, 0≦b≦0.9, 0≦c≦0.9, 0≦d≦0.8, 0≦e≦0.8, and 0≦f≦0.5, more preferably 0≦a1≦0.9, 0≦a2≦0.9, 0.1≦a1+a2≦0.9, 0≦b≦0.8, 0≦c≦0.8, 0≦d≦0.7, 0≦e≦0.7, and 0≦f≦0.4, and further preferably 0≦a1≦0.8, 0≦a2≦0.8, 0.1≦a1+a2≦0.8, 0≦b≦0.75, 0≦c≦0.75, 0≦d≦0.6, 0≦e≦0.6, and 0≦f≦0.3. In addition, when the repeating unit f is at least one selected from repeating units f1 to f3, f = f1 + f2 + f3. Also, a1 + a2 + b + c + d + e + f = 1.0.

一方、ネガ型レジスト材料用のベースポリマーは、酸不安定基は必ずしも必要ではない。このようなベースポリマーとしては、繰り返し単位bを含み、必要に応じて更に繰り返し単位c、d、e及び/又はfを含むものが挙げられる。これらの繰り返し単位の含有比率は、0<b≦1.0、0≦c≦0.9、0≦d≦0.8、0≦e≦0.8及び0≦f≦0.5が好ましく、0.2≦b≦1.0、0≦c≦0.8、0≦d≦0.7、0≦e≦0.7及び0≦f≦0.4がより好ましく、0.3≦b≦1.0、0≦c≦0.75、0≦d≦0.6、0≦e≦0.6及び0≦f≦0.3が更に好ましい。なお、繰り返し単位fが繰り返し単位f1~f3から選ばれる少なくとも1種である場合、f=f1+f2+f3である。また、b+c+d+e+f=1.0である。 On the other hand, the base polymer for a negative resist material does not necessarily need to have an acid labile group. Examples of such base polymers include those that contain repeating unit b and, if necessary, further contain repeating units c, d, e and/or f. The content ratios of these repeating units are preferably 0<b≦1.0, 0≦c≦0.9, 0≦d≦0.8, 0≦e≦0.8 and 0≦f≦0.5, more preferably 0.2≦b≦1.0, 0≦c≦0.8, 0≦d≦0.7, 0≦e≦0.7 and 0≦f≦0.4, and even more preferably 0.3≦b≦1.0, 0≦c≦0.75, 0≦d≦0.6, 0≦e≦0.6 and 0≦f≦0.3. When the repeating unit f is at least one selected from the repeating units f1 to f3, f=f1+f2+f3. Also, b+c+d+e+f=1.0.

前記ベースポリマーを合成するには、例えば、前述した繰り返し単位を与えるモノマーを、有機溶剤中、ラジカル重合開始剤を加えて加熱し、重合を行えばよい。 To synthesize the base polymer, for example, a monomer that provides the repeating units described above may be polymerized by heating in an organic solvent with the addition of a radical polymerization initiator.

重合時に使用する有機溶剤としては、トルエン、ベンゼン、テトラヒドロフラン(THF)、ジエチルエーテル、ジオキサン等が挙げられる。重合開始剤としては、2,2'-アゾビスイソブチロニトリル(AIBN)、2,2'-アゾビス(2,4-ジメチルバレロニトリル)、ジメチル2,2-アゾビス(2-メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が挙げられる。重合時の温度は、好ましくは50~80℃である。反応時間は、好ましくは2~100時間、より好ましくは5~20時間である。 Organic solvents used during polymerization include toluene, benzene, tetrahydrofuran (THF), diethyl ether, dioxane, etc. Polymerization initiators include 2,2'-azobisisobutyronitrile (AIBN), 2,2'-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, lauroyl peroxide, etc. The temperature during polymerization is preferably 50 to 80°C. The reaction time is preferably 2 to 100 hours, more preferably 5 to 20 hours.

ヒドロキシ基を含むモノマーを共重合する場合、重合時にヒドロキシ基をエトキシエトキシ基等の酸によって脱保護しやすいアセタール基で置換しておいて重合後に弱酸と水によって脱保護を行ってもよいし、アセチル基、ホルミル基、ピバロイル基等で置換しておいて重合後にアルカリ加水分解を行ってもよい。 When copolymerizing monomers containing hydroxyl groups, the hydroxyl groups may be substituted with acetal groups such as ethoxyethoxy groups, which are easily deprotected by acid, during polymerization, and then deprotected with a weak acid and water after polymerization. Alternatively, the hydroxyl groups may be substituted with acetyl groups, formyl groups, pivaloyl groups, etc., and then hydrolyzed with an alkali after polymerization.

ヒドロキシスチレンやヒドロキシビニルナフタレンを共重合する場合は、ヒドロキシスチレンやヒドロキシビニルナフタレンのかわりにアセトキシスチレンやアセトキシビニルナフタレンを用い、重合後前記アルカリ加水分解によってアセトキシ基を脱保護してヒドロキシスチレンやヒドロキシビニルナフタレンにしてもよい。 When copolymerizing hydroxystyrene or hydroxyvinylnaphthalene, acetoxystyrene or acetoxyvinylnaphthalene may be used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy groups may be deprotected by alkaline hydrolysis to give hydroxystyrene or hydroxyvinylnaphthalene.

アルカリ加水分解時の塩基としては、アンモニア水、トリエチルアミン等が使用できる。また、反応温度は、好ましくは-20~100℃、より好ましくは0~60℃である。反応時間は、好ましくは0.2~100時間、より好ましくは0.5~20時間である。 Ammonia water, triethylamine, etc. can be used as the base for alkaline hydrolysis. The reaction temperature is preferably -20 to 100°C, more preferably 0 to 60°C. The reaction time is preferably 0.2 to 100 hours, more preferably 0.5 to 20 hours.

前記ベースポリマーは、溶剤としてTHFを用いたゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量(Mw)が、好ましくは1,000~500,000、より好ましくは2,000~30,000である。Mwが前記範囲であれば、レジスト膜の耐熱性やアルカリ現像液への溶解性が良好である。 The base polymer preferably has a weight average molecular weight (Mw) in terms of polystyrene measured by gel permeation chromatography (GPC) using THF as a solvent of 1,000 to 500,000, more preferably 2,000 to 30,000. If the Mw is within the above range, the resist film has good heat resistance and solubility in an alkaline developer.

また、前記ベースポリマーにおいて分子量分布(Mw/Mn)が広い場合は、低分子量や高分子量のポリマーが存在するため、露光後、パターン上に異物が見られたり、パターンの形状が悪化したりするおそれがある。パターンルールが微細化するに従って、MwやMw/Mnの影響が大きくなりやすいことから、微細なパターン寸法に好適に用いられるレジスト材料を得るには、前記ベースポリマーのMw/Mnは、1.0~2.0、特に1.0~1.5と狭分散であることが好ましい。 In addition, if the base polymer has a wide molecular weight distribution (Mw/Mn), low and high molecular weight polymers may be present, which may result in foreign matter appearing on the pattern after exposure or deterioration of the pattern shape. As the pattern rules become finer, the effects of Mw and Mw/Mn tend to become greater. Therefore, in order to obtain a resist material suitable for fine pattern dimensions, it is preferable that the Mw/Mn of the base polymer has a narrow distribution of 1.0 to 2.0, and particularly 1.0 to 1.5.

前記ベースポリマーは、組成比率、Mw、Mw/Mnが異なる2つ以上のポリマーを含んでもよい。 The base polymer may contain two or more polymers with different composition ratios, Mw, and Mw/Mn.

[酸発生剤]
本発明のレジスト材料は、強酸を発生する酸発生剤(以下、添加型酸発生剤ともいう。)を含んでもよい。ここでいう強酸とは、化学増幅ポジ型レジスト材料の場合はベースポリマーの酸不安定基の脱保護反応を起こすのに十分な酸性度を有している化合物を意味し、化学増幅ネガ型レジスト材料の場合は酸による極性変化反応又は架橋反応を起こすのに十分な酸性度を有している化合物を意味する。このような酸発生剤を含むことで、前記フッ素原子含有環式アンモニウム塩化合物がクエンチャーとして機能し、本発明のレジスト材料が、化学増幅ポジ型レジスト材料又は化学増幅ネガ型レジスト材料として機能することができる。
[Acid Generator]
The resist material of the present invention may contain an acid generator (hereinafter also referred to as an additive acid generator) that generates a strong acid. In the case of a chemically amplified positive resist material, the strong acid means a compound having sufficient acidity to cause a deprotection reaction of an acid labile group of a base polymer, and in the case of a chemically amplified negative resist material, it means a compound having sufficient acidity to cause a polarity change reaction or a crosslinking reaction by an acid. By containing such an acid generator, the fluorine atom-containing cyclic ammonium salt compound functions as a quencher, and the resist material of the present invention can function as a chemically amplified positive resist material or a chemically amplified negative resist material.

前記酸発生剤としては、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)が挙げられる。光酸発生剤としては、高エネルギー線照射により酸を発生する化合物であればいかなるものでも構わないが、スルホン酸、イミド酸又はメチド酸を発生するものが好ましい。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N-スルホニルオキシイミド、オキシム-O-スルホネート型酸発生剤等がある。光酸発生剤の具体例としては、特開2008-111103号公報の段落[0122]~[0142]に記載されているものが挙げられる。 The acid generator may be, for example, a compound (photoacid generator) that generates an acid in response to actinic rays or radiation. The photoacid generator may be any compound that generates an acid when irradiated with high-energy rays, but is preferably one that generates a sulfonic acid, an imide acid, or a methide acid. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate-type acid generators. Specific examples of photoacid generators include those described in paragraphs [0122] to [0142] of JP 2008-111103 A.

また、光酸発生剤として、下記式(1-1)で表されるスルホニウム塩や、下記式(1-2)で表されるヨードニウム塩も好適に使用できる。

Figure 0007480728000134
In addition, as the photoacid generator, a sulfonium salt represented by the following formula (1-1) or an iodonium salt represented by the following formula (1-2) can also be suitably used.
Figure 0007480728000134

式(1-1)及び(1-2)中、R101~R105は、それぞれ独立に、ハロゲン原子、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビル基である。 In formulae (1-1) and (1-2), R 101 to R 105 each independently represent a halogen atom or a hydrocarbyl group having 1 to 20 carbon atoms which may contain a heteroatom.

前記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。 The halogen atom includes a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, etc.

101~R105で表される炭素数1~20のヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、sec-ブチル基、tert-ブチル基、n-ペンチル基、n-ヘキシル基、n-オクチル基、n-ノニル基、n-デシル基、ウンデシル基、ドデシル基、トリデシル基、テトラデシル基、ペンタデシル基、ヘプタデシル基、オクタデシル基、ノナデシル基、イコシル基等の炭素数1~20のアルキル基;シクロプロピル基、シクロペンチル基、シクロヘキシル基、シクロプロピルメチル基、4-メチルシクロヘキシル基、シクロヘキシルメチル基、ノルボルニル基、アダマンチル基等の炭素数3~20の環式飽和ヒドロカルビル基;ビニル基、プロペニル基、ブテニル基、ヘキセニル基等のアルケニル基;エチニル基、プロピニル基、ブチニル基等の炭素数2~20のアルキニル基;シクロヘキセニル基、ノルボルネニル基等の炭素数3~20の環式不飽和脂肪族ヒドロカルビル基;フェニル基、メチルフェニル基、エチルフェニル基、n-プロピルフェニル基、イソプロピルフェニル基、n-ブチルフェニル基、イソブチルフェニル基、sec-ブチルフェニル基、tert-ブチルフェニル基、ナフチル基、メチルナフチル基、エチルナフチル基、n-プロピルナフチル基、イソプロピルナフチル基、n-ブチルナフチル基、イソブチルナフチル基、sec-ブチルナフチル基、tert-ブチルナフチル基等の炭素数6~20のアリール基;ベンジル基、フェネチル基等の炭素数7~20のアラルキル基;これらを組み合わせて得られる基等が挙げられる。 The hydrocarbyl groups having 1 to 20 carbon atoms represented by R 101 to R 105 may be saturated or unsaturated, and may be straight-chain, branched or cyclic. Specific examples thereof include alkyl groups having 1 to 20 carbon atoms, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl, and icosyl groups; cyclic saturated hydrocarbyl groups having 3 to 20 carbon atoms, such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl groups; alkenyl groups, such as vinyl, propenyl, butenyl, and hexenyl groups; ethynyl, propyl, alkynyl groups having 2 to 20 carbon atoms, such as a cyclohexenyl group and a norbornenyl group; cyclic unsaturated aliphatic hydrocarbyl groups having 3 to 20 carbon atoms, such as a cyclohexenyl group and a norbornenyl group; aryl groups having 6 to 20 carbon atoms, such as a phenyl group, a methylphenyl group, an ethylphenyl group, a n-propylphenyl group, an isopropylphenyl group, a n-butylphenyl group, an isobutylphenyl group, a sec-butylphenyl group, a tert-butylphenyl group, a naphthyl group, a methylnaphthyl group, an ethylnaphthyl group, a n-propylnaphthyl group, an isopropylnaphthyl group, a n-butylnaphthyl group, an isobutylnaphthyl group, a sec-butylnaphthyl group and a tert-butylnaphthyl group; aralkyl groups having 7 to 20 carbon atoms, such as a benzyl group and a phenethyl group; and groups obtained by combining these.

また、これらの基の水素原子の一部又は全部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子を含む基で置換されていてもよく、これらの基の炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子を含む基で置換されていてもよく、その結果、ヒドロキシ基、フッ素原子、塩素原子、臭素原子、ヨウ素原子、シアノ基、ニトロ基、カルボニル基、エーテル結合、エステル結合、スルホン酸エステル結合、カーボネート結合、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。 In addition, some or all of the hydrogen atoms of these groups may be replaced with groups containing heteroatoms such as oxygen atoms, sulfur atoms, nitrogen atoms, and halogen atoms, and some of the carbon atoms of these groups may be replaced with groups containing heteroatoms such as oxygen atoms, sulfur atoms, and nitrogen atoms, resulting in the group containing a hydroxyl group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a cyano group, a nitro group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic acid anhydride, a haloalkyl group, and the like.

また、R101とR102とが、互いに結合してこれらが結合する硫黄原子と共に環を形成してもよい。このとき、前記環としては、以下に示す構造のものが好ましい。

Figure 0007480728000135
(式中、破線は、R103との結合手である。) Furthermore, R 101 and R 102 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded. In this case, the ring is preferably one having the structure shown below.
Figure 0007480728000135
(In the formula, the dashed line represents a bond to R 103. )

式(1-1)で表されるスルホニウム塩のカチオンとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000136
Examples of the cation of the sulfonium salt represented by formula (1-1) include, but are not limited to, those shown below.
Figure 0007480728000136

Figure 0007480728000137
Figure 0007480728000137

Figure 0007480728000138
Figure 0007480728000138

Figure 0007480728000139
Figure 0007480728000139

Figure 0007480728000140
Figure 0007480728000140

Figure 0007480728000141
Figure 0007480728000141

Figure 0007480728000142
Figure 0007480728000142

Figure 0007480728000143
Figure 0007480728000143

Figure 0007480728000144
Figure 0007480728000144

Figure 0007480728000145
Figure 0007480728000145

Figure 0007480728000146
Figure 0007480728000146

Figure 0007480728000147
Figure 0007480728000147

Figure 0007480728000148
Figure 0007480728000148

Figure 0007480728000149
Figure 0007480728000149

Figure 0007480728000150
Figure 0007480728000150

Figure 0007480728000151
Figure 0007480728000151

Figure 0007480728000152
Figure 0007480728000152

式(1-2)で表されるヨードニウム塩のカチオンとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000153
Examples of the cation of the iodonium salt represented by formula (1-2) include, but are not limited to, those shown below.
Figure 0007480728000153

Figure 0007480728000154
Figure 0007480728000154

式(1-1)及び(1-2)中、Xa-は、下記式(1A)~(1D)から選ばれるアニオンである。

Figure 0007480728000155
In formulas (1-1) and (1-2), Xa is an anion selected from the following formulas (1A) to (1D).
Figure 0007480728000155

式(1A)中、Rfaは、フッ素原子、又はヘテロ原子を含んでいてもよい炭素数1~40のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、後述する式(1A')のR111で表されるヒドロカルビル基として例示するものと同様のものが挙げられる。 In formula (1A), R fa is a hydrocarbyl group having 1 to 40 carbon atoms which may contain a fluorine atom or a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include the same as those exemplified as the hydrocarbyl group represented by R 111 in formula (1A') described below.

式(1A)で表されるアニオンとしては、下記式(1A')で表されるものが好ましい。

Figure 0007480728000156
The anion represented by formula (1A) is preferably an anion represented by the following formula (1A').
Figure 0007480728000156

式(1A')中、RHFは、水素原子又はトリフルオロメチル基であり、好ましくはトリフルオロメチル基である。R111は、ヘテロ原子を含んでいてもよい炭素数1~38のヒドロカルビル基である。前記ヘテロ原子としては、酸素原子、窒素原子、硫黄原子、ハロゲン原子等が好ましく、酸素原子がより好ましい。前記ヒドロカルビル基としては、微細パターン形成において高い解像度を得る点から、特に炭素数6~30であるものが好ましい。 In formula (1A'), R HF is a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group. R 111 is a hydrocarbyl group having 1 to 38 carbon atoms which may contain a heteroatom. The heteroatom is preferably an oxygen atom, a nitrogen atom, a sulfur atom, a halogen atom, or the like, more preferably an oxygen atom. In order to obtain high resolution in the formation of a fine pattern, the hydrocarbyl group is preferably one having 6 to 30 carbon atoms.

111で表されるヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基、イソブチル基、sec-ブチル基、tert-ブチル基、ペンチル基、ネオペンチル基、ヘキシル基、ヘプチル基、2-エチルヘキシル基、ノニル基、ウンデシル基、トリデシル基、ペンタデシル基、ヘプタデシル基、イコサニル基等の炭素数1~38のアルキル基;シクロペンチル基、シクロヘキシル基、1-アダマンチル基、2-アダマンチル基、1-アダマンチルメチル基、ノルボルニル基、ノルボルニルメチル基、トリシクロデカニル基、テトラシクロドデカニル基、テトラシクロドデカニルメチル基、ジシクロヘキシルメチル基等の炭素数3~38の環式飽和ヒドロカルビル基;アリル基、3-シクロヘキセニル基等の炭素数2~38の不飽和脂肪族ヒドロカルビル基;フェニル基、1-ナフチル基、2-ナフチル基等の炭素数6~38のアリール基;ベンジル基、ジフェニルメチル基等の炭素数7~38のアラルキル基;これらを組み合わせて得られる基等が挙げられる。 The hydrocarbyl group represented by R 111 may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include alkyl groups having 1 to 38 carbon atoms, such as a methyl group, an ethyl group, a propyl group, an isopropyl group, a butyl group, an isobutyl group, a sec-butyl group, a tert-butyl group, a pentyl group, a neopentyl group, a hexyl group, a heptyl group, a 2-ethylhexyl group, a nonyl group, an undecyl group, a tridecyl group, a pentadecyl group, a heptadecyl group, and an icosanyl group; a cyclopentyl group, a cyclohexyl group, a 1-adamantyl group, a 2-adamantyl group, a 1-adamantylmethyl group, a norbornyl group, Examples of the alkyl group include cyclic saturated hydrocarbyl groups having 3 to 38 carbon atoms, such as norbornylmethyl group, tricyclodecanyl group, tetracyclododecanyl group, tetracyclododecanylmethyl group, and dicyclohexylmethyl group; unsaturated aliphatic hydrocarbyl groups having 2 to 38 carbon atoms, such as allyl group and 3-cyclohexenyl group; aryl groups having 6 to 38 carbon atoms, such as phenyl group, 1-naphthyl group, and 2-naphthyl group; aralkyl groups having 7 to 38 carbon atoms, such as benzyl group and diphenylmethyl group; and groups obtained by combining these groups.

また、これらの基の水素原子の一部又は全部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子を含む基で置換されていてもよく、これらの基の炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子を含む基で置換されていてもよく、その結果、ヒドロキシ基、フッ素原子、塩素原子、臭素原子、ヨウ素原子、シアノ基、ニトロ基、カルボニル基、エーテル結合、エステル結合、スルホン酸エステル結合、カーボネート結合、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。ヘテロ原子を含むヒドロカルビル基としては、テトラヒドロフリル基、メトキシメチル基、エトキシメチル基、メチルチオメチル基、アセトアミドメチル基、トリフルオロエチル基、(2-メトキシエトキシ)メチル基、アセトキシメチル基、2-カルボキシ-1-シクロヘキシル基、2-オキソプロピル基、4-オキソ-1-アダマンチル基、3-オキソシクロヘキシル基等が挙げられる。 In addition, some or all of the hydrogen atoms of these groups may be replaced with groups containing heteroatoms such as oxygen atoms, sulfur atoms, nitrogen atoms, and halogen atoms, and some of the carbon atoms of these groups may be replaced with groups containing heteroatoms such as oxygen atoms, sulfur atoms, and nitrogen atoms, resulting in the group containing hydroxyl groups, fluorine atoms, chlorine atoms, bromine atoms, iodine atoms, cyano groups, nitro groups, carbonyl groups, ether bonds, ester bonds, sulfonic acid ester bonds, carbonate bonds, lactone rings, sultone rings, carboxylic acid anhydrides, haloalkyl groups, and the like. Examples of hydrocarbyl groups containing heteroatoms include tetrahydrofuryl groups, methoxymethyl groups, ethoxymethyl groups, methylthiomethyl groups, acetamidomethyl groups, trifluoroethyl groups, (2-methoxyethoxy)methyl groups, acetoxymethyl groups, 2-carboxy-1-cyclohexyl groups, 2-oxopropyl groups, 4-oxo-1-adamantyl groups, and 3-oxocyclohexyl groups.

式(1A')で表されるアニオンを含むスルホニウム塩の合成に関しては、特開2007-145797号公報、特開2008-106045号公報、特開2009-7327号公報、特開2009-258695号公報等に詳しい。また、特開2010-215608号公報、特開2012-41320号公報、特開2012-106986号公報、特開2012-153644号公報等に記載のスルホニウム塩も好適に用いられる。 For the synthesis of sulfonium salts containing the anion represented by formula (1A'), see JP-A-2007-145797, JP-A-2008-106045, JP-A-2009-7327, JP-A-2009-258695, etc., for details. In addition, sulfonium salts described in JP-A-2010-215608, JP-A-2012-41320, JP-A-2012-106986, JP-A-2012-153644, etc. are also preferably used.

式(1A)で表されるアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、Acはアセチル基である。

Figure 0007480728000157
Examples of the anion represented by formula (1A) include, but are not limited to, those shown below: In the following formula, Ac is an acetyl group.
Figure 0007480728000157

Figure 0007480728000158
Figure 0007480728000158

Figure 0007480728000159
Figure 0007480728000159

Figure 0007480728000160
Figure 0007480728000160

式(1B)中、Rfb1及びRfb2は、それぞれ独立に、フッ素原子、又はヘテロ原子を含んでいてもよい炭素数1~40のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、式(1A')中のR111で表されるヒドロカルビル基として例示したものと同様のものが挙げられる。Rfb1及びRfb2として好ましくは、フッ素原子又は炭素数1~4の直鎖状フッ素化アルキル基である。また、Rfb1とRfb2とは、互いに結合してこれらが結合する基(-CF2-SO2-N--SO2-CF2-)と共に環を形成してもよく、このとき、Rfb1とRfb2とが互いに結合して得られる基は、フッ素化エチレン基又はフッ素化プロピレン基であることが好ましい。 In formula (1B), R fb1 and R fb2 are each independently a hydrocarbyl group having 1 to 40 carbon atoms, which may contain a fluorine atom or a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include the same as those exemplified as the hydrocarbyl group represented by R 111 in formula (1A'). R fb1 and R fb2 are preferably a fluorine atom or a linear fluorinated alkyl group having 1 to 4 carbon atoms. R fb1 and R fb2 may be bonded to each other to form a ring together with the group to which they are bonded (-CF 2 -SO 2 -N - -SO 2 -CF 2 -), and in this case, the group obtained by bonding R fb1 and R fb2 to each other is preferably a fluorinated ethylene group or a fluorinated propylene group.

式(1C)中、Rfc1、Rfc2及びRfc3は、それぞれ独立に、フッ素原子、又はヘテロ原子を含んでいてもよい炭素数1~40のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、式(1A')中のR111で表されるヒドロカルビル基として例示したものと同様のものが挙げられる。Rfc1、Rfc2及びRfc3として好ましくは、フッ素原子又は炭素数1~4の直鎖状フッ素化アルキル基である。また、Rfc1とRfc2とは、互いに結合してこれらが結合する基(-CF2-SO2-C--SO2-CF2-)と共に環を形成してもよく、このとき、Rfc1とRfc2とが互いに結合して得られる基は、フッ素化エチレン基又はフッ素化プロピレン基であることが好ましい。 In formula (1C), R fc1 , R fc2 and R fc3 are each independently a hydrocarbyl group having 1 to 40 carbon atoms, which may contain a fluorine atom or a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include the same as those exemplified as the hydrocarbyl group represented by R 111 in formula (1A'). R fc1 , R fc2 and R fc3 are preferably a fluorine atom or a linear fluorinated alkyl group having 1 to 4 carbon atoms. R fc1 and R fc2 may be bonded to each other to form a ring together with the group (-CF 2 -SO 2 -C - -SO 2 -CF 2 -) to which they are bonded, and in this case, the group obtained by bonding R fc1 and R fc2 to each other is preferably a fluorinated ethylene group or a fluorinated propylene group.

式(1D)中、Rfdは、ヘテロ原子を含んでいてもよい炭素数1~40のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、式(1A')中のR111で表されるヒドロカルビル基として例示したものと同様のものが挙げられる。 In formula (1D), R fd is a hydrocarbyl group having 1 to 40 carbon atoms which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include the same as those exemplified as the hydrocarbyl group represented by R 111 in formula (1A').

式(1D)で表されるアニオンを含むスルホニウム塩の合成に関しては、特開2010-215608号公報及び特開2014-133723号公報に詳しい。 For details on the synthesis of sulfonium salts containing the anion represented by formula (1D), see JP-A-2010-215608 and JP-A-2014-133723.

式(1D)で表されるアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 0007480728000161
Examples of the anion represented by formula (1D) include, but are not limited to, those shown below.
Figure 0007480728000161

Figure 0007480728000162
Figure 0007480728000162

なお、式(1D)で表されるアニオンを含む光酸発生剤は、スルホ基のα位にフッ素原子を有していないが、β位に2つのトリフルオロメチル基を有していることに起因して、ベースポリマー中の酸不安定基を切断するのに十分な酸性度を有している。そのため、光酸発生剤として使用することができる。 The photoacid generator containing the anion represented by formula (1D) does not have a fluorine atom at the α-position of the sulfo group, but has two trifluoromethyl groups at the β-position, and therefore has sufficient acidity to cleave acid labile groups in the base polymer. Therefore, it can be used as a photoacid generator.

光酸発生剤として、下記式(2)で表されるものも好適に使用できる。

Figure 0007480728000163
As the photoacid generator, a compound represented by the following formula (2) can also be suitably used.
Figure 0007480728000163

式(2)中、R201及びR202は、それぞれ独立に、ハロゲン原子、又はヘテロ原子を含んでいてもよい炭素数1~30のヒドロカルビル基である。R203は、ヘテロ原子を含んでいてもよい炭素数1~30のヒドロカルビレン基である。また、R201、R202及びR203のうちのいずれか2つが、互いに結合してこれらが結合する硫黄原子と共に環を形成してもよい。このとき、前記環としては、式(1-1)の説明において、R101とR102とが結合してこれらが結合する硫黄原子と共に形成し得る環として例示したものと同様のものが挙げられる。 In formula (2), R 201 and R 202 are each independently a halogen atom or a hydrocarbyl group having 1 to 30 carbon atoms which may contain a heteroatom. R 203 is a hydrocarbylene group having 1 to 30 carbon atoms which may contain a heteroatom. Any two of R 201 , R 202 and R 203 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded. In this case, examples of the ring include the same as those exemplified in the explanation of formula (1-1) as the ring that can be formed by R 101 and R 102 bonding together with the sulfur atom to which they are bonded.

201及びR202で表されるヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、tert-ブチル基、n-ペンチル基、tert-ペンチル基、n-ヘキシル基、n-オクチル基、2-エチルヘキシル基、n-ノニル基、n-デシル基等の炭素数1~30のアルキル基;シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、ノルボルニル基、オキサノルボルニル基、トリシクロ[5.2.1.02,6]デカニル基、アダマンチル基等の炭素数3~30の環式飽和ヒドロカルビル基;フェニル基、メチルフェニル基、エチルフェニル基、n-プロピルフェニル基、イソプロピルフェニル基、n-ブチルフェニル基、イソブチルフェニル基、sec-ブチルフェニル基、tert-ブチルフェニル基、ナフチル基、メチルナフチル基、エチルナフチル基、n-プロピルナフチル基、イソプロピルナフチル基、n-ブチルナフチル基、イソブチルナフチル基、sec-ブチルナフチル基、tert-ブチルナフチル基、アントラセニル基等の炭素数6~30のアリール基;これらを組み合わせて得られる基等が挙げられる。また、これらの基の水素原子の一部又は全部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子を含む基で置換されていてもよく、これらの基の炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子を含む基で置換されていてもよく、その結果、ヒドロキシ基、フッ素原子、塩素原子、臭素原子、ヨウ素原子、シアノ基、ニトロ基、カルボニル基、エーテル結合、エステル結合、スルホン酸エステル結合、カーボネート結合、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。 The hydrocarbyl groups represented by R 201 and R 202 may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include alkyl groups having 1 to 30 carbon atoms, such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl, and n-decyl; cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, oxanorbornyl, and tricyclo[5.2.1.0 2,6 cyclic saturated hydrocarbyl groups having 3 to 30 carbon atoms, such as a decanyl group, and an adamantyl group; aryl groups having 6 to 30 carbon atoms, such as a phenyl group, a methylphenyl group, an ethylphenyl group, an n-propylphenyl group, an isopropylphenyl group, an n-butylphenyl group, an isobutylphenyl group, a sec-butylphenyl group, a tert-butylphenyl group, a naphthyl group, a methylnaphthyl group, an ethylnaphthyl group, an n-propylnaphthyl group, an isopropylnaphthyl group, an n-butylnaphthyl group, an isobutylnaphthyl group, a sec-butylnaphthyl group, a tert-butylnaphthyl group, and an anthracenyl group; and groups obtained by combining these. In addition, some or all of the hydrogen atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom or a halogen atom, and some of the carbon atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom or a nitrogen atom, and as a result, these groups may contain a hydroxy group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a cyano group, a nitro group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic acid anhydride, a haloalkyl group, or the like.

203で表されるヒドロカルビレン基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、メタンジイル基、エタン-1,1-ジイル基、エタン-1,2-ジイル基、プロパン-1,3-ジイル基、ブタン-1,4-ジイル基、ペンタン-1,5-ジイル基、ヘキサン-1,6-ジイル基、ヘプタン-1,7-ジイル基、オクタン-1,8-ジイル基、ノナン-1,9-ジイル基、デカン-1,10-ジイル基、ウンデカン-1,11-ジイル基、ドデカン-1,12-ジイル基、トリデカン-1,13-ジイル基、テトラデカン-1,14-ジイル基、ペンタデカン-1,15-ジイル基、ヘキサデカン-1,16-ジイル基、ヘプタデカン-1,17-ジイル基等の炭素数1~30のアルカンジイル基;シクロペンタンジイル基、シクロヘキサンジイル基、ノルボルナンジイル基、アダマンタンジイル基等の炭素数3~30の環式飽和ヒドロカルビレン基;フェニレン基、メチルフェニレン基、エチルフェニレン基、n-プロピルフェニレン基、イソプロピルフェニレン基、n-ブチルフェニレン基、イソブチルフェニレン基、sec-ブチルフェニレン基、tert-ブチルフェニレン基、ナフチレン基、メチルナフチレン基、エチルナフチレン基、n-プロピルナフチレン基、イソプロピルナフチレン基、n-ブチルナフチレン基、イソブチルナフチレン基、sec-ブチルナフチレン基、tert-ブチルナフチレン基等の炭素数6~30のアリーレン基;これらを組み合わせて得られる基等が挙げられる。また、これらの基の水素原子の一部又は全部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子を含む基で置換されていてもよく、これらの基の炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子を含む基で置換されていてもよく、その結果、ヒドロキシ基、フッ素原子、塩素原子、臭素原子、ヨウ素原子、シアノ基、ニトロ基、カルボニル基、エーテル結合、エステル結合、スルホン酸エステル結合、カーボネート結合、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。前記ヘテロ原子としては、酸素原子が好ましい。 The hydrocarbylene group represented by R 203 may be saturated or unsaturated, and may be straight-chain, branched, or cyclic. Specific examples thereof include alkanediyl groups having 1 to 30 carbon atoms, such as methanediyl group, ethane-1,1-diyl group, ethane-1,2-diyl group, propane-1,3-diyl group, butane-1,4-diyl group, pentane-1,5-diyl group, hexane-1,6-diyl group, heptane-1,7-diyl group, octane-1,8-diyl group, nonane-1,9-diyl group, decane-1,10-diyl group, undecane-1,11-diyl group, dodecane-1,12-diyl group, tridecane-1,13-diyl group, tetradecane-1,14-diyl group, pentadecane-1,15-diyl group, hexadecane-1,16-diyl group, and heptadecane-1,17-diyl group; cyclopentanediyl group, cyclohexanediyl group, and the like. Examples of the aryl group include cyclic saturated hydrocarbylene groups having 3 to 30 carbon atoms, such as xanediyl group, norbornanediyl group, and adamantanediyl group; arylene groups having 6 to 30 carbon atoms, such as phenylene group, methylphenylene group, ethylphenylene group, n-propylphenylene group, isopropylphenylene group, n-butylphenylene group, isobutylphenylene group, sec-butylphenylene group, tert-butylphenylene group, naphthylene group, methylnaphthylene group, ethylnaphthylene group, n-propylnaphthylene group, isopropylnaphthylene group, n-butylnaphthylene group, isobutylnaphthylene group, sec-butylnaphthylene group, and tert-butylnaphthylene group; and groups obtained by combining these. In addition, some or all of the hydrogen atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom or a halogen atom, and some of the carbon atoms of these groups may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom or a nitrogen atom, so that the group may contain a hydroxy group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a cyano group, a nitro group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic acid anhydride, a haloalkyl group, etc. As the heteroatom, an oxygen atom is preferable.

式(2)中、LAは、単結合、エーテル結合、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビレン基である。前記ヒドロカルビレン基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、R203で表されるヒドロカルビレン基として例示したものと同様のものが挙げられる。 In formula (2), L A is a hydrocarbylene group having 1 to 20 carbon atoms which may contain a single bond, an ether bond, or a heteroatom. The hydrocarbylene group may be saturated or unsaturated and may be linear, branched, or cyclic. Specific examples thereof include the same as those exemplified as the hydrocarbylene group represented by R 203 .

式(2)中、XA、XB、XC及びXDは、それぞれ独立に、水素原子、フッ素原子又はトリフルオロメチル基である。ただし、XA、XB、XC及びXDのうち少なくとも1つは、フッ素原子又はトリフルオロメチル基である。 In formula (2), XA , XB , XC , and XD each independently represent a hydrogen atom, a fluorine atom, or a trifluoromethyl group, provided that at least one of XA , XB , XC , and XD is a fluorine atom or a trifluoromethyl group.

式(2)中、kは、0~3の整数である。 In formula (2), k is an integer from 0 to 3.

式(2)で表される光酸発生剤としては、下記式(2')で表されるものが好ましい。

Figure 0007480728000164
The photoacid generator represented by formula (2) is preferably one represented by the following formula (2').
Figure 0007480728000164

式(2')中、LAは、前記と同じ。RHFは、水素原子又はトリフルオロメチル基であり、好ましくはトリフルオロメチル基である。R301、R302及びR303は、それぞれ独立に、水素原子、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、式(1A')中のR111で表されるヒドロカルビル基として例示したものと同様のものが挙げられる。x及びyは、それぞれ独立に、0~5の整数であり、zは、0~4の整数である。 In formula (2'), L A is the same as above. R HF is a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group. R 301 , R 302 and R 303 are each independently a hydrogen atom or a hydrocarbyl group having 1 to 20 carbon atoms which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include the same as those exemplified as the hydrocarbyl group represented by R 111 in formula (1A'). x and y are each independently an integer of 0 to 5, and z is an integer of 0 to 4.

式(2)で表される光酸発生剤としては、特開2017-026980号公報の式(2)で表される光酸発生剤として例示されたものと同様のものが挙げられる。 Examples of photoacid generators represented by formula (2) include those exemplified as photoacid generators represented by formula (2) in JP2017-026980A.

前記光酸発生剤のうち、式(1A')又は(1D)で表されるアニオンを含むものは、酸拡散が小さく、かつ溶剤への溶解性にも優れており、特に好ましい。また、式(2')で表されるものは、酸拡散が極めて小さく、特に好ましい。 Among the photoacid generators, those containing an anion represented by formula (1A') or (1D) are particularly preferred because they have low acid diffusion and excellent solubility in solvents. Those represented by formula (2') are particularly preferred because they have extremely low acid diffusion.

前記光酸発生剤として、ヨウ素原子又は臭素原子で置換された芳香環を有するアニオンを含むスルホニウム塩又はヨードニウム塩を用いることもできる。このような塩としては、下記式(3-1)又は(3-2)で表されるものが挙げられる。

Figure 0007480728000165
As the photoacid generator, a sulfonium salt or an iodonium salt containing an anion having an aromatic ring substituted with an iodine atom or a bromine atom can be used. Examples of such salts include those represented by the following formula (3-1) or (3-2).
Figure 0007480728000165

式(3-1)及び(3-2)中、pは、1≦p≦3を満たす整数である。q及びrは、1≦q≦5、0≦r≦3及び1≦q+r≦5を満たす整数である。qは、1≦q≦3を満たす整数が好ましく、2又は3がより好ましい。rは、0≦r≦2を満たす整数が好ましい。 In formulas (3-1) and (3-2), p is an integer satisfying 1≦p≦3. q and r are integers satisfying 1≦q≦5, 0≦r≦3, and 1≦q+r≦5. q is preferably an integer satisfying 1≦q≦3, more preferably 2 or 3. r is preferably an integer satisfying 0≦r≦2.

式(3-1)及び(3-2)中、XBIは、ヨウ素原子又は臭素原子であり、p及び/又はqが2以上のとき、互いに同一であっても異なっていてもよい。 In formulae (3-1) and (3-2), X BI represents an iodine atom or a bromine atom, and when p and/or q is 2 or more, they may be the same or different.

式(3-1)及び(3-2)中、L11は、単結合、エーテル結合若しくはエステル結合、又はエーテル結合若しくはエステル結合を含んでいてもよい炭素数1~6の飽和ヒドロカルビレン基である。前記飽和ヒドロカルビレン基は、直鎖状、分岐状、環状のいずれでもよい。 In formulae (3-1) and (3-2), L11 is a single bond, an ether bond, an ester bond, or a saturated hydrocarbylene group having 1 to 6 carbon atoms which may contain an ether bond or an ester bond. The saturated hydrocarbylene group may be linear, branched, or cyclic.

式(3-1)及び(3-2)中、L12は、pが1のときは単結合又は炭素数1~20の2価の連結基であり、pが2又は3のときは炭素数1~20の(p+1)価の連結基であり、該連結基は酸素原子、硫黄原子又は窒素原子を含んでいてもよい。 In formulas (3-1) and (3-2), L 12 is a single bond or a divalent linking group having 1 to 20 carbon atoms when p is 1, and is a (p+1)-valent linking group having 1 to 20 carbon atoms when p is 2 or 3, which linking group may contain an oxygen atom, a sulfur atom, or a nitrogen atom.

式(3-1)及び(3-2)中、R401は、ヒドロキシ基、カルボキシ基、フッ素原子、塩素原子、臭素原子若しくはアミノ基、若しくはフッ素原子、塩素原子、臭素原子、ヒドロキシ基、アミノ基若しくはエーテル結合を含んでいてもよい、炭素数1~20の飽和ヒドロカルビル基、炭素数1~20の飽和ヒドロカルビルオキシ基、炭素数2~20の飽和ヒドロカルビルカルボニル基、炭素数2~10の飽和ヒドロカルビルオキシカルボニル基、炭素数2~20の飽和ヒドロカルビルカルボニルオキシ基若しくは炭素数1~20の飽和ヒドロカルビルスルホニルオキシ基、又は-N(R401A)(R401B)、-N(R401C)-C(=O)-R401D若しくは-N(R401C)-C(=O)-O-R401Dである。R401A及びR401Bは、それぞれ独立に、水素原子又は炭素数1~6の飽和ヒドロカルビル基である。R401Cは、水素原子又は炭素数1~6の飽和ヒドロカルビル基であり、ハロゲン原子、ヒドロキシ基、炭素数1~6の飽和ヒドロカルビルオキシ基、炭素数2~6の飽和ヒドロカルビルカルボニル基又は炭素数2~6の飽和ヒドロカルビルカルボニルオキシ基を含んでいてもよい。R401Dは、炭素数1~16の脂肪族ヒドロカルビル基、炭素数6~14のアリール基又は炭素数7~15のアラルキル基であり、ハロゲン原子、ヒドロキシ基、炭素数1~6の飽和ヒドロカルビルオキシ基、炭素数2~6の飽和ヒドロカルビルカルボニル基又は炭素数2~6の飽和ヒドロカルビルカルボニルオキシ基を含んでいてもよい。前記脂肪族ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。前記飽和ヒドロカルビル基、飽和ヒドロカルビルオキシ基、飽和ヒドロカルビルオキシカルボニル基、飽和ヒドロカルビルカルボニル基及び飽和ヒドロカルビルカルボニルオキシ基は、直鎖状、分岐状、環状のいずれでもよい。p及び/又はrが2以上のとき、各R401は互いに同一であっても異なっていてもよい。 In formulae (3-1) and (3-2), R 401 is a hydroxy group, a carboxy group, a fluorine atom, a chlorine atom, a bromine atom or an amino group, or a saturated hydrocarbyl group having 1 to 20 carbon atoms, a saturated hydrocarbyloxy group having 1 to 20 carbon atoms, a saturated hydrocarbylcarbonyl group having 2 to 20 carbon atoms, a saturated hydrocarbyloxycarbonyl group having 2 to 10 carbon atoms, a saturated hydrocarbylcarbonyloxy group having 2 to 20 carbon atoms or a saturated hydrocarbylsulfonyloxy group having 1 to 20 carbon atoms, which may contain a fluorine atom, a chlorine atom, a bromine atom, a hydroxy group, an amino group or an ether bond, or -N(R 401A )(R 401B ), -N(R 401C )-C(=O)-R 401D or -N(R 401C )-C(=O)-O-R 401D . R 401A and R 401B are each independently a hydrogen atom or a saturated hydrocarbyl group having 1 to 6 carbon atoms. R 401C is a hydrogen atom or a saturated hydrocarbyl group having 1 to 6 carbon atoms, and may contain a halogen atom, a hydroxy group, a saturated hydrocarbyloxy group having 1 to 6 carbon atoms, a saturated hydrocarbylcarbonyl group having 2 to 6 carbon atoms, or a saturated hydrocarbylcarbonyloxy group having 2 to 6 carbon atoms. R 401D is an aliphatic hydrocarbyl group having 1 to 16 carbon atoms, an aryl group having 6 to 14 carbon atoms, or an aralkyl group having 7 to 15 carbon atoms, and may contain a halogen atom, a hydroxy group, a saturated hydrocarbyloxy group having 1 to 6 carbon atoms, a saturated hydrocarbylcarbonyl group having 2 to 6 carbon atoms, or a saturated hydrocarbylcarbonyloxy group having 2 to 6 carbon atoms. The aliphatic hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. The saturated hydrocarbyl group, saturated hydrocarbyloxy group, saturated hydrocarbyloxycarbonyl group, saturated hydrocarbylcarbonyl group and saturated hydrocarbylcarbonyloxy group may be linear, branched or cyclic. When p and/or r are 2 or more, each R 401 may be the same or different.

これらのうち、R401としては、ヒドロキシ基、-N(R401C)-C(=O)-R401D、-N(R401C)-C(=O)-O-R401D、フッ素原子、塩素原子、臭素原子、メチル基、メトキシ基等が好ましい。 Of these, preferred as R 401 are a hydroxy group, --N(R 401C )--C(=O)--R 401D , --N(R 401C )--C(=O)--R 401D , a fluorine atom, a chlorine atom, a bromine atom, a methyl group, a methoxy group, and the like.

式(3-1)及び(3-2)中、Rf1~Rf4は、それぞれ独立に、水素原子、フッ素原子又はトリフルオロメチル基であるが、これらのうち少なくとも1つはフッ素原子又はトリフルオロメチル基である。また、Rf1とRf2とが合わさってカルボニル基を形成してもよい。特に、Rf3及びRf4がともにフッ素原子であることが好ましい。 In formulas (3-1) and (3-2), Rf 1 to Rf 4 are each independently a hydrogen atom, a fluorine atom, or a trifluoromethyl group, and at least one of them is a fluorine atom or a trifluoromethyl group. Rf 1 and Rf 2 may combine to form a carbonyl group. In particular, it is preferable that Rf 3 and Rf 4 are both fluorine atoms.

式(3-1)及び(3-2)中、R402~R406は、それぞれ独立に、ハロゲン原子、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビル基である。前記ヒドロカルビル基は、飽和でも不飽和でもよく、直鎖状、分岐状、環状のいずれでもよい。その具体例としては、式(1-1)及び(1-2)の説明において、R101~R105で表されるヒドロカルビル基として例示したものと同様のものが挙げられる。また、これらの基の水素原子の一部又は全部が、ヒドロキシ基、カルボキシ基、ハロゲン原子、シアノ基、ニトロ基、メルカプト基、スルトン基、スルホン基又はスルホニウム塩含有基で置換されていてもよく、これらの基の炭素原子の一部が、エーテル結合、エステル結合、カルボニル基、アミド結合、カーボネート結合又はスルホン酸エステル結合で置換されていてもよい。さらに、R402とR403とが、互いに結合してこれらが結合する硫黄原子と共に環を形成してもよい。このとき、前記環としては、式(1-1)の説明においてR101とR102とが互いに結合してこれらが結合する硫黄原子と共に形成し得る環として例示したものと同様のものが挙げられる。 In formulas (3-1) and (3-2), R 402 to R 406 are each independently a hydrocarbyl group having 1 to 20 carbon atoms, which may contain a halogen atom or a heteroatom. The hydrocarbyl group may be saturated or unsaturated, and may be linear, branched, or cyclic. Specific examples thereof include the same as those exemplified as the hydrocarbyl groups represented by R 101 to R 105 in the explanation of formulas (1-1) and (1-2). In addition, some or all of the hydrogen atoms of these groups may be substituted with a hydroxy group, a carboxy group, a halogen atom, a cyano group, a nitro group, a mercapto group, a sultone group, a sulfone group, or a sulfonium salt-containing group, and some of the carbon atoms of these groups may be substituted with an ether bond, an ester bond, a carbonyl group, an amide bond, a carbonate bond, or a sulfonate ester bond. Furthermore, R 402 and R 403 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded. In this case, examples of the ring include the same rings as those exemplified in the explanation of formula (1-1) as the ring that can be formed when R 101 and R 102 are bonded to each other together with the sulfur atom to which they are bonded.

式(3-1)で表されるスルホニウム塩のカチオンとしては、式(1-1)で表されるスルホニウム塩のカチオンとして例示したものと同様のものが挙げられる。また、式(3-2)で表されるヨードニウム塩のカチオンとしては、式(1-2)で表されるヨードニウム塩のカチオンとして例示したものと同様のものが挙げられる。 Cations of the sulfonium salt represented by formula (3-1) include the same as those exemplified as the cations of the sulfonium salt represented by formula (1-1). Furthermore, cations of the iodonium salt represented by formula (3-2) include the same as those exemplified as the cations of the iodonium salt represented by formula (1-2).

式(3-1)又は(3-2)で表されるオニウム塩のアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、XBIは、前記と同じである。

Figure 0007480728000166
Examples of the anion of the onium salt represented by formula (3-1) or (3-2) include, but are not limited to, those shown below. In the following formula, X BI is the same as defined above.
Figure 0007480728000166

Figure 0007480728000167
Figure 0007480728000167

Figure 0007480728000168
Figure 0007480728000168

Figure 0007480728000169
Figure 0007480728000169

Figure 0007480728000170
Figure 0007480728000170

Figure 0007480728000171
Figure 0007480728000171

Figure 0007480728000172
Figure 0007480728000172

Figure 0007480728000173
Figure 0007480728000173

Figure 0007480728000174
Figure 0007480728000174

Figure 0007480728000175
Figure 0007480728000175

Figure 0007480728000176
Figure 0007480728000176

Figure 0007480728000177
Figure 0007480728000177

Figure 0007480728000178
Figure 0007480728000178

Figure 0007480728000179
Figure 0007480728000179

Figure 0007480728000180
Figure 0007480728000180

Figure 0007480728000181
Figure 0007480728000181

Figure 0007480728000182
Figure 0007480728000182

Figure 0007480728000183
Figure 0007480728000183

Figure 0007480728000184
Figure 0007480728000184

Figure 0007480728000185
Figure 0007480728000185

Figure 0007480728000186
Figure 0007480728000186

Figure 0007480728000187
Figure 0007480728000187

Figure 0007480728000188
Figure 0007480728000188

本発明のレジスト材料が添加型酸発生剤を含む場合、その含有量は、ベースポリマー100質量部に対し、0.1~50質量部が好ましく、1~40質量部がより好ましい。本発明のレジスト材料は、前記ベースポリマーが繰り返し単位fを含むことで、及び/又は添加型酸発生剤を含むことで、本発明のレジスト材料は、化学増幅レジスト材料として機能することができる。 When the resist material of the present invention contains an additive acid generator, the content is preferably 0.1 to 50 parts by mass, and more preferably 1 to 40 parts by mass, per 100 parts by mass of the base polymer. The resist material of the present invention can function as a chemically amplified resist material because the base polymer contains the repeating unit f and/or contains an additive acid generator.

[有機溶剤]
本発明のレジスト材料は、有機溶剤を含んでもよい。前記有機溶剤は、前述した各成分及び後述する各成分が溶解可能なものであれば、特に限定されない。前記有機溶剤としては、特開2008-111103号公報の段落[0144]~[0145]に記載の、シクロヘキサノン、シクロペンタノン、メチル-2-n-ペンチルケトン、2-ヘプタノン等のケトン類;3-メトキシブタノール、3-メチル-3-メトキシブタノール、1-メトキシ-2-プロパノール、1-エトキシ-2-プロパノール、ジアセトンアルコール等のアルコール類;プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類;プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、酢酸tert-ブチル、プロピオン酸tert-ブチル、プロピレングリコールモノtert-ブチルエーテルアセテート等のエステル類;γ-ブチロラクトン等のラクトン類等が挙げられる。
[Organic solvent]
The resist material of the present invention may contain an organic solvent. The organic solvent is not particularly limited as long as it can dissolve the above-mentioned components and the components described below. Examples of the organic solvent include ketones such as cyclohexanone, cyclopentanone, methyl-2-n-pentyl ketone, and 2-heptanone described in paragraphs [0144] to [0145] of JP-A-2008-111103; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and diacetone alcohol; propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, and ethylene glycol Examples of the monoethyl ether include ethers such as propylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; and lactones such as γ-butyrolactone.

本発明のレジスト材料中、前記有機溶剤の含有量は、ベースポリマー100質量部に対し、100~10,000質量部が好ましく、200~8,000質量部がより好ましい。前記有機溶剤は、1種単独で使用してもよく、2種以上を混合して使用してもよい。 In the resist material of the present invention, the content of the organic solvent is preferably 100 to 10,000 parts by mass, and more preferably 200 to 8,000 parts by mass, per 100 parts by mass of the base polymer. The organic solvent may be used alone or in combination of two or more kinds.

[その他の成分]
前述した成分に加えて、界面活性剤、溶解阻止剤、架橋剤、前記フッ素原子含有環式アンモニウム塩化合物以外のクエンチャー(以下、その他のクエンチャーという。)等を目的に応じて適宜組み合わせて配合してポジ型レジスト材料又はネガ型レジスト材料を構成することによって、露光部では前記ベースポリマーが触媒反応により現像液に対する溶解速度が加速されるので、極めて高感度のポジ型レジスト材料又はネガ型レジスト材料とすることができる。この場合、レジスト膜の溶解コントラスト及び解像性が高く、露光余裕度があり、プロセス適応性に優れ、露光後のパターン形状が良好でありながら、特に酸拡散を抑制できることから粗密寸法差が小さく、これらのことから実用性が高く、超LSI用レジスト材料として非常に有効なものとすることができる。
[Other ingredients]
In addition to the above-mentioned components, surfactants, dissolution inhibitors, crosslinking agents, quenchers other than the fluorine atom-containing cyclic ammonium salt compound (hereinafter referred to as other quenchers), etc. can be appropriately combined and blended according to the purpose to form a positive resist material or a negative resist material, whereby the dissolution rate of the base polymer in the developer is accelerated by a catalytic reaction in the exposed area, so that a positive resist material or a negative resist material with extremely high sensitivity can be obtained. In this case, the dissolution contrast and resolution of the resist film are high, there is exposure margin, and the process adaptability is excellent, and the pattern shape after exposure is good, while the dimensional difference between the coarse and fine areas is small, in particular because the acid diffusion can be suppressed, and therefore the resist material is highly practical and can be very effective as a resist material for VLSI.

前記界面活性剤としては、特開2008-111103号公報の段落[0165]~[0166]に記載されたものが挙げられる。界面活性剤を添加することによって、レジスト材料の塗布性を一層向上あるいは制御することができる。本発明のレジスト材料が前記界面活性剤を含む場合、その含有量は、ベースポリマー100質量部に対し、0.0001~10質量部が好ましい。前記界面活性剤は、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。 Examples of the surfactant include those described in paragraphs [0165] to [0166] of JP 2008-111103 A. The addition of a surfactant can further improve or control the coatability of the resist material. When the resist material of the present invention contains the surfactant, the content is preferably 0.0001 to 10 parts by mass per 100 parts by mass of the base polymer. The surfactant may be used alone or in combination of two or more types.

本発明のレジスト材料がポジ型である場合は、溶解阻止剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができる。前記溶解阻止剤としては、分子量が好ましくは100~1,000、より好ましくは150~800で、かつ分子内にフェノール性ヒドロキシ基を2つ以上含む化合物の該フェノール性ヒドロキシ基の水素原子を酸不安定基によって全体として0~100モル%の割合で置換した化合物、又は分子内にカルボキシ基を含む化合物の該カルボキシ基の水素原子を酸不安定基によって全体として平均50~100モル%の割合で置換した化合物が挙げられる。具体的には、ビスフェノールA、トリスフェノール、フェノールフタレイン、クレゾールノボラック、ナフタレンカルボン酸、アダマンタンカルボン酸、コール酸のヒドロキシ基、カルボキシ基の水素原子を酸不安定基で置換した化合物等が挙げられ、例えば、特開2008-122932号公報の段落[0155]~[0178]に記載されている。 When the resist material of the present invention is a positive type, the difference in dissolution rate between the exposed and unexposed areas can be further increased by adding a dissolution inhibitor, thereby further improving the resolution. Examples of the dissolution inhibitor include a compound having a molecular weight of preferably 100 to 1,000, more preferably 150 to 800, and containing two or more phenolic hydroxyl groups in the molecule, in which the hydrogen atoms of the phenolic hydroxyl groups are substituted with acid labile groups at a ratio of 0 to 100 mol % as a whole, or a compound containing a carboxyl group in the molecule, in which the hydrogen atoms of the carboxyl groups are substituted with acid labile groups at an average ratio of 50 to 100 mol % as a whole. Specific examples include bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalene carboxylic acid, adamantane carboxylic acid, hydroxyl groups of cholic acid, and compounds in which the hydrogen atoms of the carboxyl groups are substituted with acid labile groups, and the like, and are described, for example, in paragraphs [0155] to [0178] of JP 2008-122932 A.

本発明のレジスト材料がポジ型であって前記溶解阻止剤を含む場合、その含有量は、ベースポリマー100質量部に対し、0~50質量部が好ましく、5~40質量部がより好ましい。前記溶解阻止剤は、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。 When the resist material of the present invention is a positive type and contains the dissolution inhibitor, the content is preferably 0 to 50 parts by mass, more preferably 5 to 40 parts by mass, per 100 parts by mass of the base polymer. The dissolution inhibitor may be used alone or in combination of two or more kinds.

一方、本発明のレジスト材料がネガ型である場合は、架橋剤を添加することによって、露光部の溶解速度を低下させることによりネガティブパターンを得ることができる。前記架橋剤としては、メチロール基、アルコキシメチル基及びアシロキシメチル基から選ばれる少なくとも1つの基で置換された、エポキシ化合物、メラミン化合物、グアナミン化合物、グリコールウリル化合物又はウレア化合物、イソシアネート化合物、アジド化合物、アルケニルオキシ基等の二重結合を含む化合物等が挙げられる。これらは、添加剤として用いてもよいが、ポリマー側鎖にペンダント基として導入してもよい。また、ヒドロキシ基を含む化合物も架橋剤として用いることができる。 On the other hand, when the resist material of the present invention is a negative type, a crosslinking agent can be added to reduce the dissolution rate of the exposed area to obtain a negative pattern. Examples of the crosslinking agent include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds or urea compounds, isocyanate compounds, azide compounds, and compounds containing double bonds such as alkenyloxy groups, all of which are substituted with at least one group selected from a methylol group, an alkoxymethyl group, and an acyloxymethyl group. These may be used as additives, or may be introduced as pendant groups into the polymer side chain. Compounds containing hydroxyl groups may also be used as crosslinking agents.

前記エポキシ化合物としては、トリス(2,3-エポキシプロピル)イソシアヌレート、トリメチロールメタントリグリシジルエーテル、トリメチロールプロパントリグリシジルエーテル、トリエチロールエタントリグリシジルエーテル等が挙げられる。 Examples of the epoxy compound include tris(2,3-epoxypropyl)isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, triethylolethane triglycidyl ether, etc.

前記メラミン化合物としては、ヘキサメチロールメラミン、ヘキサメトキシメチルメラミン、ヘキサメチロールメラミンの1~6個のメチロール基がメトキシメチル化した化合物又はその混合物、ヘキサメトキシエチルメラミン、ヘキサアシロキシメチルメラミン、ヘキサメチロールメラミンのメチロール基の1~6個がアシロキシメチル化した化合物又はその混合物等が挙げられる。 Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, a compound in which 1 to 6 methylol groups of hexamethylol melamine are methoxymethylated or a mixture thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, a compound in which 1 to 6 methylol groups of hexamethylol melamine are acyloxymethylated or a mixture thereof, etc.

グアナミン化合物としては、テトラメチロールグアナミン、テトラメトキシメチルグアナミン、テトラメチロールグアナミンの1~4個のメチロール基がメトキシメチル化した化合物又はその混合物、テトラメトキシエチルグアナミン、テトラアシロキシグアナミン、テトラメチロールグアナミンの1~4個のメチロール基がアシロキシメチル化した化合物又はその混合物等が挙げられる。 Examples of guanamine compounds include tetramethylol guanamine, tetramethoxymethyl guanamine, compounds in which 1 to 4 methylol groups of tetramethylol guanamine are methoxymethylated or mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, compounds in which 1 to 4 methylol groups of tetramethylol guanamine are acyloxymethylated or mixtures thereof, etc.

グリコールウリル化合物としては、テトラメチロールグリコールウリル、テトラメトキシグリコールウリル、テトラメトキシメチルグリコールウリル、テトラメチロールグリコールウリルのメチロール基の1~4個がメトキシメチル化した化合物又はその混合物、テトラメチロールグリコールウリルのメチロール基の1~4個がアシロキシメチル化した化合物又はその混合物等が挙げられる。ウレア化合物としてはテトラメチロールウレア、テトラメトキシメチルウレア、テトラメチロールウレアの1~4個のメチロール基がメトキシメチル化した化合物又はその混合物、テトラメトキシエチルウレア等が挙げられる。 Examples of glycoluril compounds include tetramethylol glycoluril, tetramethoxy glycoluril, tetramethoxymethyl glycoluril, compounds in which 1 to 4 methylol groups of tetramethylol glycoluril are methoxymethylated or mixtures thereof, compounds in which 1 to 4 methylol groups of tetramethylol glycoluril are acyloxymethylated or mixtures thereof, etc. Examples of urea compounds include tetramethylol urea, tetramethoxymethyl urea, compounds in which 1 to 4 methylol groups of tetramethylol urea are methoxymethylated or mixtures thereof, tetramethoxyethyl urea, etc.

イソシアネート化合物としては、トリレンジイソシアネート、ジフェニルメタンジイソシアネート、ヘキサメチレンジイソシアネート、シクロヘキサンジイソシアネート等が挙げられる。 Examples of isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate, cyclohexane diisocyanate, etc.

アジド化合物としては、1,1'-ビフェニル-4,4'-ビスアジド、4,4'-メチリデンビスアジド、4,4'-オキシビスアジド等が挙げられる。 Examples of azide compounds include 1,1'-biphenyl-4,4'-bisazide, 4,4'-methylidenebisazide, and 4,4'-oxybisazide.

アルケニルオキシ基を含む化合物としては、エチレングリコールジビニルエーテル、トリエチレングリコールジビニルエーテル、1,2-プロパンジオールジビニルエーテル、1,4-ブタンジオールジビニルエーテル、テトラメチレングリコールジビニルエーテル、ネオペンチルグリコールジビニルエーテル、トリメチロールプロパントリビニルエーテル、ヘキサンジオールジビニルエーテル、1,4-シクロヘキサンジオールジビニルエーテル、ペンタエリスリトールトリビニルエーテル、ペンタエリスリトールテトラビニルエーテル、ソルビトールテトラビニルエーテル、ソルビトールペンタビニルエーテル、トリメチロールプロパントリビニルエーテル等が挙げられる。 Examples of compounds containing an alkenyloxy group include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylolpropane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylolpropane trivinyl ether.

本発明のレジスト材料がネガ型であって架橋剤を含む場合、その含有量は、ベースポリマー100質量部に対し、0.1~50質量部が好ましく、1~40質量部がより好ましい。前記架橋剤は、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。 When the resist material of the present invention is a negative type and contains a crosslinking agent, the content is preferably 0.1 to 50 parts by mass, more preferably 1 to 40 parts by mass, per 100 parts by mass of the base polymer. The crosslinking agent may be used alone or in combination of two or more types.

前記その他のクエンチャーとしては、従来型の塩基性化合物が挙げられる。従来型の塩基性化合物としては、第1級、第2級又は第3級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、ヒドロキシ基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられる。特に、特開2008-111103号公報の段落[0146]~[0164]に記載の第1級、第2級、第3級のアミン化合物、特にはヒドロキシ基、エーテル結合、エステル結合、ラクトン環、シアノ基、スルホン酸エステル結合を有するアミン化合物あるいは特許第3790649号公報に記載のカーバメート基を有する化合物等が好ましい。このような塩基性化合物を添加することによって、例えば、レジスト膜中での酸の拡散速度を更に抑制したり、形状を補正したりすることができる。 Examples of the other quenchers include conventional basic compounds. Examples of conventional basic compounds include primary, secondary, or tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, nitrogen-containing compounds having a sulfonyl group, nitrogen-containing compounds having a hydroxy group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates, and the like. In particular, the primary, secondary, and tertiary amine compounds described in paragraphs [0146] to [0164] of JP-A-2008-111103, particularly amine compounds having a hydroxy group, an ether bond, an ester bond, a lactone ring, a cyano group, or a sulfonate ester bond, or compounds having a carbamate group described in JP-A-3790649, are preferred. By adding such basic compounds, for example, it is possible to further suppress the diffusion rate of the acid in the resist film or correct the shape.

また、その他のクエンチャーとして、特開2008-158339号公報に記載されているα位がフッ素化されていないスルホン酸及びカルボン酸の、スルホニウム塩、ヨードニウム塩、アンモニウム塩等のオニウム塩が挙げられる。α位がフッ素化されたスルホン酸、イミド酸又はメチド酸は、カルボン酸エステルの酸不安定基を脱保護させるのに必要であるが、α位がフッ素化されていないオニウム塩との塩交換によってα位がフッ素化されていないスルホン酸又はカルボン酸が放出される。α位がフッ素化されていないスルホン酸及びカルボン酸は脱保護反応を起こさないため、クエンチャーとして機能する。 Other quenchers include onium salts such as sulfonium salts, iodonium salts, and ammonium salts of sulfonic acids and carboxylic acids not fluorinated at the α-position, as described in JP-A-2008-158339. Sulfonic acids, imide acids, or methide acids fluorinated at the α-position are necessary to deprotect the acid labile group of a carboxylic acid ester, but a sulfonic acid or carboxylic acid not fluorinated at the α-position is released by salt exchange with an onium salt not fluorinated at the α-position. Sulfonic acids and carboxylic acids not fluorinated at the α-position do not undergo a deprotection reaction, and therefore function as quenchers.

その他のクエンチャーとしては、更に、特開2008-239918号公報に記載のポリマー型クエンチャーが挙げられる。これは、レジスト膜表面に配向することによってレジストパターンの矩形性を高める。ポリマー型クエンチャーは、液浸露光用の保護膜を適用したときのパターンの膜減りやパターントップのラウンディングを防止する効果もある。 Other quenchers include the polymer-type quencher described in JP 2008-239918 A. This enhances the rectangularity of the resist pattern by orienting on the surface of the resist film. Polymer-type quenchers also have the effect of preventing pattern film loss and rounding of the pattern top when a protective film for immersion exposure is applied.

本発明のレジスト材料がその他のクエンチャーを含む場合、その含有量は、ベースポリマー100質量部に対し、0~5質量部が好ましく、0~4質量部がより好ましい。その他のクエンチャーは、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。 When the resist material of the present invention contains another quencher, the content thereof is preferably 0 to 5 parts by mass, more preferably 0 to 4 parts by mass, per 100 parts by mass of the base polymer. The other quenchers may be used alone or in combination of two or more kinds.

本発明のレジスト材料は、レジスト膜表面の撥水性を向上させるため、撥水性向上剤を含んでもよい。前記撥水性向上剤は、トップコートを用いない液浸リソグラフィーに用いることができる。前記撥水性向上剤としては、フッ化アルキル基を含むポリマー、特定構造の1,1,1,3,3,3-ヘキサフルオロ-2-プロパノール残基を含むポリマー等が好ましく、特開2007-297590号公報、特開2008-111103号公報等に例示されているものがより好ましい。前記撥水性向上剤は、アルカリ現像液や有機溶剤現像液に溶解する必要がある。前述した特定の1,1,1,3,3,3-ヘキサフルオロ-2-プロパノール残基を有する撥水性向上剤は、現像液への溶解性が良好である。撥水性向上剤として、アミノ基やアミン塩を含む繰り返し単位を含むポリマーは、PEB中の酸の蒸発を防いで現像後のホールパターンの開口不良を防止する効果が高い。本発明のレジスト材料が撥水性向上剤を含む場合、その含有量は、ベースポリマー100質量部に対し、0~20質量部が好ましく、0.5~10質量部がより好ましい。前記撥水性向上剤は、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。 The resist material of the present invention may contain a water repellency improver to improve the water repellency of the resist film surface. The water repellency improver can be used in immersion lithography without using a topcoat. As the water repellency improver, a polymer containing a fluorinated alkyl group, a polymer containing a 1,1,1,3,3,3-hexafluoro-2-propanol residue of a specific structure, etc. are preferred, and those exemplified in JP-A-2007-297590, JP-A-2008-111103, etc. are more preferred. The water repellency improver needs to be soluble in an alkaline developer or an organic solvent developer. The water repellency improver having the specific 1,1,1,3,3,3-hexafluoro-2-propanol residue described above has good solubility in the developer. As a water repellency improver, a polymer containing a repeating unit containing an amino group or an amine salt is highly effective in preventing the evaporation of acid during PEB and preventing poor opening of a hole pattern after development. When the resist material of the present invention contains a water repellency improver, the content is preferably 0 to 20 parts by mass, more preferably 0.5 to 10 parts by mass, per 100 parts by mass of the base polymer. The water repellency improver may be used alone or in combination of two or more kinds.

本発明のレジスト材料は、アセチレンアルコール類を含んでもよい。前記アセチレンアルコール類としては、特開2008-122932号公報の段落[0179]~[0182]に記載されたものが挙げられる。本発明のレジスト材料がアセチレンアルコール類を含む場合、その含有量は、ベースポリマー100質量部に対し、0~5質量部が好ましい。前記アセチレンアルコール類は、1種単独で使用してもよく、2種以上を組み合わせて使用してもよい。 The resist material of the present invention may contain acetylene alcohols. Examples of the acetylene alcohols include those described in paragraphs [0179] to [0182] of JP 2008-122932 A. When the resist material of the present invention contains acetylene alcohols, the content is preferably 0 to 5 parts by mass per 100 parts by mass of the base polymer. The acetylene alcohols may be used alone or in combination of two or more types.

[パターン形成方法]
本発明のレジスト材料を種々の集積回路製造に用いる場合は、公知のリソグラフィー技術を適用することができる。例えば、パターン形成方法としては、前述したレジスト材料を用いて基板上にレジスト膜を形成する工程と、前記レジスト膜を高エネルギー線で露光する工程と、露光したレジスト膜を、現像液を用いて現像する工程とを含む方法が挙げられる。
[Pattern formation method]
When the resist material of the present invention is used in various integrated circuit manufacturing, known lithography techniques can be applied.For example, the pattern forming method includes the steps of forming a resist film on a substrate using the resist material described above, exposing the resist film to high energy radiation, and developing the exposed resist film using a developer.

まず、本発明のレジスト材料を、集積回路製造用の基板(Si、SiO2、SiN、SiON、TiN、WSi、BPSG、SOG、有機反射防止膜等)あるいはマスク回路製造用の基板(Cr、CrO、CrON、MoSi2、SiO2等)上にスピンコート、ロールコート、フローコート、ディップコート、スプレーコート、ドクターコート等の適当な塗布方法により塗布膜厚が0.01~2μmとなるように塗布する。これをホットプレート上で、好ましくは60~150℃、10秒~30分間、より好ましくは80~120℃、30秒~20分間プリベークし、レジスト膜を形成する。 First, the resist material of the present invention is applied onto a substrate for integrated circuit manufacture (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic anti-reflective film, etc.) or a substrate for mask circuit manufacture (Cr, CrO, CrON, MoSi 2 , SiO 2 , etc.) by a suitable application method such as spin coating, roll coating, flow coating, dip coating, spray coating, doctor coating, etc., so that the applied film has a thickness of 0.01 to 2 μm. This is then prebaked on a hot plate, preferably at 60 to 150° C. for 10 seconds to 30 minutes, more preferably at 80 to 120° C. for 30 seconds to 20 minutes, to form a resist film.

次いで、高エネルギー線を用いて、前記レジスト膜を露光する。前記高エネルギー線としては、紫外線、遠紫外線、EB、波長3~15nmのEUV、X線、軟X線、エキシマレーザー光、γ線、シンクロトロン放射線等が挙げられる。前記高エネルギー線として紫外線、遠紫外線、EUV、X線、軟X線、エキシマレーザー光、γ線、シンクロトロン放射線等を用いる場合は、直接又は目的のパターンを形成するためのマスクを用いて、露光量が好ましくは1~200mJ/cm2程度、より好ましくは10~100mJ/cm2程度となるように照射する。高エネルギー線としてEBを用いる場合は、露光量が好ましくは0.1~100μC/cm2程度、より好ましくは0.5~50μC/cm2程度で直接又は目的のパターンを形成するためのマスクを用いて描画する。なお、本発明のレジスト材料は、特に高エネルギー線の中でも、波長365nmのi線、KrFエキシマレーザー光、ArFエキシマレーザー光、EB、EUV、X線、軟X線、γ線、シンクロトロン放射線による微細パターニングに好適であり、特にEB又はEUVによる微細パターニングに好適である。 Next, the resist film is exposed to high energy radiation. Examples of the high energy radiation include ultraviolet radiation, far ultraviolet radiation, EB, EUV radiation with a wavelength of 3 to 15 nm, X-rays, soft X-rays, excimer laser light, gamma rays, synchrotron radiation, and the like. When ultraviolet radiation, far ultraviolet radiation, EUV, X-rays, soft X-rays, excimer laser light, gamma rays, synchrotron radiation, and the like are used as the high energy radiation, irradiation is performed directly or using a mask for forming a desired pattern so that the exposure amount is preferably about 1 to 200 mJ/cm 2 , more preferably about 10 to 100 mJ/cm 2. When EB is used as the high energy radiation, writing is performed directly or using a mask for forming a desired pattern so that the exposure amount is preferably about 0.1 to 100 μC/cm 2 , more preferably about 0.5 to 50 μC/cm 2 . The resist material of the present invention is particularly suitable for fine patterning using high-energy rays, such as i-rays with a wavelength of 365 nm, KrF excimer laser light, ArF excimer laser light, EB, EUV, X-rays, soft X-rays, gamma rays, and synchrotron radiation, and is particularly suitable for fine patterning using EB or EUV.

露光後、ホットプレート上又はオーブン中で、好ましくは30~150℃、10秒~30分間、より好ましくは50~120℃、30秒~20分間PEBを行ってもよいし、行わなくてもよい。 After exposure, PEB may or may not be performed on a hot plate or in an oven, preferably at 30 to 150°C for 10 seconds to 30 minutes, more preferably at 50 to 120°C for 30 seconds to 20 minutes.

露光後又はPEB後、0.1~10質量%、好ましくは2~5質量%のテトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)等のアルカリ水溶液の現像液を用い、3秒~3分間、好ましくは5秒~2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により露光したレジスト膜を現像することで、目的のパターンが形成される。ポジ型レジスト材料の場合は、光を照射した部分は現像液に溶解し、露光されなかった部分は溶解せず、基板上にポジ型のパターンが形成される。ネガ型レジスト材料の場合はポジ型レジスト材料の場合とは逆であり、すなわち光を照射した部分は現像液に不溶化し、露光されなかった部分は溶解する。 After exposure or PEB, the exposed resist film is developed using a developer of an alkaline aqueous solution of 0.1 to 10% by weight, preferably 2 to 5% by weight, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), etc., for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes, by a conventional method such as dipping, puddling, or spraying, to form the desired pattern. In the case of a positive resist material, the part irradiated with light dissolves in the developer, while the part not exposed to light does not dissolve, forming a positive pattern on the substrate. In the case of a negative resist material, the opposite is true; that is, the part irradiated with light becomes insoluble in the developer, while the part not exposed to light dissolves.

酸不安定基を含むベースポリマーを含むポジ型レジスト材料を用いて、有機溶剤現像によってネガティブパターンを得ることもできる。このときに用いる現像液としては、2-オクタノン、2-ノナノン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、2-ヘキサノン、3-ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノン、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ブテニル、酢酸イソペンチル、ギ酸プロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸ペンチル、ギ酸イソペンチル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、プロピオン酸メチル、プロピオン酸エチル、3-エトキシプロピオン酸エチル、乳酸メチル、乳酸エチル、乳酸プロピル、乳酸ブチル、乳酸イソブチル、乳酸ペンチル、乳酸イソペンチル、2-ヒドロキシイソ酪酸メチル、2-ヒドロキシイソ酪酸エチル、安息香酸メチル、安息香酸エチル、酢酸フェニル、酢酸ベンジル、フェニル酢酸メチル、ギ酸ベンジル、ギ酸フェニルエチル、3-フェニルプロピオン酸メチル、プロピオン酸ベンジル、フェニル酢酸エチル、酢酸2-フェニルエチル等が挙げられる。これらの有機溶剤は、1種単独で又は2種以上を混合して使用することができる。 A negative pattern can also be obtained by organic solvent development using a positive resist material containing a base polymer containing an acid labile group. The developers used in this case include 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, Examples of the organic solvents include methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate. These organic solvents can be used alone or in combination of two or more.

現像の終了時には、リンスを行う。リンス液としては、現像液と混溶し、レジスト膜を溶解させない溶剤が好ましい。このような溶剤としては、炭素数3~10のアルコール、炭素数8~12のエーテル化合物、炭素数6~12のアルカン、アルケン、アルキン、芳香族系の溶剤が好ましく用いられる。 After development is completed, rinsing is performed. A preferred rinsing solution is a solvent that is miscible with the developer and does not dissolve the resist film. Examples of such solvents that are preferably used include alcohols with 3 to 10 carbon atoms, ether compounds with 8 to 12 carbon atoms, alkanes, alkenes, alkynes, and aromatic solvents with 6 to 12 carbon atoms.

具体的に、炭素数3~10のアルコールとしては、n-プロピルアルコール、イソプロピルアルコール、1-ブチルアルコール、2-ブチルアルコール、イソブチルアルコール、tert-ブチルアルコール、1-ペンタノール、2-ペンタノール、3-ペンタノール、tert-ペンチルアルコール、ネオペンチルアルコール、2-メチル-1-ブタノール、3-メチル-1-ブタノール、3-メチル-3-ペンタノール、シクロペンタノール、1-ヘキサノール、2-ヘキサノール、3-ヘキサノール、2,3-ジメチル-2-ブタノール、3,3-ジメチル-1-ブタノール、3,3-ジメチル-2-ブタノール、2-エチル-1-ブタノール、2-メチル-1-ペンタノール、2-メチル-2-ペンタノール、2-メチル-3-ペンタノール、3-メチル-1-ペンタノール、3-メチル-2-ペンタノール、3-メチル-3-ペンタノール、4-メチル-1-ペンタノール、4-メチル-2-ペンタノール、4-メチル-3-ペンタノール、シクロヘキサノール、1-オクタノール等が挙げられる。 Specific examples of alcohols having 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, tert-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, and 3-hexanol. , 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, 1-octanol, etc.

炭素数8~12のエーテル化合物としては、ジ-n-ブチルエーテル、ジイソブチルエーテル、ジ-sec-ブチルエーテル、ジ-n-ペンチルエーテル、ジイソペンチルエーテル、ジ-sec-ペンチルエーテル、ジ-tert-ペンチルエーテル、ジ-n-ヘキシルエーテル等が挙げられる。 Examples of ether compounds having 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-sec-butyl ether, di-n-pentyl ether, diisopentyl ether, di-sec-pentyl ether, di-tert-pentyl ether, and di-n-hexyl ether.

炭素数6~12のアルカンとしては、ヘキサン、ヘプタン、オクタン、ノナン、デカン、ウンデカン、ドデカン、メチルシクロペンタン、ジメチルシクロペンタン、シクロヘキサン、メチルシクロヘキサン、ジメチルシクロヘキサン、シクロヘプタン、シクロオクタン、シクロノナン等が挙げられる。炭素数6~12のアルケンとしては、ヘキセン、ヘプテン、オクテン、シクロヘキセン、メチルシクロヘキセン、ジメチルシクロヘキセン、シクロヘプテン、シクロオクテン等が挙げられる。炭素数6~12のアルキンとしては、ヘキシン、ヘプチン、オクチン等が挙げられる。 Examples of alkanes having 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, cyclononane, etc. Examples of alkenes having 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, cyclooctene, etc. Examples of alkynes having 6 to 12 carbon atoms include hexyne, heptine, octyne, etc.

芳香族系の溶剤としては、トルエン、キシレン、エチルベンゼン、イソプロピルベンゼン、tert-ブチルベンゼン、メシチレン等が挙げられる。 Aromatic solvents include toluene, xylene, ethylbenzene, isopropylbenzene, tert-butylbenzene, mesitylene, etc.

リンスを行うことによってレジストパターンの倒れや欠陥の発生を低減させることができる。また、リンスは必ずしも必須ではなく、リンスを行わないことによって溶剤の使用量を削減することができる。 Rinsing can reduce the occurrence of resist pattern collapse and defects. Rinsing is not always necessary, and not rinsing can reduce the amount of solvent used.

現像後のホールパターンやトレンチパターンを、サーマルフロー、RELACS技術又はDSA技術でシュリンクすることもできる。ホールパターン上にシュリンク剤を塗布し、ベーク中のレジスト膜からの酸触媒の拡散によってレジスト膜の表面でシュリンク剤の架橋が起こり、シュリンク剤がホールパターンの側壁に付着する。ベーク温度は、好ましくは70~180℃、より好ましくは80~170℃であり、ベーク時間は、好ましくは10~300秒であり、余分なシュリンク剤を除去し、ホールパターンを縮小させる。 The hole pattern or trench pattern after development can also be shrunk using thermal flow, RELACS technology, or DSA technology. A shrink agent is applied onto the hole pattern, and the diffusion of acid catalyst from the resist film during baking causes crosslinking of the shrink agent on the surface of the resist film, and the shrink agent adheres to the sidewalls of the hole pattern. The bake temperature is preferably 70 to 180°C, more preferably 80 to 170°C, and the bake time is preferably 10 to 300 seconds, removing excess shrink agent and shrinking the hole pattern.

以下、合成例、実施例及び比較例を示して本発明を具体的に説明するが、本発明は下記の実施例に限定されない。 The present invention will be specifically explained below with reference to synthesis examples, examples, and comparative examples, but the present invention is not limited to the following examples.

[合成例1-1]クエンチャーQ-1の合成
(1)中間体In-1の合成

Figure 0007480728000189
[Synthesis Example 1-1] Synthesis of Quencher Q-1 (1) Synthesis of Intermediate In-1
Figure 0007480728000189

窒素雰囲気下、氷冷したフラスコ内で、4-(2-ヒドロキシエチル)モルホリン(5.0g)及び塩化メチレン(100g)に対し、トリフルオロ酢酸(11.4g)を滴下した。滴下後、反応系を室温に昇温して17時間熟成した。熟成後、溶剤及び過剰のトリフルオロ酢酸を留去することで、フッ素原子含有環式アミン化合物(中間体In-1)を油状物として得た(収量8.7g、収率100%)。 In an ice-cooled flask under a nitrogen atmosphere, trifluoroacetic acid (11.4 g) was added dropwise to 4-(2-hydroxyethyl)morpholine (5.0 g) and methylene chloride (100 g). After the addition, the reaction system was warmed to room temperature and aged for 17 hours. After aging, the solvent and excess trifluoroacetic acid were distilled off to obtain a fluorine atom-containing cyclic amine compound (intermediate In-1) as an oil (yield 8.7 g, 100%).

(2)クエンチャーQ-1の合成

Figure 0007480728000190
(2) Synthesis of Quencher Q-1
Figure 0007480728000190

窒素雰囲気下、フラスコに、中間体In-1(1.0g)、2,3,5-トリヨード安息香酸(2.2g)及びTHF(5g)を加え、室温で30分間攪拌した後、溶剤を留去し、クエンチャーQ-1を固体として得た(収量3.3g、収率100%)。
クエンチャーQ-1のIRスペクトルデータ及びTOF-MSの結果を以下に示す。また、核磁気共鳴スペクトル(1H-NMR/DMSO-d6)の結果を図1に示す。なお、1H-NMRにおいて微量の残溶剤(THF)が観測された。
IR(D-ATR): ν= 3062, 1780, 1707, 1595, 1543, 1520, 1410, 1392, 1374, 1361, 1276, 1222, 1197, 1108, 1066, 1017, 1002, 911, 871, 839, 799, 778, 723, 706, 688, 677, 595, 509, 457 cm-1.
TOF-MS (MALDI): POSITIVE M+228(C8H13F3NO3 +相当)
Under a nitrogen atmosphere, intermediate In-1 (1.0 g), 2,3,5-triiodobenzoic acid (2.2 g) and THF (5 g) were added to a flask and stirred at room temperature for 30 minutes. The solvent was then distilled off to obtain quencher Q-1 as a solid (yield 3.3 g, 100%).
The IR spectrum data and TOF-MS results of Quencher Q-1 are shown below. The nuclear magnetic resonance spectrum ( 1 H-NMR/DMSO-d 6 ) results are shown in Figure 1. A trace amount of residual solvent (THF) was observed in the 1 H-NMR.
IR(D-ATR): ν= 3062, 1780, 1707, 1595, 1543, 1520, 1410, 1392, 1374, 1361, 1276, 1222, 1197, 1108, 1066, 1017, 1002, 911, 871, 839, 799, 778, 723, 706, 688, 677, 595, 509, 457 cm -1 .
TOF - MS (MALDI): POSITIVE M + 228 ( C8H13F3NO3 + equivalent )

[合成例1-2~1-35]クエンチャーQ-2~Q-35の合成
合成例1-1と同様に、フッ素原子含有環式アミン化合物と、カルボン酸化合物、スルホンアミド化合物、ハロゲン化フェノール化合物又はハロゲン化水素化合物とを、1:1のモル比で混合することによって、クエンチャーQ-2~Q-35を合成した。クエンチャーQ-1~Q-35の構造を以下に示す。

Figure 0007480728000191
[Synthesis Examples 1-2 to 1-35] Synthesis of Quenchers Q-2 to Q-35 As in Synthesis Example 1-1, quenchers Q-2 to Q-35 were synthesized by mixing a fluorine atom-containing cyclic amine compound with a carboxylic acid compound, a sulfonamide compound, a halogenated phenol compound or a hydrogen halide compound in a molar ratio of 1:1. The structures of quenchers Q-1 to Q-35 are shown below.
Figure 0007480728000191

Figure 0007480728000192
Figure 0007480728000192

Figure 0007480728000193
Figure 0007480728000193

Figure 0007480728000194
Figure 0007480728000194

Figure 0007480728000195
Figure 0007480728000195

Figure 0007480728000196
Figure 0007480728000196

[合成例2-1~2-4]ベースポリマー(ポリマーP-1~P-4)の合成
各モノマーを組み合わせて、溶剤であるTHF中で共重合反応を行い、反応溶液をメタノールに入れ、析出した固体をヘキサンで繰り返し洗浄した後、単離し、乾燥して、以下に示す組成のベースポリマー(P-1~P-4)を得た。得られたベースポリマーの組成は1H-NMRにより、Mw及びMw/MnはGPC(溶剤:THF、標準:ポリスチレン)により確認した。
Synthesis Examples 2-1 to 2-4: Synthesis of base polymers (polymers P-1 to P-4) Each monomer was combined and copolymerized in THF, a solvent, and the reaction solution was poured into methanol. The precipitated solid was repeatedly washed with hexane, isolated, and dried to obtain base polymers (P-1 to P-4) with the compositions shown below. The compositions of the obtained base polymers were confirmed by 1H -NMR, and Mw and Mw/Mn were confirmed by GPC (solvent: THF, standard: polystyrene).

Figure 0007480728000197
Figure 0007480728000197

[実施例1~44、比較例1~3]レジスト材料の調製及びその評価
(1)レジスト材料の調製
表1~3に示す組成で各成分を溶解させた溶液を、0.2μmサイズのフィルターで濾過してレジスト材料を調製した。実施例1~21、実施例23~44及び比較例1、2のレジスト材料はポジ型であり、実施例22及び比較例3のレジスト材料はネガ型である。
[Examples 1 to 44, Comparative Examples 1 to 3] Preparation and Evaluation of Resist Materials (1) Preparation of Resist Materials Resist materials were prepared by filtering solutions in which each component was dissolved according to the compositions shown in Tables 1 to 3 through a 0.2 μm filter. The resist materials of Examples 1 to 21, Examples 23 to 44, and Comparative Examples 1 and 2 were positive-type, and the resist materials of Example 22 and Comparative Example 3 were negative-type.

表1~3中、各成分は、以下のとおりである。
・有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
DAA(ジアセトンアルコール)
In Tables 1 to 3, the components are as follows.
Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
DAA (Diacetone Alcohol)

・酸発生剤:PAG-1~PAG-4

Figure 0007480728000198
Acid generator: PAG-1 to PAG-4
Figure 0007480728000198

・ブレンドクエンチャーbQ-1~bQ-3

Figure 0007480728000199
Blend quenchers bQ-1 to bQ-3
Figure 0007480728000199

・比較クエンチャー:cQ-1、cQ-2

Figure 0007480728000200
Comparative quenchers: cQ-1, cQ-2
Figure 0007480728000200

(2)EUVリソグラフィー評価
表1~3に示す各レジスト材料を、信越化学工業(株)製ケイ素含有スピンオンハードマスクSHB-A940(ケイ素の含有量が43質量%)を膜厚20nmで形成したSi基板上にスピンコートし、ホットプレートを用いて100℃で60秒間プリベークして膜厚50nmのレジスト膜を作製した。これを、ASML社製EUVスキャナーNXE3300(NA0.33、σ0.9/0.6、クアドルポール照明、ウエハー上寸法がピッチ44nm、+20%バイアスのホールパターンのマスク)を用いて露光し、ホットプレート上で表1~3に記載の温度で60秒間PEBを行い、2.38質量%TMAH水溶液で30秒間現像を行って、実施例1~21、実施例23~44及び比較例1、2では寸法22nmのホールパターンを、実施例22及び比較例3では寸法22nmのドットパターンを得た。
(株)日立ハイテクノロジーズ製の測長SEM(CG6300)を用いて、ホール又はドット寸法が22nmで形成されるときの露光量を測定してこれを感度とし、また、このときのホール又はドット50個の寸法を測定し、その結果から算出した標準偏差(σ)の3倍値(3σ)を寸法バラツキ(CDU)とした。結果を表1~3に併記する。
(2) EUV Lithography Evaluation Each resist material shown in Tables 1 to 3 was spin-coated on a Si substrate formed with a silicon-containing spin-on hard mask SHB-A940 (silicon content 43% by mass) manufactured by Shin-Etsu Chemical Co., Ltd. with a film thickness of 20 nm, and pre-baked at 100 ° C. for 60 seconds using a hot plate to prepare a resist film with a film thickness of 50 nm. This was exposed using an EUV scanner NXE3300 (NA 0.33, σ 0.9 / 0.6, quadruple pole illumination, a hole pattern mask with a pitch of 44 nm on the wafer and a bias of +20%) manufactured by ASML, and PEB was performed for 60 seconds on a hot plate at the temperatures listed in Tables 1 to 3, and development was performed for 30 seconds with a 2.38 mass% TMAH aqueous solution to obtain a hole pattern with a size of 22 nm in Examples 1 to 21, Examples 23 to 44, and Comparative Examples 1 and 2, and a dot pattern with a size of 22 nm in Example 22 and Comparative Example 3.
Using a critical dimension SEM (CG6300) manufactured by Hitachi High-Technologies Corporation, the exposure dose when holes or dots were formed with a dimension of 22 nm was measured and used as the sensitivity, and the dimensions of 50 holes or dots at this time were measured, and the standard deviation (σ) calculated from the results was tripled (3σ) to use as the dimension variation (CDU). The results are shown in Tables 1 to 3.

Figure 0007480728000201
Figure 0007480728000201

Figure 0007480728000202
Figure 0007480728000202

Figure 0007480728000203
Figure 0007480728000203

表1~3に示した結果より、前記フッ素原子含有環式アンモニウム塩化合物を含む本発明のレジスト材料は、高感度であり、CDUが小さいことがわかった。 The results shown in Tables 1 to 3 show that the resist material of the present invention containing the fluorine atom-containing cyclic ammonium salt compound has high sensitivity and small CDU.

Claims (13)

ベースポリマー、及び下記式(A)で表される塩化合物を含むクエンチャーを含むレジスト材料。
Figure 0007480728000204
(式中、環Rは、式中の窒素原子と共に形成される炭素数2~12の脂環基であり、該環の中に、エーテル結合、チオエーテル結合、カルボニル基、-N(R')-又はスルホニル基を含んでいてもよい。R'は、水素原子、炭素数1~6の飽和ヒドロカルビル基又は-L3-R3である。
1、L2及びL3は、それぞれ独立に、単結合、エステル結合、スルホニル基又は炭素数1~6のアルカンジイル基であり、該アルカンジイル基の水素原子の一部がヒドロキシ基、フッ素原子で置換されていてもよい炭素数1~12のヒドロカルビルオキシ基、又はフッ素原子で置換されていてもよい炭素数2~12のヒドロカルビルカルボニルオキシ基で置換されていてもよく、該アルカンジイル基を構成するメチレン基の一部がエーテル結合、エステル結合、アミド結合、スルホニル基、スルホン酸エステル結合又はスルホンアミド結合で置換されていてもよい。ただし、L1、L2及びL3は、第3級エステル構造は含まない。
1、R2及びR3は、それぞれ独立に、水素原子、炭素数1~16の飽和ヒドロカルビル基、炭素数6~10のアリール基、少なくとも3つのフッ素原子で置換された炭素数1~16の飽和ヒドロカルビル基、少なくとも3つのフッ素原子で置換された炭素数6~10のアリール基、又はこれらを組み合わせて得られる基であり、R1及びR2の一方又は両方は、少なくとも3つのフッ素原子を有する。
-は、カルボン酸アニオン、スルホンアミドアニオン、ハロゲン化フェノキシドアニオン又はハロゲン化物アニオンである。)
A resist material comprising a base polymer and a quencher comprising a salt compound represented by the following formula (A):
Figure 0007480728000204
(In the formula, ring R is an alicyclic group having 2 to 12 carbon atoms formed together with the nitrogen atom in the formula, and the ring may contain an ether bond, a thioether bond, a carbonyl group, -N(R')- or a sulfonyl group. R' is a hydrogen atom, a saturated hydrocarbyl group having 1 to 6 carbon atoms or -L3 - R3 .
L 1 , L 2 and L 3 are each independently a single bond, an ester bond, a sulfonyl group or an alkanediyl group having 1 to 6 carbon atoms, some of the hydrogen atoms of the alkanediyl group may be substituted with a hydroxy group, a hydrocarbyloxy group having 1 to 12 carbon atoms which may be substituted with a fluorine atom, or a hydrocarbylcarbonyloxy group having 2 to 12 carbon atoms which may be substituted with a fluorine atom, and some of the methylene groups constituting the alkanediyl group may be substituted with an ether bond, an ester bond, an amide bond, a sulfonyl group, a sulfonate ester bond or a sulfonamide bond, provided that L 1 , L 2 and L 3 do not include a tertiary ester structure.
R 1 , R 2 and R 3 each independently represent a hydrogen atom, a saturated hydrocarbyl group having 1 to 16 carbon atoms, an aryl group having 6 to 10 carbon atoms, a saturated hydrocarbyl group having 1 to 16 carbon atoms and substituted with at least three fluorine atoms, an aryl group having 6 to 10 carbon atoms and substituted with at least three fluorine atoms, or a group obtained by combining these, and one or both of R 1 and R 2 have at least three fluorine atoms.
X is a carboxylate anion, a sulfonamide anion, a halogenated phenoxide anion, or a halide anion.
-が、ヨウ素原子若しくは臭素原子を含むカルボン酸アニオン、ヨウ素原子若しくは臭素原子を含むスルホンアミドアニオン、又はヨウ素原子若しくは臭素原子を含むフェノキシドアニオンである請求項1記載のレジスト材料。 2. The resist material according to claim 1, wherein X is a carboxylate anion containing an iodine atom or a bromine atom, a sulfonamide anion containing an iodine atom or a bromine atom, or a phenoxide anion containing an iodine atom or a bromine atom. 更に、スルホン酸、イミド酸又はメチド酸を発生する酸発生剤を含む請求項1又は2記載のレジスト材料。 The resist material according to claim 1 or 2, further comprising an acid generator that generates a sulfonic acid, an imide acid, or a methide acid. 更に、有機溶剤を含む請求項1~3のいずれか1項記載のレジスト材料。 The resist material according to any one of claims 1 to 3 further comprises an organic solvent. 前記ベースポリマーが、下記式(a1)で表される繰り返し単位又は下記式(a2)で表される繰り返し単位を含むものである請求項1~4のいずれか1項記載のレジスト材料。
Figure 0007480728000205
(式中、RAは、それぞれ独立に、水素原子又はメチル基である。
1は、単結合、フェニレン基若しくはナフチレン基、又はエステル結合若しくはラクトン環から選ばれる少なくとも1種を含む炭素数1~12の連結基である。
2は、単結合又はエステル結合である。
3は、単結合、エーテル結合又はエステル結合である。
11及びR12は、それぞれ独立に、酸不安定基である。
13は、フッ素原子、トリフルオロメチル基、シアノ基又は炭素数1~6の飽和ヒドロカルビル基である。
14は、単結合又は炭素数1~6のアルカンジイル基であり、その炭素原子の一部がエーテル結合又はエステル結合で置換されていてもよい。
aは、1又は2である。bは、0~4の整数である。ただし、1≦a+b≦5である。)
The resist material according to any one of claims 1 to 4, wherein the base polymer contains a repeating unit represented by the following formula (a1) or a repeating unit represented by the following formula (a2):
Figure 0007480728000205
(In the formula, each R A is independently a hydrogen atom or a methyl group.
Y 1 is a linking group having 1 to 12 carbon atoms and containing at least one selected from a single bond, a phenylene group, a naphthylene group, an ester bond, and a lactone ring.
Y2 is a single bond or an ester bond.
Y3 is a single bond, an ether bond or an ester bond.
R 11 and R 12 are each independently an acid labile group.
R 13 is a fluorine atom, a trifluoromethyl group, a cyano group or a saturated hydrocarbyl group having 1 to 6 carbon atoms.
R 14 is a single bond or an alkanediyl group having 1 to 6 carbon atoms, some of the carbon atoms of which may be substituted with ether bonds or ester bonds.
a is 1 or 2. b is an integer from 0 to 4, provided that 1≦a+b≦5.
化学増幅ポジ型レジスト材料である請求項5記載のレジスト材料。 The resist material according to claim 5, which is a chemically amplified positive resist material. 前記ベースポリマーが、酸不安定基を含まないものである請求項1~4のいずれか1項記載のレジスト材料。 The resist material according to any one of claims 1 to 4, wherein the base polymer does not contain an acid labile group. 化学増幅ネガ型レジスト材料である請求項7記載のレジスト材料。 The resist material according to claim 7, which is a chemically amplified negative resist material. 更に、界面活性剤を含む請求項1~8のいずれか1項記載のレジスト材料。 The resist material according to any one of claims 1 to 8 further comprises a surfactant. 前記ベースポリマーが、更に、下記式(f1)~(f3)で表される繰り返し単位から選ばれる少なくとも1種を含む請求項1~9のいずれか1項記載のレジスト材料。
Figure 0007480728000206
(式中、RAは、それぞれ独立に、水素原子又はメチル基である。
1は、単結合、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、ナフチレン基若しくはこれらを組み合わせて得られる炭素数7~18の基、又は-O-Z11-、-C(=O)-O-Z11-若しくは-C(=O)-NH-Z11-である。Z11は、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、ナフチレン基又はこれらを組み合わせて得られる炭素数7~18の基であり、カルボニル基、エステル結合、エーテル結合又はヒドロキシ基を含んでいてもよい。
2は、単結合又はエステル結合である。
3は、単結合、-Z31-C(=O)-O-、-Z31-O-又は-Z31-O-C(=O)-である。Z31は、炭素数1~12のヒドロカルビレン基、フェニレン基又はこれらを組み合わせて得られる炭素数7~18の基であり、カルボニル基、エステル結合、エーテル結合、ヨウ素原子又は臭素原子を含んでいてもよい。
4は、メチレン基、2,2,2-トリフルオロ-1,1-エタンジイル基又はカルボニル基である。
5は、単結合、メチレン基、エチレン基、フェニレン基、フッ素化フェニレン基、トリフルオロメチル基で置換されたフェニレン基、-O-Z51-、-C(=O)-O-Z51-又は-C(=O)-NH-Z51-である。Z51は、炭素数1~6の脂肪族ヒドロカルビレン基、フェニレン基、フッ素化フェニレン基又はトリフルオロメチル基で置換されたフェニレン基であり、カルボニル基、エステル結合、エーテル結合又はヒドロキシ基を含んでいてもよい。
21~R28は、それぞれ独立に、ハロゲン原子、又はヘテロ原子を含んでいてもよい炭素数1~20のヒドロカルビル基である。また、R23とR24と又はR26とR27とが、互いに結合してこれらが結合する硫黄原子と共に環を形成していてもよい。
-は、非求核性対向イオンである。)
The resist material according to any one of claims 1 to 9, wherein the base polymer further comprises at least one repeating unit selected from the group consisting of repeating units represented by the following formulas (f1) to (f3):
Figure 0007480728000206
(In the formula, each R A is independently a hydrogen atom or a methyl group.
Z 1 is a single bond, an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a naphthylene group, or a group having 7 to 18 carbon atoms obtained by combining these, or -O-Z 11 -, -C(═O)-O-Z 11 -, or -C(═O)-NH-Z 11 -. Z 11 is an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a naphthylene group, or a group having 7 to 18 carbon atoms obtained by combining these, and may contain a carbonyl group, an ester bond, an ether bond, or a hydroxy group.
Z2 is a single bond or an ester bond.
Z 31 is a single bond, -Z 31 -C(═O)-O-, -Z 31 -O-, or -Z 31 -O-C(═O)-. Z 31 is a hydrocarbylene group having 1 to 12 carbon atoms, a phenylene group, or a group having 7 to 18 carbon atoms obtained by combining these, and may contain a carbonyl group, an ester bond, an ether bond, an iodine atom, or a bromine atom.
Z4 is a methylene group, a 2,2,2-trifluoro-1,1-ethanediyl group or a carbonyl group.
Z5 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, a phenylene group substituted with a trifluoromethyl group, -O- Z51- , -C(=O)-O- Z51- or -C(=O)-NH- Z51- . Z51 is an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a fluorinated phenylene group or a phenylene group substituted with a trifluoromethyl group, and may contain a carbonyl group, an ester bond, an ether bond or a hydroxy group.
R 21 to R 28 are each independently a halogen atom or a hydrocarbyl group having 1 to 20 carbon atoms which may contain a heteroatom. R 23 and R 24 , or R 26 and R 27 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded.
M is a non-nucleophilic counter ion.
請求項1~10のいずれか1項記載のレジスト材料を用いて基板上にレジスト膜を形成する工程と、前記レジスト膜を高エネルギー線で露光する工程と、前記露光したレジスト膜を、現像液を用いて現像する工程とを含むパターン形成方法。 A pattern forming method comprising the steps of forming a resist film on a substrate using the resist material according to any one of claims 1 to 10, exposing the resist film to high-energy radiation, and developing the exposed resist film using a developer. 前記高エネルギー線が、波長365nmのi線、波長193nmのArFエキシマレーザー光又は波長248nmのKrFエキシマレーザー光である請求項11記載のパターン形成方法。 The pattern formation method according to claim 11, wherein the high energy radiation is an i-line having a wavelength of 365 nm, an ArF excimer laser beam having a wavelength of 193 nm, or a KrF excimer laser beam having a wavelength of 248 nm. 前記高エネルギー線が、電子線又は波長3~15nmの極端紫外線である請求項11記載のパターン形成方法。 The pattern formation method according to claim 11, wherein the high-energy beam is an electron beam or extreme ultraviolet light having a wavelength of 3 to 15 nm.
JP2021041923A 2020-08-04 2021-03-16 Resist material and pattern forming method Active JP7480728B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US17/381,405 US12001139B2 (en) 2020-08-04 2021-07-21 Resist composition and patterning process
TW110128299A TWI785709B (en) 2020-08-04 2021-08-02 Resist composition and patterning process
KR1020210101477A KR102588477B1 (en) 2020-08-04 2021-08-02 Resist composition and patterning process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020132114 2020-08-04
JP2020132114 2020-08-04

Publications (2)

Publication Number Publication Date
JP2022029411A JP2022029411A (en) 2022-02-17
JP7480728B2 true JP7480728B2 (en) 2024-05-10

Family

ID=80271486

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021041923A Active JP7480728B2 (en) 2020-08-04 2021-03-16 Resist material and pattern forming method

Country Status (1)

Country Link
JP (1) JP7480728B2 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017181697A (en) 2016-03-29 2017-10-05 Jsr株式会社 Radiation-sensitive resin composition and method for forming resist pattern
JP2020027298A (en) 2018-08-09 2020-02-20 信越化学工業株式会社 Chemically amplified resist material and patterning method
JP2020038358A (en) 2018-08-29 2020-03-12 信越化学工業株式会社 Resist composition and patterning process
JP2020098330A (en) 2018-12-18 2020-06-25 信越化学工業株式会社 Resist composition and patterning process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017181697A (en) 2016-03-29 2017-10-05 Jsr株式会社 Radiation-sensitive resin composition and method for forming resist pattern
JP2020027298A (en) 2018-08-09 2020-02-20 信越化学工業株式会社 Chemically amplified resist material and patterning method
JP2020038358A (en) 2018-08-29 2020-03-12 信越化学工業株式会社 Resist composition and patterning process
JP2020098330A (en) 2018-12-18 2020-06-25 信越化学工業株式会社 Resist composition and patterning process

Also Published As

Publication number Publication date
JP2022029411A (en) 2022-02-17

Similar Documents

Publication Publication Date Title
JP7268615B2 (en) Resist material and pattern forming method
JP7283374B2 (en) Chemically amplified resist material and pattern forming method
KR102300551B1 (en) Chemically amplified resist composition and patterning process
JP7334684B2 (en) Resist material and pattern forming method
JP7354954B2 (en) Resist material and pattern forming method
JP7283372B2 (en) Chemically amplified resist material and pattern forming method
JP7414032B2 (en) Resist material and pattern forming method
JP7400658B2 (en) Resist material and pattern forming method
JP2023002462A (en) Resist material, and method of forming pattern
JP7484745B2 (en) Resist material and pattern forming method
JP7334687B2 (en) Resist material and pattern forming method
JP7351257B2 (en) Resist material and pattern forming method
JP7494805B2 (en) Chemically amplified resist material and pattern formation method
KR102525832B1 (en) Resist composition and patterning process
JP7494707B2 (en) Resist material and pattern forming method
JP7363687B2 (en) Chemically amplified resist material and pattern forming method
JP7480728B2 (en) Resist material and pattern forming method
JP7375685B2 (en) Chemically amplified resist material and pattern forming method
KR102588477B1 (en) Resist composition and patterning process
JP7494716B2 (en) Resist material and pattern forming method
TWI797718B (en) Resist composition and patterning process
JP2024055780A (en) Resist material and pattern forming method
JP2023178955A (en) Resist material and pattern forming process
JP2023178954A (en) Resist material and pattern forming process
JP2024010646A (en) Resist material and patterning process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240408

R150 Certificate of patent or registration of utility model

Ref document number: 7480728

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150