JP7370377B2 - 基板処理システムにおけるマッチレスプラズマ源のための直接周波数同調 - Google Patents

基板処理システムにおけるマッチレスプラズマ源のための直接周波数同調 Download PDF

Info

Publication number
JP7370377B2
JP7370377B2 JP2021507998A JP2021507998A JP7370377B2 JP 7370377 B2 JP7370377 B2 JP 7370377B2 JP 2021507998 A JP2021507998 A JP 2021507998A JP 2021507998 A JP2021507998 A JP 2021507998A JP 7370377 B2 JP7370377 B2 JP 7370377B2
Authority
JP
Japan
Prior art keywords
frequency
plasma source
drive circuit
terminal
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021507998A
Other languages
English (en)
Other versions
JP2021534556A (ja
Inventor
ワン・ユホウ
ロング・マオリン
ウー・イン
パターソン・アレクサンダー・ミラー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021534556A publication Critical patent/JP2021534556A/ja
Application granted granted Critical
Publication of JP7370377B2 publication Critical patent/JP7370377B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M7/00Conversion of ac power input into dc power output; Conversion of dc power input into ac power output
    • H02M7/42Conversion of dc power input into ac power output without possibility of reversal
    • H02M7/44Conversion of dc power input into ac power output without possibility of reversal by static converters
    • H02M7/48Conversion of dc power input into ac power output without possibility of reversal by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M7/53Conversion of dc power input into ac power output without possibility of reversal by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal
    • H02M7/537Conversion of dc power input into ac power output without possibility of reversal by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only, e.g. single switched pulse inverters
    • H02M7/538Conversion of dc power input into ac power output without possibility of reversal by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only, e.g. single switched pulse inverters in a push-pull configuration
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24585Other variables, e.g. energy, mass, velocity, time, temperature
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M7/00Conversion of ac power input into dc power output; Conversion of dc power input into ac power output
    • H02M7/42Conversion of dc power input into ac power output without possibility of reversal
    • H02M7/44Conversion of dc power input into ac power output without possibility of reversal by static converters
    • H02M7/48Conversion of dc power input into ac power output without possibility of reversal by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M7/4815Resonant converters
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/10Technologies improving the efficiency by using switched-mode power supplies [SMPS], i.e. efficient power electronics conversion e.g. power factor correction or reduction of losses in power supplies or efficient standby modes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

[関連出願の相互参照]
本願は、2018年8月17日出願の米国仮出願第62/765,245号の利益を主張する。上記出願の全ての開示は、参照として本明細書に援用される。
本開示は基板処理システムに関し、特に、基板処理システムにおけるマッチレスプラズマ源のための直接周波数同調に関する。
本明細書に記載の背景技術の説明は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
基板処理システムは通常、半導体ウエハなどの基板上の薄膜をエッチングするのに用いられる。エッチングは通常、ウェット化学エッチングまたはドライエッチングのいずれかを含む。ドライエッチングは、誘導結合プラズマ(ICP)によって生成されたプラズマを用いて実施されてよい。誘導結合プラズマは、誘電体窓に隣接する処理チャンバの外側に配置されたコイルによって生成されてよい。処理チャンバの中に流れるプロセスガスは、励起されてプラズマを形成する。いくつかの適用では、RFプラズマ電力は、処理チャンバの外側に配置されている1つ以上の誘導コイルに出力される。容量結合プラズマ(CCP)を生成するために、基板支持体の電極にRFバイアス電力が供給されてもよい。
ICPのRFプラズマ電力またはCCPのRFバイアス電力の周波数は、追加のプロセス制御を提供するために変更されうる。また、ICPのRFプラズマ電力もしくはCCPのRFバイアス電力の大きさまたはレベルは、追加のプロセス制御を提供するために処理中に変更されうる。ICPのRFプラズマ電力もしくはレベル、および/または、CCPのRFバイアス電力もしくはレベルの変化は、駆動回路で見られるインピーダンスの変化をもたらしうる。負荷と駆動回路との間でインピーダンスの不整合が生じるときは電力が反射され、非効率的である。
基板処理システムの構成部品にRF電力を供給するための駆動回路は、第1の周波数で動作するプラズマ源を含む。負荷は、基板処理システムの構成部品を含む。インピーダンス回路網は、プラズマ源を負荷に接続する。電流センサは、プラズマ源の出力における電流を検出する。電圧センサは、プラズマ減の出力における電圧を検出する。コントローラは、電圧、電流、およびインピーダンス回路網の構成に基づいてプラズマ源の同調周波数を計算し、同調周波数に基づいて第1の周波数を調節するように構成された同調周波数演算器を備える。
他の特徴では、プラズマ源は、基板処理システムのコイルにRFプラズマ電力を供給する。プラズマ源は、基板処理システムの基板支持体にRFバイアスを供給する。プラズマ源は、マッチレスプラズマ源を含む。マッチレスプラズマ源は、第1の周波数でクロック信号を生成するクロック発生器を備える。ゲートドライバは、クロック信号を受信する。ハーフブリッジ回路は、ゲートドライバに接続された制御端子、第1の端子、および第2の端子を有する第1のスイッチを備える。第2のスイッチは、ゲートドライバに接続された制御端子、第1のスイッチの第2の端子および出力ノードに接続された第1の端子、ならびに第2の端子を含む。DC電源は、ハーフブリッジ回路に接続されている。
他の特徴では、DC電源は、第1のスイッチの第1の端子に第1の電圧電位を供給する第1のDC電源を含む。第2のDC電源は、第2のスイッチの第2の端子に第2の電圧電位を供給する。第1の電圧電位および第2の電圧電位は逆極性を有し、大きさはほぼ等しい。
他の特徴では、クロック信号は方形波信号を含む。コントローラはさらに、電圧と電流との間の位相オフセットを計算する位相オフセット演算器と、同調周波数演算器を用いて第1の周波数を調節した後に位相オフセットに基づいて第1の周波数を調節するクロック調節器と、を備える。
他の特徴では、クロック調節器は、電流が電圧より進むときは第1の周波数を増加させ、電圧が電流より進むときは第1の周波数を低減させる。コントローラはさらに、同調周波数演算器を用いて第1の周波数を調節した後に電流の大きさを増加させるように第1の周波数を繰り返し調節する周波数調節器を備える。
基板処理システムの構成部品にRF電力を供給するための方法は、プラズマ源と負荷との間にインピーダンス回路網を配置する工程を含む。プラズマ源は、第1の周波数で動作する。負荷は、基板処理システムの構成部品を含む。この方法は、プラズマ源の出力における電流を検出する工程と、プラズマ源の出力における電圧を検出する工程と、電圧、電流、およびインピーダンス回路網の構成に基づいてプラズマ源の同調周波数を計算する工程と、同調周波数に基づいて第1の周波数を調節する工程と、を含む。
他の特徴では、この方法は、基板処理システムのコイルにRFプラズマ電力を供給する工程を含む。この方法は、基板処理システムの基板支持体にRFバイアスを供給する工程を含む。
他の特徴では、プラズマ源はマッチレスプラズマ源を含む。マッチレスプラズマ源は、第1の周波数でクロック信号を生成するクロック発生器を備える。ゲートドライバは、クロック信号を受信する。ハーフブリッジ回路は、ゲートドライバに接続された制御端子、第1の端子、および第2の端子を有する第1のスイッチを備える。第2のスイッチは、ゲートドライバに接続された制御端子、第1のスイッチの第2の端子および出力ノードに接続された第1の端子、ならびに第2の端子を含む。DC電源は、ハーフブリッジ回路に接続されている。
他の特徴では、DC電源は、第1のスイッチの第1の端子に第1の電圧電位を供給する第1のDC電源を含む。第2のDC電源は、第2のスイッチの第2の端子に第2の電圧電位を供給する。第1の電圧電位および第2の電圧電位は逆極性を有し、大きさはほぼ等しい。
他の特徴では、クロック信号は方形波信号を含む。この方法は、電圧と電流との間の位相オフセットを計算する工程と、同調周波数に基づいて第1の周波数を調節した後に位相オフセットに基づいて第1の周波数を調節する工程と、を含む。
他の特徴では、この方法は、電流が電圧より進むときは第1の周波数を増加させ、電圧が電流より進むときは第1の周波数を低減させる工程を含む。この方法は、第1の周波数を調節した後に電流の大きさを増加させるように第1の周波数を繰り返し調節する工程を含む。
本開示のさらなる適用分野は、発明を実施するための形態、特許請求の範囲、および図面から明らかになるだろう。発明を実施するための形態および特定の例は説明の目的のみを意図し、本開示の範囲を限定する意図はない。
本開示は、発明を実施するための形態および添付の図面からより深く理解されるだろう。
マッチレスプラズマ源およびプラズマ負荷の簡易的な電気概略図。
電流と電圧との間の位相オフセットに基づくプラズマ負荷へのマッチレスプラズマ源の同調を表すグラフ。
位相オフセットを用いるRF周波数の同調例を表すグラフ。
電流の大きさに基づくRF周波数の同調例を表すグラフ。
例示的な基板処理システムの機能ブロック図。
本開示による同調周波数演算器を含む例示的駆動回路の機能ブロック図。 本開示による同調周波数演算器を含む例示的駆動回路の機能ブロック図。 本開示による同調周波数演算器を含む例示的駆動回路の機能ブロック図。
駆動回路とプラズマ負荷との間に配置された例示的なインピーダンス回路網を表す電気概略図。 駆動回路とプラズマ負荷との間に配置された例示的なインピーダンス回路網を表す電気概略図。 駆動回路とプラズマ負荷との間に配置された例示的なインピーダンス回路網を表す電気概略図。 駆動回路とプラズマ負荷との間に配置された例示的なインピーダンス回路網を表す電気概略図。
本開示による直接駆動回路のより詳細な例の機能ブロック図。
DC電源の動作を表すグラフ。
本開示による直接駆動回路の別の詳細な例の機能ブロック図。
DC電源の動作を表すグラフ。
マッチレスプラズマ源の同調周波数を計算するための例示的な方法を表すフローチャート。
図面では、類似のおよび/または同一の要素を特定するために参照番号は繰り返し用いられてよい。
いくつかの適用では、ICPコイルに供給されるRF源電力の周波数、および/または、基板支持体の電極へのRFバイアスは、2つ以上の周波数の間、および/または、2つ以上のパルスレベルの間で切り換えられる。RF発生器のインピーダンスは、負荷(例えば、誘導コイルおよびプラズマ、または電極およびプラズマ)に合わせられる。しかし、負荷のインピーダンスは、プラズマ条件の変化、パルスレベルの変化に伴い、および/または、様々な他の要因により変化する。インピーダンス不整合が起こると、電力が負荷によって反射され、非効率的である。可変コンデンサを用いる回路の同調は、周波数変化の間および/またはレベル間変化の間の切り換え期間に対する容量値を変更するのに必要な時間量が原因で難しい。
本開示によるシステムおよび方法は、RF電力またはRFバイアスを生成するための周波数同調回路を含む直接駆動回路に関する。本明細書において直接駆動回路は、マッチレスプラズマ源(MPS)(例えば、マッチレス誘導結合プラズマ源、またはマッチレス容量結合プラズマ源)を意味してよい。本開示による直接駆動回路は低インピーダンスで動作し、上記の整合問題を解決する。
以下の記載はマッチレスICPプラズマ源に関するが、説明は他のMPS(例えば、基板支持体へのRFバイアスのためのマッチレスCCPバイアス源)にも当てはまる。ここで図1Aから図2を参照すると、マッチレスプラズマ源の周波数同調のためのいくつかの異なる方法が示されている。図1Aから図1Cでは、位相差は電圧と電流との間で測定され、コントローラ(例えば、比例調節器、積分調節器、微分(PID)調節器)は、RF周波数を同調させて図1Bおよび図1Cで見られるように位相差を0に調節するのに用いられる。図1Aでは、MPS源はプラズマ負荷に方形波RF電圧を出力する。この場合、負荷は誘導プラズマ負荷(RpおよびLp)ならびに外付けコンデンサ(C0)を含む。RF電圧波形およびRF電流波形は、MPS源の出力において監視される。図1Bでは、電流と電圧との間の位相角差はコントローラによって計算される。図1Cでは、位相角差はRF周波数の関数である。同調されたときは、位相角差=0、すなわち負荷が完全に抵抗性であると思われる。
図2では、別の方法がRF電流を測定し、次に最大電流を求めてRF周波数を同調する。図1Aで測定された電流の大きさは、RF周波数の関数である。同調されたときは、電流の大きさは最大値に達する。
2つの既存の方法の主な欠点は、位相オフセットおよびピーク探索の手法は事実上繰り返されるため同調速度が遅いことである。本開示は、不整合をなくすためにMPS源の周波数を同調させるためのシステムおよび方法に関する。MPS源を調節するためのシステムおよび方法は全回路とみなし、繰り返しなしの同調周波数を直接計算する。いくつかの例では、MPS源は、繰り返して位相オフセットを決定することなく、または、最大電流値を求めることなく、同調周波数でRF信号を出力する。他の例では、位相オフセットまたは電流の大きさは、下記の手法を用いた初期同調の後に用いられる。
次に図3を参照すると、本開示による基板処理システム310の例が示されている。基板処理システム310は、RF電力直接駆動回路312を備える。いくつかの例では、RF電力のパルス化はオンオフできる、および/または、RF電力の振幅もしくはレベルは変更できる。
いくつかの例では、コイル316と誘電体窓324との間にプレナム320が配置されて、熱風および/または冷風により誘電体窓324の温度を制御してよい。誘電体窓324は、処理チャンバ328の片側に沿って配置される。処理チャンバ328はさらに、基板支持体(または、台座)332を備える。基板支持体332は、基板334を支持するために静電チャック(ESC)、または機械式チャック、または他の種類のチャックを備えてよい。プロセスガスは処理チャンバ328に供給され、プラズマは処理チャンバ328の内部で生成される。プラズマ340は、基板334の露出面をエッチングする。RFバイアス直接駆動回路352(例えば、下記の1つ)は、動作中に基板支持体332の電極にRFバイアスを供給するのに用いられてよい。
ガス供給システム356は、処理チャンバ328にプロセスガス混合物を供給するのに用いられてよい。ガス供給システム356は、プロセスガス源および不活性ガス源357、ガス計量システム358(例えば、弁およびマスフローコントローラ)、ならびにマニホルド359を備えてよい。ガス供給システム360は、ガスをガス源362から弁361を通ってプレナム320に供給するのに用いられてよい。ガスは、コイル316および誘電体窓324を冷却するのに用いられる冷却ガス(例えば、外気または不活性ガス)を含んでよい。加熱器/冷却器364は、基板支持体332を所定温度に加熱/冷却するのに用いられてよい。排気システム365は、パージまたは排気により処理チャンバ328から反応物を除去するために弁366およびポンプ367を備える。
コントローラ354は、エッチングプロセスを制御するのに用いられてよい。コントローラ354は、システムパラメータを監視し、ガス混合物の供給、衝突、プラズマの維持および消弧、反応物の除去、冷却ガスの供給などを制御する。加えて、以下に詳細に説明されるように、コントローラ354は、RF電力直接駆動回路312およびRFバイアス直接駆動回路352の様々な態様を制御してよい。
次に図4Aから図4Cを参照すると、周波数同調回路は単体で、または、位相オフセットおよび/もしくは電流の大きさに基づいて実施される同調と共に用いることができる。図4Aでは、MPS源410は、可変周波数を有する方形波クロック信号を生成するクロック発生器414を備える。クロック発生器414の出力は、ゲートドライバ418への入力である。ゲートドライバ418の出力は、DC電源424に接続されているハーフブリッジ422を駆動する。電圧センサ430は、ハーフブリッジ422の出力における電圧を検出する。電流センサ434は、ハーフブリッジ422の出力における電流を検出する。インピーダンス回路網438は、ハーフブリッジ422の出力を負荷440(例えば、プラズマ負荷)に接続する。
MPS源410はさらに、以下にさらに説明されるように、クロック414の同調周波数を計算するように構成された同調周波数演算器454を有するコントローラ450を備える。MPS源410は、1つ以上のインピーダンス(例えば、1つ以上の相互接続したキャパシタンスおよび/またはインダクタンス)を含むインピーダンス回路網438を通じて負荷440(例えば、誘導プラズマ負荷RpおよびLp、または容量プラズマ負荷RpおよびCp)を駆動する。いくつかの例では、インピーダンス回路網438は、補助的な中和コンデンサC0を備えることができる。動作のいかなる時点においても(同調済みか否かにかかわらず)、コントローラ450は電流、電圧、RF周波数、ならびにインピーダンス回路網438の構成および値についての情報を有する。この情報を用いて、コントローラ450の同調周波数演算器454はLpまたはCpを計算でき、全ての負荷を完全に抵抗性にするのに必要なRF周波数ωtを計算できる。
いくつかの例では、上記の手法は、図1Aから図2に記載の他の方法と組み合わせることができる。図4Bでは、位相オフセット演算器480は、電圧と電流との間の位相オフセットも計算する。周波数調節器482は、同調周波数演算器454によって初期同調が実施された後にオフセットに基づいてクロックの周波数を選択的に調節できる。同調周波数演算器454を用いる同調は、一定期間または事象が起こるまでに1回実施され、次に周波数オフセットを用いる同調がその後に実施されうる。
図4Cでは、同調周波数演算器454によって初期同調が実施された後に、電流の大きさに基づく周波数演算器490が電流の大きさに基づいてクロックの周波数を選択的に調節できる。同調周波数演算器454を用いる同調は、一定期間または事象が起こるまでに1回実施され、次に電流の大きさを用いる同調がその後に実施されうる。
次に図5Aから図5Dを参照すると、インピーダンス回路網の非限定的な例が示されている。理解できるように、インピーダンス回路網は、相互接続したインダクタンスおよび/またはキャパシタンスのあらゆる組み合わせを含みうる。図5Aでは、インピーダンス回路網438はキャパシタンスC0を含む。図5Bでは、インピーダンス回路網538はインダクタンスL0を含む。図5Cでは、インピーダンス回路網438は直列接続されたインダクタンスL0およびキャパシタンスC1、ならびに並列のキャパシタンスC0を備える。図5Dでは、インピーダンス回路網は、直列に接続されたインピーダンスL3およびインピーダンスL4、インダクタンスL3の端子に並列に接続されたキャパシタンスC4、互いに直列に接続されインダクタンスL3の1つの端子に並列に接続されたキャパシタンスC3およびインダクタンスL2、ならびに、インダクタンスL4の1つの端子に直列に接続されたキャパシタンスC5を備える。
本明細書に記載のシステムおよび方法は、システムが同調条件から所定範囲外にあるときは粗同調のために用いることもできる。位相オフセットまたはピーク探索は、同調条件の所定範囲内で用いることができる。
次に図6および図7を参照すると、RFバイアス(または、RFプラズマ電力)を供給するための駆動回路610が示されている。駆動回路610は、1つ以上の選択されたRF周波数で動作するクロック620を備える。クロック620が出力したクロック信号は、ゲート駆動回路622へ入力される。いくつかの例では、ゲート駆動回路622は、クロック620に接続されたそれぞれの入力を有する増幅器644および反転増幅器646を備える。
ゲート駆動回路622の出力は、ハーフブリッジ回路638への入力である。いくつかの例では、ハーフブリッジ回路638は、第1のスイッチ640および第2のスイッチ642を備える。いくつかの例では、第1のスイッチ640および第2のスイッチ642は、金属酸化物半導体電界効果トランジスタ(MOSFET)を備える。第1のスイッチ640および第2のスイッチ642は各々、制御端子、第1の端子、および第2の端子を含む。ゲート駆動回路622の増幅器644の出力は、第1のスイッチ640の制御端子への入力である。ゲート駆動回路622の反転増幅器646の出力は、第2のスイッチ642の制御端子への入力である。
出力ノード630は、第1のスイッチ640の第2の端子と、第2のスイッチ642の第1の端子とに接続されている。第1のスイッチ640の第1の端子は、DC電源626に接続されている。第2のスイッチ642の第2の端子は、接地などの基準電位に接続されている。出力ノード630は、インピーダンス回路網640を介して負荷440に接続されている。いくつかの例では、抵抗Rpと直列なインダクタンスLpは、駆動回路610(例えば、プラズマのインダクタンスおよび抵抗、コイルのインダクタンスおよび抵抗、ならびに/または、他の漂遊インダクタンスもしくは寄生インダクタンスおよび抵抗)で見られるインピーダンスをモデル化するのに用いられてよい。図7では、DC供給信号の例が示されている。
次に図8および図9を参照すると、DCオフセットを除去するのにデュアルDC電源を用いることができる。駆動回路800は、+VDC/2で動作する第1のDC電源810と、-VDC/2で動作する第2のDC電源820とを備える。同じ出力RF電力を実現するために、第1のDC電源810および第2のDC電源820の両方は、図6の単一DC電源の電圧の半分で動作する。いくつかの例では、第1のDC電源810および第2のDC電源820は、ほぼ同じ大きさで、逆極性で動作する。本明細書においてほぼ同じとは、第1のDC電源810によるDC電圧出力の大きさと第2のDC電源820によるDC電圧出力の大きさとの差が10%未満、5%未満、または1%未満であることを意味する。第1のDC電源810は、第1のスイッチ640の第1の端子に接続されている。第2のDC電源820は、第2のスイッチ642の第2の端子に接続されている。図9では、DC供給信号の例が示されている。
図10では、同調周波数を計算し、計算した同調周波数に基づいてMPSの周波数を調節するための方法1010が示されている。この方法は、1014においてMPSがオンかどうかを決定する。1014が真の場合は、この方法は1018に続き、MPSの出力における電流を測定する。1022においてこの方法は、MPSの出力における電圧を測定する。1026においてこの方法は、同調周波数、インピーダンス回路網の構成、および負荷に基づいてMPSの同調周波数を計算し、1014に戻る。
同調周波数を計算するためのいくつかの例が以下に記載されている。図5Aに示された例では、MPSの同調周波数は次のように計算することができる:
Figure 0007370377000001
Figure 0007370377000002
Figure 0007370377000003
(1)から、未知の負荷は(4)であり、
Figure 0007370377000004
(4)から、同調周波数は(5)である。
Figure 0007370377000005
数式(4)の右側の全ての値は既知であるため、Lpを計算することができる。従ってC0は既知であるため、同調周波数を計算することができる。
図5Bに示された例では、MPSの同調周波数は次のように計算することができる:
Figure 0007370377000006
Figure 0007370377000007
Figure 0007370377000008
(6)から、未知の負荷は(9)である。
Figure 0007370377000009
(9)から、同調周波数は(10)である。
Figure 0007370377000010
数式(9)の右側の全ての値は既知であるため、Cpを計算することができる。従ってL0は既知であるため、同調周波数を計算することができる。
図5Cに示された例では、点Aにおける電圧および電流は以下に等しい:
Figure 0007370377000011
Figure 0007370377000012
Figure 0007370377000013
Figure 0007370377000014
Figure 0007370377000015
(15)から、未知の負荷は(16)である。
Figure 0007370377000016
(17)について、同調周波数は(18)である。
Figure 0007370377000017
Figure 0007370377000018
上述の説明は本質的に単なる例示であり、本開示、その適用、または使用を限定する意図はない。本開示の広義の教示は、様々な形態で実施することができる。よって、本開示は特定の例を含むが、図面、明細書、以下の特許請求の範囲を検討すると他の変形が明らかになるため、本開示の真の範囲はそれほど限定されるべきでない。方法内の1つ以上の工程は、本開示の原理を変更することなく異なる順番で(または、同時に)実行してよいことを理解されたい。さらに、各実施形態は特定の特徴を有するように上述されているが、本開示のあらゆる実施形態に関して記載された1つ以上のこれらの特徴は、他の実施形態において、および/または、他の実施形態の特徴と組み合わせて(その組み合わせが明記されていないとしても)実施できる。つまり、記載の実施形態は相互に排他的ではなく、1つ以上の実施形態を互いに並べ替えることは本開示の範囲内である。
要素間(例えば、モジュール間、回路素子間、半導体層間など)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接する」、「近接する」、「上に」、「上方」、「下方」、および「配置された」を含む様々な用語を用いて説明される。第1の要素と第2の要素との関係が上記の開示で説明されるときは、「直接的」であると明記されない限り、その関係は、第1の要素と第2の要素との間に他の要素が介在しない直接的関係でありうるが、第1の要素と第2の要素との間に(空間的または機能的に)1つ以上の介在要素が存在する間接的関係でもありうる。本明細書では、A、B、およびCのうちの少なくとも1つという表現は、非排他的論理、OR、を用いる論理(A OR B OR C)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実施形態では、コントローラは、上記の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を含みうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後にそれらの動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御しうる「コントローラ」を意味してよい。コントローラは、処理条件および/またはシステムの種類に応じて、プロセスガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)生成器の設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールに対するウエハ搬入出、ならびに/または特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出など、本明細書に記載のプロセスを制御するようにプログラムされてよい。
概してコントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造中における1つ以上の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、コントローラは、システムと統合もしくは結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コントローラは、ウエハ処理のリモートアクセスを可能にする「クラウド」内にあってよい、またはファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査して、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理工程のパラメータを特定するデータ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のようにコントローラは、例えば互いにネットワーク接続される1つ以上の別々のコントローラを含むことと、本明細書に記載のプロセスや制御などの共通の目的に向けて協働することとによって分散されてよい。かかる目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路だろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバまたはPVDモジュール、化学蒸着(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通しうる。本開示は、以下の形態により実現されてもよい。
[形態1]
基板処理システムの構成部品にRF電力を供給するための駆動回路であって、
第1の周波数で動作するプラズマ源と、
インピーダンス回路網と、
前記基板処理システムの前記構成部品を含む負荷であって、前記インピーダンス回路網は、前記プラズマ源を前記負荷に接続する、負荷と、
前記プラズマ源の出力における電流を検出する電流センサと、
前記プラズマ源の前記出力における電圧を検出する電圧センサと、
同調周波数演算器を含むコントローラであって、前記同調周波数演算器は、
前記電圧、前記電流、および前記インピーダンス回路網の構成に基づいて前記プラズマ源の同調周波数を計算し、
前記同調周波数に基づいて前記第1の周波数を調節するように構成されている、コントローラと、
を備える、駆動回路。
[形態2]
形態1に記載の駆動回路であって、
前記プラズマ源は、前記基板処理システムのコイルにRFプラズマ電力を供給する、駆動回路。
[形態3]
形態1に記載の駆動回路であって、
前記プラズマ源は、前記基板処理システムの基板支持体にRFバイアスを供給する、駆動回路。
[形態4]
形態1に記載の駆動回路であって、
前記プラズマ源は、マッチレスプラズマ源を含む、駆動回路。
[形態5]
形態4に記載の駆動回路であって、
前記マッチレスプラズマ源は、
前記第1の周波数でクロック信号を生成するクロック発生器と、
前記クロック信号を受信するゲートドライバと、
ハーフブリッジ回路であって、
前記ゲートドライバに接続された制御端子、第1の端子、および第2の端子を有する第1のスイッチと、
前記ゲートドライバに接続された制御端子、前記第1のスイッチの前記第2の端子および出力ノードに接続された第1の端子、ならびに第2の端子を有する第2のスイッチと、を含む、ハーフブリッジ回路と、
前記ハーフブリッジ回路に接続されたDC電源と、
を備える、駆動回路。
[形態6]
形態5に記載の駆動回路であって、
前記DC電源は、
前記第1のスイッチの前記第1の端子に第1の電圧電位を供給する第1のDC電源と、
前記第2のスイッチの前記第2の端子に第2の電圧電位を供給する第2のDC電源と、を含み、
前記第1の電圧電位および前記第2の電圧電位は逆極性を有し、大きさはほぼ等しい、駆動回路。
[形態7]
形態5に記載の駆動回路であって、
前記クロック信号は、方形波信号を含む、駆動回路。
[形態8]
形態1に記載の駆動回路であって、
前記コントローラは、さらに、
前記電圧と前記電流との間の位相オフセットを計算する位相オフセット演算器と、
前記同調周波数演算器を用いて前記第1の周波数を調節した後に前記位相オフセットに基づいて前記第1の周波数を調節するクロック調節器と、
を備える、駆動回路。
[形態9]
形態8に記載の駆動回路であって、
前記クロック調節器は、前記電流が前記電圧より進むときは前記第1の周波数を増加させ、前記電圧が前記電流より進むときは前記第1の周波数を低減させる、駆動回路。
[形態10]
形態1に記載の駆動回路であって、
前記コントローラは、さらに、前記同調周波数演算器を用いて前記第1の周波数を調節した後に前記電流の大きさを増加させるように前記第1の周波数を繰り返し調節する周波数調節器を備える、駆動回路。
[形態11]
基板処理システムの構成部品にRF電力を供給するための方法であって、
プラズマ源と負荷との間にインピーダンス回路網を配置する工程であって、前記プラズマ源は第1の周波数で動作し、前記負荷は前記基板処理システムの前記構成部品を含む、工程と、
前記プラズマ源の出力における電流を検出する工程と、
前記プラズマ源の前記出力における電圧を検出する工程と、
前記電圧、前記電流、および前記インピーダンス回路網の構成に基づいて前記プラズマ源の同調周波数を計算する工程と、
前記同調周波数に基づいて前記第1の周波数を調節する工程と、
を含む、方法。
[形態12]
形態11に記載の方法であって、さらに、
前記基板処理システムのコイルにRFプラズマ電力を供給する工程を含む、方法。
[形態13]
形態11に記載の方法であって、さらに、
前記基板処理システムの基板支持体にRFバイアスを供給する工程を含む、方法。
[形態14]
形態11に記載の方法であって、
前記プラズマ源は、マッチレスプラズマ源を含む、方法。
[形態15]
形態14に記載の方法であって、
前記マッチレスプラズマ源は、
前記第1の周波数でクロック信号を生成するクロック発生器と、
前記クロック信号を受信するゲートドライバと、
ハーフブリッジ回路であって、
前記ゲートドライバに接続された制御端子、第1の端子、および第2の端子を有する第1のスイッチと、
前記ゲートドライバに接続された制御端子、前記第1のスイッチの前記第2の端子および出力ノードに接続された第1の端子、ならびに第2の端子を有する第2のスイッチと、を含む、ハーフブリッジ回路と、
前記ハーフブリッジ回路に接続されたDC電源と、
を備える、方法。
[形態16]
形態15に記載の方法であって、
前記DC電源は、
前記第1のスイッチの前記第1の端子に第1の電圧電位を供給する第1のDC電源と、
前記第2のスイッチの前記第2の端子に第2の電圧電位を供給する第2のDC電源と、を含み、
前記第1の電圧電位および前記第2の電圧電位は逆極性を有し、大きさはほぼ等しい、方法。
[形態17]
形態15に記載の方法であって、
前記クロック信号は方形波信号を含む、方法。
[形態18]
形態11に記載の方法であって、さらに、
前記電圧と前記電流との間の位相オフセットを計算する工程と、
前記同調周波数に基づいて前記第1の周波数を調節した後に前記位相オフセットに基づいて前記第1の周波数を調節する工程と、
を含む、方法。
[形態19]
形態18に記載の方法であって、さらに、
前記電流が前記電圧より進むときは前記第1の周波数を増加させ、前記電圧が前記電流より進むときは前記第1の周波数を低減させる工程を含む、方法。
[形態20]
形態11に記載の方法であって、さらに、
前記第1の周波数を調節した後に前記電流の大きさを増加させるように前記第1の周波数を繰り返し調節する工程を含む、方法。

Claims (14)

  1. 基板処理システムの構成部品にRF電力を供給するための駆動回路であって、
    第1の周波数で動作するプラズマ源であって、マッチレスプラズマ源を含むプラズマ源と、
    インピーダンス回路網と、
    前記基板処理システムの前記構成部品を含む負荷であって、前記インピーダンス回路網は、前記プラズマ源を前記負荷に接続する、負荷と、
    前記プラズマ源の出力における電流を検出する電流センサと、
    前記プラズマ源の前記出力における電圧を検出する電圧センサと、
    同調周波数演算器を含むコントローラであって、前記同調周波数演算器は、
    前記電圧、前記電流、および前記インピーダンス回路網の構成に基づいて前記プラズマ源の同調周波数を計算し、
    前記同調周波数に基づいて前記第1の周波数を調節するように構成されている、コントローラと、
    を備え
    前記コントローラは、さらに、
    前記電圧と前記電流との間の位相オフセットを計算する位相オフセット演算器と、
    前記同調周波数演算器を用いて前記第1の周波数を調節した後に前記位相オフセットに基づいて前記第1の周波数を調節するクロック調節器と、
    を備える、駆動回路。
  2. 請求項1に記載の駆動回路であって、
    前記プラズマ源は、前記基板処理システムのコイルにRFプラズマ電力を供給する、駆動回路。
  3. 請求項1に記載の駆動回路であって、
    前記プラズマ源は、前記基板処理システムの基板支持体にRFバイアスを供給する、駆動回路。
  4. 請求項に記載の駆動回路であって、
    前記マッチレスプラズマ源は、
    前記第1の周波数でクロック信号を生成するクロック発生器と、
    前記クロック信号を受信するゲートドライバと、
    ハーフブリッジ回路であって、
    前記ゲートドライバに接続された制御端子、第1の端子、および第2の端子を有する第1のスイッチと、
    前記ゲートドライバに接続された制御端子、前記第1のスイッチの前記第2の端子および出力ノードに接続された第1の端子、ならびに第2の端子を有する第2のスイッチと、を含む、ハーフブリッジ回路と、
    前記ハーフブリッジ回路に接続されたDC電源と、
    を備える、駆動回路。
  5. 請求項に記載の駆動回路であって、
    前記DC電源は、
    前記第1のスイッチの前記第1の端子に第1の電圧電位を供給する第1のDC電源と、
    前記第2のスイッチの前記第2の端子に第2の電圧電位を供給する第2のDC電源と、を含み、
    前記第1の電圧電位および前記第2の電圧電位は逆極性を有し、大きさはほぼ等しい、駆動回路。
  6. 請求項に記載の駆動回路であって、
    前記クロック信号は、方形波信号を含む、駆動回路。
  7. 請求項に記載の駆動回路であって、
    前記クロック調節器は、前記電流が前記電圧より進むときは前記第1の周波数を増加させ、前記電圧が前記電流より進むときは前記第1の周波数を低減させる、駆動回路。
  8. 請求項1に記載の駆動回路であって、
    前記コントローラは、さらに、前記同調周波数演算器を用いて前記第1の周波数を調節した後に前記電流の大きさを増加させるように前記第1の周波数を繰り返し調節する周波数調節器を備える、駆動回路。
  9. 基板処理システムの構成部品にRF電力を供給するための方法であって、
    プラズマ源と負荷との間にインピーダンス回路網を配置する工程であって、前記プラズマ源は第1の周波数で動作し、前記負荷は前記基板処理システムの前記構成部品を含前記プラズマ源は、マッチレスプラズマ源を含む、工程と、
    前記プラズマ源の出力における電流を検出する工程と、
    前記プラズマ源の前記出力における電圧を検出する工程と、
    前記電圧、前記電流、および前記インピーダンス回路網の構成に基づいて前記プラズマ源の同調周波数を計算する工程と、
    前記同調周波数に基づいて前記第1の周波数を調節する工程と、
    を含
    前記第1の周波数を調節した後に前記電流の大きさを増加させるように前記第1の周波数を繰り返し調節する工程を含む、方法。
  10. 請求項に記載の方法であって、さらに、
    前記基板処理システムのコイルにRFプラズマ電力を供給する工程を含む、方法。
  11. 請求項に記載の方法であって、さらに、
    前記基板処理システムの基板支持体にRFバイアスを供給する工程を含む、方法。
  12. 請求項に記載の方法であって、
    前記マッチレスプラズマ源は、
    前記第1の周波数でクロック信号を生成するクロック発生器と、
    前記クロック信号を受信するゲートドライバと、
    ハーフブリッジ回路であって、
    前記ゲートドライバに接続された制御端子、第1の端子、および第2の端子を有する第1のスイッチと、
    前記ゲートドライバに接続された制御端子、前記第1のスイッチの前記第2の端子および出力ノードに接続された第1の端子、ならびに第2の端子を有する第2のスイッチと、を含む、ハーフブリッジ回路と、
    前記ハーフブリッジ回路に接続されたDC電源と、
    を備える、方法。
  13. 請求項12に記載の方法であって、
    前記DC電源は、
    前記第1のスイッチの前記第1の端子に第1の電圧電位を供給する第1のDC電源と、
    前記第2のスイッチの前記第2の端子に第2の電圧電位を供給する第2のDC電源と、を含み、
    前記第1の電圧電位および前記第2の電圧電位は逆極性を有し、大きさはほぼ等しい、方法。
  14. 請求項12に記載の方法であって、
    前記クロック信号は方形波信号を含む、方法。
JP2021507998A 2018-08-17 2019-08-08 基板処理システムにおけるマッチレスプラズマ源のための直接周波数同調 Active JP7370377B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862765245P 2018-08-17 2018-08-17
US62/765,245 2018-08-17
PCT/US2019/045681 WO2020036803A1 (en) 2018-08-17 2019-08-08 Direct frequency tuning for matchless plasma source in substrate processing systems

Publications (2)

Publication Number Publication Date
JP2021534556A JP2021534556A (ja) 2021-12-09
JP7370377B2 true JP7370377B2 (ja) 2023-10-27

Family

ID=69525861

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021507998A Active JP7370377B2 (ja) 2018-08-17 2019-08-08 基板処理システムにおけるマッチレスプラズマ源のための直接周波数同調

Country Status (5)

Country Link
US (1) US11728137B2 (ja)
JP (1) JP7370377B2 (ja)
KR (1) KR20210034059A (ja)
CN (1) CN112585715B (ja)
WO (1) WO2020036803A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202341227A (zh) * 2021-12-27 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置、電源系統、控制方法、程式及記憶媒體
WO2024085017A1 (ja) * 2022-10-19 2024-04-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2024091857A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Systems and methods for fast control of impedance associated with an output of a plasma source
WO2024182321A1 (en) * 2023-03-02 2024-09-06 Lam Research Corporation Systems and methods for tuning a frequency of an rf amplifier

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008228304A (ja) 2007-03-09 2008-09-25 Huettinger Elektronik Gmbh & Co Kg D級増幅装置
JP2010114001A (ja) 2008-11-07 2010-05-20 Shimada Phys & Chem Ind Co Ltd プラズマ発生用電源装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112007003667A5 (de) * 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US9854659B2 (en) * 2014-10-16 2017-12-26 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US9748076B1 (en) * 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008228304A (ja) 2007-03-09 2008-09-25 Huettinger Elektronik Gmbh & Co Kg D級増幅装置
JP2010114001A (ja) 2008-11-07 2010-05-20 Shimada Phys & Chem Ind Co Ltd プラズマ発生用電源装置

Also Published As

Publication number Publication date
JP2021534556A (ja) 2021-12-09
CN112585715B (zh) 2024-04-26
WO2020036803A1 (en) 2020-02-20
KR20210034059A (ko) 2021-03-29
TW202036646A (zh) 2020-10-01
CN112585715A (zh) 2021-03-30
US20210210314A1 (en) 2021-07-08
US11728137B2 (en) 2023-08-15

Similar Documents

Publication Publication Date Title
JP7359789B2 (ja) 基板処理システムのための直接駆動rf回路
JP7370377B2 (ja) 基板処理システムにおけるマッチレスプラズマ源のための直接周波数同調
CN106960776B (zh) 用于蚀刻室的快速阻抗切换的变压器耦合电容性调谐电路
US10879044B2 (en) Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
TWI750154B (zh) 以步進方式調節阻抗匹配網路之系統及方法
US12087557B2 (en) Substrate processing system including coil with RF powered faraday shield
CN107017178B (zh) 用于低功率电压模式操作的周期平均的频率调谐
TWI851593B (zh) 在基板處理系統中用於無匹配式電漿源的直接頻率調諧
CN115715422A (zh) 衬底处理系统的直接驱动电路中的开关的保护系统
KR20240128086A (ko) 급속 교번 프로세스들을 위한 고속 매칭 네트워크 임피던스 스위칭을 갖는 기판 프로세싱 툴

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230904

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230919

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231017

R150 Certificate of patent or registration of utility model

Ref document number: 7370377

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150