JP7341932B2 - Composition for forming lower layer film, method for forming pattern, method for producing copolymer and composition for forming lower layer film - Google Patents

Composition for forming lower layer film, method for forming pattern, method for producing copolymer and composition for forming lower layer film Download PDF

Info

Publication number
JP7341932B2
JP7341932B2 JP2020045512A JP2020045512A JP7341932B2 JP 7341932 B2 JP7341932 B2 JP 7341932B2 JP 2020045512 A JP2020045512 A JP 2020045512A JP 2020045512 A JP2020045512 A JP 2020045512A JP 7341932 B2 JP7341932 B2 JP 7341932B2
Authority
JP
Japan
Prior art keywords
group
unit derived
lower layer
layer film
copolymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020045512A
Other languages
Japanese (ja)
Other versions
JP2021148831A (en
Inventor
宏樹 田中
貴美子 服部
和代 森田
泰明 田中
良平 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DIC Corp
New Oji Paper Co Ltd
Oji Holdings Corp
Original Assignee
DIC Corp
Oji Holdings Corp
Oji Paper Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by DIC Corp, Oji Holdings Corp, Oji Paper Co Ltd filed Critical DIC Corp
Priority to JP2020045512A priority Critical patent/JP7341932B2/en
Publication of JP2021148831A publication Critical patent/JP2021148831A/en
Application granted granted Critical
Publication of JP7341932B2 publication Critical patent/JP7341932B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Macromonomer-Based Addition Polymer (AREA)

Description

本発明は、下層膜形成用組成物、パターン形成方法、コポリマー及び下層膜形成用組成物の製造方法に関する。 The present invention relates to a composition for forming an underlayer film, a method for forming a pattern, a copolymer, and a method for producing the composition for forming an underlayer film.

半導体等の電子デバイスは微細化による高集積化が要求されており、半導体デバイスのパターンについては、微細化及び形状の多様化が検討されている。このようなパターンの形成方法としては、フォトレジストを用いたリソグラフィ法や、誘導自己組織化材料(Directed Self Assembly)を用いた自己組織化によるパターン形成方法が知られている。例えば、フォトレジストを用いたリソグラフィ法は、シリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、半導体デバイスのパターンが描かれたマスクパターンを介して紫外線等の活性光線を照射し、現像することで得られたフォトレジストパターンを保護膜として基板をエッチング処理することにより、基板に、上記パターンに対応する微細凹凸を形成する加工法である。 Electronic devices such as semiconductors are required to be highly integrated through miniaturization, and miniaturization and diversification of shapes of semiconductor device patterns are being considered. As a method for forming such a pattern, a lithography method using a photoresist and a pattern forming method by self-assembly using a directed self-assembly material are known. For example, in the lithography method using photoresist, a thin film of photoresist is formed on a semiconductor substrate such as a silicon wafer, and active light such as ultraviolet rays is irradiated through a mask pattern on which a semiconductor device pattern is drawn, and then developed. This is a processing method in which fine irregularities corresponding to the pattern are formed on the substrate by etching the substrate using the resulting photoresist pattern as a protective film.

微細なパターンを形成するためには、シリコンウエハー等の基板上に下層膜を形成した後に、パターンを形成する方法も検討されている。例えば、特許文献1には、[A]ポリシロキサン、及び[B]溶媒、を含有し、[B]溶媒が、(B1)3級アルコール、を含むことを特徴とするレジスト下層膜形成用組成物が記載されている。特許文献2には、芳香環を有する化合物を含有するレジスト下層膜形成用組成物を基板に塗布する塗布工程と、得られた塗膜を酸素濃度が1容量%未満の雰囲気中、450℃超800℃以下の温度で加熱する加熱工程とを備える、レジスト下層膜形成方法が記載されている。 In order to form a fine pattern, a method of forming a pattern after forming a lower layer film on a substrate such as a silicon wafer is also being considered. For example, Patent Document 1 discloses a composition for forming a resist underlayer film, which contains [A] polysiloxane and [B] a solvent, and the [B] solvent contains (B1) a tertiary alcohol. things are listed. Patent Document 2 describes a coating process of applying a composition for forming a resist underlayer film containing a compound having an aromatic ring onto a substrate, and a coating process in which the resulting coating film is heated at a temperature exceeding 450°C in an atmosphere with an oxygen concentration of less than 1% by volume. A method for forming a resist underlayer film is described, which includes a heating step of heating at a temperature of 800° C. or lower.

また、特許文献3には、デキストリンの50%以上をエステル化したデキストリンエステル化合物、架橋性化合物、及び有機溶剤を含む下層膜形成用組成物が記載されている。特許文献4には、包接分子を含有するシクロデキストリンを含むリソグラフィ用下層膜形成用組成物が記載されている。 Further, Patent Document 3 describes a composition for forming a lower layer film containing a dextrin ester compound in which 50% or more of dextrin is esterified, a crosslinkable compound, and an organic solvent. Patent Document 4 describes a composition for forming an underlayer film for lithography that includes a cyclodextrin containing an clathrate molecule.

かかる状況の下、本発明者らは、下層膜の下層膜残存率を高めるためにコポリマー及び有機溶剤を含み、パターン形成に用いる下層膜形成用組成物であって、
前記コポリマーが、
(a)糖誘導体に由来する単位と、
(b)光反射防止機能を有する化合物に由来する単位と、
(c)前記コポリマーをクロスカップリングし得る化合物に由来する単位と、を含み、
前記(a)糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種であり、
前記下層膜形成用組成物は金属導入用である、下層膜形成用組成物を開発している(特許文献5)
Under such circumstances, the present inventors have developed a composition for forming an underlayer film, which contains a copolymer and an organic solvent to increase the residual rate of the underlayer film and is used for pattern formation.
The copolymer is
(a) a unit derived from a sugar derivative;
(b) a unit derived from a compound having an antireflection function;
(c) a unit derived from a compound capable of cross-coupling the copolymer;
The unit derived from the sugar derivative (a) is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative,
The composition for forming a lower layer film is for introducing a metal, and a composition for forming a lower layer film is being developed (Patent Document 5)

特開2016-170338号公報JP 2016-170338 Publication 特開2016-206676号公報Japanese Patent Application Publication No. 2016-206676 国際公開第2005/043248号公報International Publication No. 2005/043248 特開2007-256773号公報Japanese Patent Application Publication No. 2007-256773 国際公開第2019/163975号公報International Publication No. 2019/163975

下層膜形成用組成物から形成された塗布膜が加熱処理されて下層膜となった後はレジスト形成組成物等が含有する有機溶剤に溶解されにくくなる(すなわち、下層膜形成用組成物に用いる材料の下層膜残存率が高い)必要がある。しかしながら、従来の下層膜形成用組成物を用いて形成した下層膜の下層膜残存率(耐溶剤性)は、十分に高いものとは言い難かった。 After the coating film formed from the composition for forming an underlayer film is heat-treated to become the underlayer film, it becomes difficult to dissolve in the organic solvent contained in the resist forming composition etc. (i.e., the composition used in the composition for forming the underlayer film The lower layer film survival rate of the material is high). However, the underlayer film survival rate (solvent resistance) of the underlayer film formed using the conventional underlayer film forming composition could not be said to be sufficiently high.

下層膜にパターンを形成した後には、該パターンを保護膜として、さらにシリコンウエハー基板にパターン形状を加工するエッチング工程が設けられることがあるが、従来の下層膜形成用組成物を用いて形成した保護膜は、エッチング耐性が十分ではなく、基板におけるパターン加工性に課題が残るものであった。 After forming a pattern on the lower layer film, an etching process is sometimes performed to use the pattern as a protective film and further process the pattern shape on the silicon wafer substrate. The protective film did not have sufficient etching resistance, and problems remained in pattern processability on the substrate.

従って、本発明は、有機溶剤に対する残存率が高く、かつエッチング耐性に優れた下層膜を形成し得る下層膜形成用組成物を提供することを課題とする。 Therefore, an object of the present invention is to provide a composition for forming an underlayer film that can form an underlayer film that has a high residual rate in organic solvents and has excellent etching resistance.

上記の課題を解決するために鋭意検討を行った結果、本発明者らは、(a)糖誘導体に由来する単位と、(b)光反射防止機能を有する化合物に由来する単位と、(c)コポリマーをクロスカップリングし得る化合物に由来する単位と、(d)下層膜へのガス透過を促進する官能基を有する単位とを含むコポリマーを下層膜形成用組成物の材料として用いることで、有機溶剤に対する残存率が高い下層膜が得られ、かつ金属導入量を向上することにより下層膜に優れたエッチング耐性を付与し得ることを見出し、本発明を完成するに至った。
従って、本発明は、以下の項を提供する。
As a result of intensive studies to solve the above problems, the present inventors discovered that (a) a unit derived from a sugar derivative, (b) a unit derived from a compound having an antireflection function, and (c) a unit derived from a sugar derivative; ) By using a copolymer containing a unit derived from a compound capable of cross-coupling the copolymer and (d) a unit having a functional group that promotes gas permeation to the underlayer film as a material for the composition for forming the underlayer film, The present inventors have discovered that a lower layer film with a high residual rate against organic solvents can be obtained, and that excellent etching resistance can be imparted to the lower layer film by increasing the amount of metal introduced, and the present invention has been completed.
Therefore, the present invention provides the following items.

[2]コポリマー及び有機溶剤を含み、パターン形成に用いる下層膜形成用組成物であって、
前記コポリマーが、
(a)糖誘導体に由来する単位と、
(b)光反射防止機能を有する化合物に由来する単位と、
(c)前記コポリマーをクロスカップリングし得る化合物に由来する単位と、
(d)下層膜へのガス透過を促進する官能基を有する単位とを含み、
前記(a)糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種であり、
前記下層膜形成用組成物は金属導入用である、下層膜形成用組成物。
[2]前記(d)下層膜へのガス透過を促進する官能基を有する単位が、C10以上の脂肪族アルコール又はC10以上のアルコキシポリアルキレングリコールと(メタ)アクリル酸とのエステルに由来する単位である、[1]に記載の下層膜形成用組成物。
[3]前記(b)光反射防止機能を有する化合物に由来する単位が、ベンゼン環含有化合物に由来する単位及びナフタレン環含有化合物に由来する単位からなる群より選択される少なくとも一種である、[1]又は[2]に記載の下層膜形成用組成物。
[4]前記(a)糖誘導体に由来する単位が、セルロース誘導体に由来する単位、ヘミセルロース誘導体に由来する単位及びキシロオリゴ糖誘導体に由来する単位からなる群より選択される少なくとも一種である、[1]~[3]のいずれか一項に記載の下層膜形成用組成物。
[5][1]~[4]のいずれか1項に記載の下層膜形成用組成物を用いて下層膜を形成する工程を含むパターン形成方法。
[6]前記下層膜に金属を導入する工程を含む[5]に記載のパターン形成方法。
[7](a)糖誘導体に由来する単位と、
(b)光反射防止機能を有する化合物に由来する単位と、
(c)前記コポリマーをクロスカップリングし得る化合物に由来する単位と、
(d)下層膜へのガス透過を促進する官能基を有する単位とを含み、
前記(a)糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種であるコポリマー。
[8]前記(d)下層膜へのガス透過を促進する官能基を有する単位が、C10以上の脂肪族アルコール又はC10以上のアルコキシポリアルキレングリコールと(メタ)アクリル酸とのエステルに由来する単位である、[7]に記載のコポリマー。
[9]前記(b)光反射防止機能を有する化合物に由来する単位が、ベンゼン環含有化合物に由来する単位からなる群より選択される少なくとも一種である、[7]又は[8]に記載のコポリマー。
[10]前記(a)糖誘導体に由来する単位が、セルロース誘導体に由来する単位、ヘミセルロース誘導体に由来する単位及びキシロオリゴ糖誘導体に由来する単位からなる群より選択される少なくとも一種である、[7]~[9]のいずれか一項に記載のコポリマー。
[11](a)重合性不和飽和基を有する糖誘導体、(b)光反射防止機能を有する重合性単量体、(c)クロスカップリング基を有する重合性単量体及び(d)下層膜へのガス透過を促進する官能基を有する重合性単量体を重合する工程を含むコポリマーの製造方法であって、
前記(a)重合性不和飽和基を有する糖誘導体が、重合性不和飽和基を有するペントース誘導体及び重合性不和飽和基を有するヘキソース誘導体から選択される少なくとも一種である、方法。
[2] An underlayer film forming composition used for pattern formation, containing a copolymer and an organic solvent,
The copolymer is
(a) a unit derived from a sugar derivative;
(b) a unit derived from a compound having an antireflection function;
(c) a unit derived from a compound capable of cross-coupling the copolymer;
(d) a unit having a functional group that promotes gas permeation to the underlying film;
The unit derived from the sugar derivative (a) is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative,
The composition for forming a lower layer film is for introducing a metal.
[2] (d) The unit having a functional group that promotes gas permeation to the lower layer membrane is a unit derived from an ester of a C10 or higher aliphatic alcohol or a C10 or higher alkoxy polyalkylene glycol and (meth)acrylic acid. The composition for forming a lower layer film according to [1].
[3] The unit derived from the compound having an antireflection function (b) is at least one type selected from the group consisting of a unit derived from a benzene ring-containing compound and a unit derived from a naphthalene ring-containing compound, [ 1] or the composition for forming a lower layer film according to [2].
[4] The unit derived from the sugar derivative (a) is at least one type selected from the group consisting of a unit derived from a cellulose derivative, a unit derived from a hemicellulose derivative, and a unit derived from a xylooligosaccharide derivative, [1 ] to [3]. The composition for forming a lower layer film according to any one of [3].
[5] A pattern forming method comprising the step of forming a lower layer film using the composition for forming a lower layer film according to any one of [1] to [4].
[6] The pattern forming method according to [5], including the step of introducing metal into the lower layer film.
[7] (a) A unit derived from a sugar derivative,
(b) a unit derived from a compound having an antireflection function;
(c) a unit derived from a compound capable of cross-coupling the copolymer;
(d) a unit having a functional group that promotes gas permeation to the underlying film;
(a) A copolymer in which the sugar derivative-derived unit is at least one type selected from pentose derivative-derived units and hexose derivative-derived units.
[8] (d) The unit having a functional group that promotes gas permeation to the lower layer membrane is a unit derived from an ester of a C10 or higher aliphatic alcohol or a C10 or higher alkoxy polyalkylene glycol and (meth)acrylic acid. The copolymer according to [7], which is
[9] The unit according to [7] or [8], wherein the unit derived from the compound having an antireflection function (b) is at least one type selected from the group consisting of units derived from benzene ring-containing compounds. copolymer.
[10] The unit derived from the sugar derivative (a) is at least one type selected from the group consisting of a unit derived from a cellulose derivative, a unit derived from a hemicellulose derivative, and a unit derived from a xylooligosaccharide derivative, [7 ] to [9]. The copolymer according to any one of [9].
[11] (a) A sugar derivative having a polymerizable unsaturated saturated group, (b) a polymerizable monomer having an antireflection function, (c) a polymerizable monomer having a cross-coupling group, and (d) A method for producing a copolymer, the method comprising the step of polymerizing a polymerizable monomer having a functional group that promotes gas permeation to an underlying membrane,
The method, wherein the sugar derivative (a) having a polymerizable unsaturated group is at least one selected from a pentose derivative having a polymerizable unsaturated group and a hexose derivative having a polymerizable unsaturated group.

本発明によれば、有機溶剤に対する残存率が高く、かつエッチング耐性に優れた下層膜を形成することができる。 According to the present invention, it is possible to form a lower layer film that has a high survival rate with respect to organic solvents and has excellent etching resistance.

図1は、基板と下層膜の構造の一例を示す断面図である。FIG. 1 is a cross-sectional view showing an example of the structure of a substrate and a lower layer film.

以下において、本発明について詳細に説明する。以下に記載する構成要件の説明は、代表的な実施形態や具体例に基づいてなされることがあるが、本発明はそのような実施形態に限定されるものではない。なお、本明細書において「~」を用いて表される数値範囲は「~」前後に記載される数値を下限値及び上限値として含む範囲を意味する。 In the following, the present invention will be explained in detail. Although the constituent elements described below may be explained based on typical embodiments and specific examples, the present invention is not limited to such embodiments. In this specification, a numerical range expressed using "~" means a range that includes the numerical values written before and after the "~" as lower and upper limits.

なお、本明細書において置換・無置換を明記していない置換基については、その基に任意の置換基を有していてもよい意味である。また、本明細書において、「(メタ)アクリレート」とは、アクリレート又はメタクリレートを意味する。 Note that in this specification, substituents that are not specified as substituted or unsubstituted are meant to have the meaning that they may have any substituent. Moreover, in this specification, "(meth)acrylate" means acrylate or methacrylate.

(下層膜形成用組成物)
1つの実施形態において、本発明は、コポリマー及び有機溶剤を含み、パターン形成に用いる下層膜形成用組成物に関する。コポリマーは、(a)糖誘導体に由来する単位と、(b)光反射防止機能を有する化合物に由来する単位と、(c)コポリマーをクロスカップリングし得る化合物に由来する単位と、(d)下層膜へのガス透過を促進する官能基を有する単位とを含む。(a)糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種であり、下層膜形成用組成物は金属導入用を提供する。
(Composition for forming lower layer film)
In one embodiment, the present invention relates to a composition for forming an underlayer film that includes a copolymer and an organic solvent and is used for pattern formation. The copolymer contains (a) units derived from a sugar derivative, (b) units derived from a compound having an antireflection function, (c) units derived from a compound capable of cross-coupling the copolymer, and (d) and a unit having a functional group that promotes gas permeation to the underlying film. (a) The unit derived from a sugar derivative is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative, and the composition for forming a lower layer film provides a metal introduction unit.

本発明の下層膜形成用組成物は、有機溶剤に対する残存率が高く、かつエッチング耐性に優れた下層膜を形成することができる。本発明の下層膜形成用組成物から形成される下層膜は、優れたエッチング耐性を有しているため、基板等のエッチング加工性を高めることができる。さらに、本発明の下層膜形成用組成物に含まれるコポリマーは、上述した複数の構成単位を含むものであるため、有機溶剤への溶解性が高い一方で、硬化して下層膜となった後には、レジスト形成組成物等が含有する有機溶剤に溶解されずに残存する点にも特徴がある。 The composition for forming a lower layer film of the present invention can form a lower layer film that has a high residual rate in organic solvents and has excellent etching resistance. Since the lower layer film formed from the lower layer film forming composition of the present invention has excellent etching resistance, it can improve the etching processability of substrates and the like. Furthermore, since the copolymer contained in the composition for forming the underlayer film of the present invention contains the plurality of structural units described above, it has high solubility in organic solvents, but after curing to form the underlayer film, Another feature is that it remains undissolved in the organic solvent contained in the resist forming composition.

コポリマーが有する糖誘導体に由来する単位(以下、単位(a)ともいう)は、架橋反応部を多く含むため、(架橋剤の添加の有無によらず)加熱による架橋が促進されやすい傾向がある。このため、塗布膜を加熱処理して下層膜となった後の下層膜残存率を高めることができる。すなわち、下層膜形成用組成物から形成される塗布膜を加熱処理して下層膜を形成した後には、コポリマーの有機溶剤に対する溶解度を低くすることができる。また、コポリマーが単位(a)を有することにより、エッチング加工性を高めることもできる。 Since the units derived from the sugar derivatives of the copolymer (hereinafter also referred to as units (a)) contain many crosslinking reaction moieties, crosslinking tends to be easily promoted by heating (regardless of whether or not a crosslinking agent is added). . Therefore, the residual rate of the lower layer film after the coating film is heated to become the lower layer film can be increased. That is, after forming the lower layer film by heat-treating the coating film formed from the composition for forming the lower layer film, the solubility of the copolymer in the organic solvent can be lowered. Furthermore, by having the unit (a) in the copolymer, etching processability can be improved.

下層膜残存率は、90%以上であることが好ましく、93%以上であることがより好ましく、95%以上であることがさらに好ましい。ここで、下層膜残存率は、下層膜に対して、フォトレジストに使用する溶剤であるプロピレングリコールモノメチルエーテルアセテートとプロピレングリコールモノメチルエーテルの50:50(質量比)混合液を塗布する前後(洗浄前後)の下層膜の厚みから以下の式で算出される値である:
下層膜残存率(%)=洗浄後の下層膜の膜厚(μm)/洗浄前の下層膜の膜厚(μm)×100
The residual rate of the lower layer film is preferably 90% or more, more preferably 93% or more, and even more preferably 95% or more. Here, the residual rate of the lower layer film is measured before and after applying a 50:50 (mass ratio) mixture of propylene glycol monomethyl ether acetate and propylene glycol monomethyl ether, which are solvents used in photoresist, to the lower layer film (before and after cleaning). ) is the value calculated from the thickness of the lower layer film using the following formula:
Lower layer film survival rate (%) = Lower layer film thickness after cleaning (μm) / Lower layer film thickness before cleaning (μm) x 100

エッチング加工性は、以下の方法で評価することができる。まず、下層膜形成用組成物をシリコン基板に塗布し、下層膜を形成した後に、下層膜をラインアンドスペースのパターン形状とし、シリコン基板へのエッチングを行う。その後、シリコン基板のパターン形成表面を走査型電子顕微鏡で観察し、エッチング加工性の状態を確認する。シリコン基板のパターン形状が走査型電子顕微鏡の1視野においてラインの倒れがない状態であればエッチング加工性が良好であると判定できる。 Etching processability can be evaluated by the following method. First, a composition for forming a lower layer film is applied to a silicon substrate to form a lower layer film, and then the lower layer film is formed into a line-and-space pattern shape, and the silicon substrate is etched. Thereafter, the pattern-formed surface of the silicon substrate is observed with a scanning electron microscope to confirm the etching processability. If the pattern shape of the silicon substrate is in a state where there is no line collapse in one field of view of a scanning electron microscope, it can be determined that the etching processability is good.

また、本発明の下層膜形成用組成物は、コポリマーが単位(a)を有することにより、下層膜に金属を多く導入することができる。このため、本発明の下層膜形成用組成物は金属導入用の材料であると言える。下層膜形成用組成物に含まれるコポリマーは金属と反応(結合)することで、金属を含有する下層膜を形成することができる。このような下層膜は金属を有さない下層膜に比べて硬くなり、これにより優れたエッチング加工性を発揮することができる。ここで、下層膜形成用組成物に含まれるコポリマーは、コポリマー1分子中の複数箇所で金属と反応(結合)するものであることが好ましく、金属との反応(結合)部位が多いほど金属導入率が高くなる。本発明においては、コポリマー中に含まれる酸素原子と金属原子を反応(結合)させることにより、金属導入率を高めており、このような高い金属導入率はコポリマーが単位(a)を有することにより達成される。なお、コポリマー中に含まれる酸素原子と金属原子の結合は特に限定されるものではないが、例えば、コポリマー中に含まれる酸素原子と金属原子は配位結合又はイオン結合することが好ましい。 Further, in the composition for forming a lower layer film of the present invention, since the copolymer has the unit (a), a large amount of metal can be introduced into the lower layer film. Therefore, it can be said that the composition for forming a lower layer film of the present invention is a material for introducing metal. The copolymer contained in the composition for forming a lower layer film can react (bond) with a metal to form a lower layer film containing a metal. Such a lower layer film is harder than a lower layer film containing no metal, and thus can exhibit excellent etching processability. Here, the copolymer contained in the composition for forming the lower layer film is preferably one that reacts (bonds) with the metal at multiple sites in one molecule of the copolymer, and the more sites that react (bond) with the metal, the more likely the metal will be introduced. rate becomes higher. In the present invention, the metal introduction rate is increased by reacting (bonding) the oxygen atoms and metal atoms contained in the copolymer, and such a high metal introduction rate is due to the copolymer having the unit (a). achieved. Note that the bond between the oxygen atom and metal atom contained in the copolymer is not particularly limited, but, for example, it is preferable that the oxygen atom and metal atom contained in the copolymer form a coordinate bond or an ionic bond.

下層膜における金属導入率は、5at%(アトミックパーセント)以上であることが好ましく、8at%以上であることがより好ましく、10at%以上であることがさらに好ましく、15at%以上であることが一層好ましい。金属導入率は、例えば、以下の方法で算出できる。まず、下層膜形成用組成物から形成された下層膜をALD(原子層堆積装置)に入れ、ここに95℃にてAl(CH33ガスを導入した後、水蒸気を導入する。この操作を3回繰り返すことで、下層膜にAlを導入する。Al導入後の下層膜について、電子顕微鏡JSM7800F(日本電子製)を用いてEDX分析(エネルギー分散型X線分析)を行い、Al成分の比率(Al含有率)を算出し、これを金属導入率とする。 The metal introduction rate in the lower layer film is preferably at least 5 at% (atomic percent), more preferably at least 8 at%, even more preferably at least 10 at%, even more preferably at least 15 at%. . The metal introduction rate can be calculated, for example, by the following method. First, a lower layer film formed from a composition for forming a lower layer film is placed in an ALD (atomic layer deposition apparatus), into which Al(CH 3 ) 3 gas is introduced at 95° C., and then water vapor is introduced therein. By repeating this operation three times, Al is introduced into the lower layer film. EDX analysis (energy dispersive X-ray analysis) was performed on the lower layer film after Al introduction using an electron microscope JSM7800F (manufactured by JEOL Ltd.) to calculate the ratio of Al components (Al content), which was calculated as the metal introduction rate. shall be.

コポリマーが有する光反射防止機能を有する化合物に由来する単位(以下、単位(b)ともいう)は、光を吸収する性質を有している。単位(b)の光反射防止機能については単位(b)の単量体と溶媒からなる塗布液から厚み0.1μmの塗布膜を形成し、該塗布膜に紫外線(例えば、波長193nmの紫外線)を照射し、その反射率が30%以下である場合に、単位(b)が光反射防止機能を有していると評価できる。なお、塗布膜の反射率は、分光光度計で測定することで評価することができる。分光光度計としては、例えば、日本分光社製のV770EXを用いることができ、分光光度計に積分球を設置した状態で測定を行うことが好ましい。なお、単位(b)の単量体から塗布膜を形成できない場合は単位(b)で構成されたポリマーを含む塗布液から塗布膜を形成してもよい。 The unit derived from a compound having an antireflection function of the copolymer (hereinafter also referred to as unit (b)) has the property of absorbing light. For the anti-reflection function of unit (b), a coating film with a thickness of 0.1 μm is formed from a coating solution consisting of the monomer of unit (b) and a solvent, and the coating film is exposed to ultraviolet light (for example, ultraviolet light with a wavelength of 193 nm). When the reflectance is 30% or less, it can be evaluated that the unit (b) has a light reflection prevention function. Note that the reflectance of the coating film can be evaluated by measuring with a spectrophotometer. As the spectrophotometer, for example, V770EX manufactured by JASCO Corporation can be used, and it is preferable to perform the measurement with an integrating sphere installed in the spectrophotometer. In addition, when a coating film cannot be formed from the monomer of unit (b), a coating film may be formed from a coating liquid containing a polymer composed of unit (b).

コポリマーが単位(b)を有することにより、下層膜形成用組成物から形成される下層膜は光反射防止機能を発揮することができる。このため、下層膜上にレジスト膜を形成し、露光してパターンを形成する際には精度よくパターン形状を形成することができる。下層膜の光反射防止機能は、下層膜に紫外線(例えば、波長193nmの紫外線)を照射し、その反射率を分光光度計で測定することで評価することができる。分光光度計としては、例えば、日本分光社製のV770EXを用いることができ、分光光度計に積分球を設置した状態で測定を行うことが好ましい。下層膜の紫外線反射率(光反射率)は、50%以下であることが好ましく、30%以下であることがより好ましく、20%以下であることがさらに好ましい。 By having the unit (b) in the copolymer, the lower layer film formed from the composition for forming a lower layer film can exhibit an antireflection function. Therefore, when forming a resist film on the lower layer film and exposing it to form a pattern, the pattern shape can be formed with high accuracy. The light reflection prevention function of the lower layer film can be evaluated by irradiating the lower layer film with ultraviolet rays (for example, ultraviolet rays with a wavelength of 193 nm) and measuring the reflectance with a spectrophotometer. As the spectrophotometer, for example, V770EX manufactured by JASCO Corporation can be used, and it is preferable to perform the measurement with an integrating sphere installed in the spectrophotometer. The ultraviolet reflectance (light reflectance) of the lower layer film is preferably 50% or less, more preferably 30% or less, and even more preferably 20% or less.

さらに、コポリマーが、コポリマーをクロスカップリングし得る化合物に由来する単位(以下、単位(c)ともいう)を有することで、コポリマーのクロスカップリング反応を促進することができる。クロスカップリングとは下層膜形成用組成物中のコポリマーが加熱や光反応によりコポリマーが結合すること、もしくはコポリマー内の官能基が結合することである。これにより、下層膜の有機溶剤に対する残存率を高めることができ、さらにエッチング加工性を高めることができる。また、コポリマーが単位(c)を有することにより、大気下でかつ比較的低温での加熱処理で割れにくい下層膜を形成することができる。例えば、下層膜を高温条件といった過酷条件に置いた場合であっても割れの発生が抑制される。 Furthermore, since the copolymer has a unit derived from a compound capable of cross-coupling the copolymer (hereinafter also referred to as unit (c)), the cross-coupling reaction of the copolymer can be promoted. Cross-coupling refers to the bonding of copolymers in the composition for forming a lower layer film by heating or photoreaction, or the bonding of functional groups within the copolymers. This makes it possible to increase the residual ratio of the lower layer film to organic solvents, and further improve etching processability. Furthermore, since the copolymer has the unit (c), it is possible to form a lower layer film that is resistant to cracking by heat treatment in the atmosphere at a relatively low temperature. For example, even if the lower layer film is placed under severe conditions such as high temperature conditions, the occurrence of cracks is suppressed.

なお、コポリマー中の単位(c)の有無は、下層膜形成用組成物を硬化させる前後の官能基のピークの変化をFT-IRで検出することで判定することができる。例えば、コポリマーをクロスカップリングし得る化合物がグリシジルメタクリレートであれば、下層膜形成用組成物を硬化させることでコポリマーがクロスカップリングし、916cm-1付近のピークが消失し、1106cm-1付近のエーテル基由来のピークや3160~3600cm-1付近の水酸基由来のピークが検出されることになる。これにより、コポリマーのクロスカップリングが起こったと評価することができる。具体的には、例えばメチル基等の変化しないピーク高さを基準官能基とし、クロスカップリングにより消失したピーク高さの比率から、クロスカップリング反応率を算出し、クロスカップリング反応率が95%以下の場合に、コポリマーのクロスカップリングが生じている、すなわち、コポリマーが単位(c)を含有していると判定することができる:
クロスカップリング反応率(%)=(硬化後のクロスカップリング基由来の官能基のピーク高さ/基準官能基のピーク高さ)/(硬化前のクロスカップリング基由来の官能基のピーク高さ/基準官能基のピーク高さ)×100
The presence or absence of the unit (c) in the copolymer can be determined by detecting the change in the peak of the functional group before and after curing the composition for forming the lower layer film using FT-IR. For example, if the compound capable of cross-coupling the copolymer is glycidyl methacrylate, the copolymer will be cross-coupled by curing the composition for forming the underlayer film, the peak around 916 cm -1 will disappear, and the peak around 1106 cm -1 will disappear. A peak derived from an ether group and a peak derived from a hydroxyl group near 3160 to 3600 cm -1 are detected. This makes it possible to evaluate that cross-coupling of the copolymer has occurred. Specifically, for example, using the unchanged peak height of a methyl group as a reference functional group, the cross-coupling reaction rate is calculated from the ratio of the peak height that disappears due to cross-coupling, and the cross-coupling reaction rate is 95. %, it can be determined that cross-coupling of the copolymer has occurred, that is, the copolymer contains units (c):
Cross-coupling reaction rate (%) = (peak height of functional group derived from cross-coupling group after curing/peak height of reference functional group) / (peak height of functional group derived from cross-coupling group before curing) / peak height of reference functional group) x 100

本発明の下層膜形成用組成物から形成される下層膜は、例えば、シリコンウエハー等の基板にパターンを形成するために、基板上に設けられる膜(保護膜)である。下層膜は、基板上に直接接するように設けられる膜であってもよく、基板上に他の層を介して積層される膜であってもよい。下層膜は、基板に形成したいパターン形状に加工され、パターン形状として残された部分がその後のエッチング工程における保護膜となる。そして、基板にパターンが形成された後は、下層膜(保護膜)が基板上から除去されることが一般的に行われている。このように、下層膜は、基板にパターンを形成する工程において用いられるものである。 The lower layer film formed from the lower layer film forming composition of the present invention is, for example, a film (protective film) provided on a substrate such as a silicon wafer in order to form a pattern on the substrate. The lower layer film may be a film provided in direct contact with the substrate, or may be a film laminated on the substrate via another layer. The lower layer film is processed into a pattern shape desired to be formed on the substrate, and the portion left as the pattern shape becomes a protective film in a subsequent etching process. After the pattern is formed on the substrate, the lower layer film (protective film) is generally removed from the substrate. In this way, the lower layer film is used in the process of forming a pattern on the substrate.

本発明の下層膜形成用組成物から形成される下層膜は基板にパターン形状を加工する際に優れたエッチング耐性を発揮するものであり、このような下層膜のエッチング耐性は、例えば、下記式において算出されるエッチング選択比によって評価することができる:
エッチング選択比 =基板のエッチング加工部分の最大深さ/(エッチング処理前の下層膜の平均厚み-エッチング処理後の下層膜の平均厚み)
基板のエッチング加工部分の深さ及びエッチング処理前後の下層膜の厚みは、例えば、基板断面を走査型電子顕微鏡(SEM)で観察することで測定することができる。基板のエッチング加工部分の深さは、エッチング処理によって削られた部分の最大深さであり、エッチング処理前後の下層膜の厚みは、下層膜の残留部分の最大厚みである。上記のようにして算出されるエッチング選択比は、1.5より大きいことが好ましく、2以上であることがより好ましく、3以上であることがさらに好ましく、4以上であることが一層好ましい。なお、エッチング選択比の上限値は特に限定されないが、例えば200とすることができる。
The lower layer film formed from the composition for forming a lower layer film of the present invention exhibits excellent etching resistance when processing a pattern shape on a substrate, and the etching resistance of such a lower layer film is expressed by, for example, the following formula: It can be evaluated by the etching selectivity calculated by:
Etching selectivity = maximum depth of etched part of substrate / (average thickness of lower layer film before etching process - average thickness of lower layer film after etching process)
The depth of the etched portion of the substrate and the thickness of the underlying film before and after the etching process can be measured, for example, by observing a cross section of the substrate with a scanning electron microscope (SEM). The depth of the etched portion of the substrate is the maximum depth of the portion etched by the etching process, and the thickness of the lower layer film before and after the etching process is the maximum thickness of the remaining portion of the lower layer film. The etching selectivity calculated as described above is preferably larger than 1.5, more preferably 2 or more, even more preferably 3 or more, and even more preferably 4 or more. Note that the upper limit value of the etching selectivity is not particularly limited, but may be set to 200, for example.

また、本発明の下層膜形成用組成物は、パターンを形成するためのフォトマスクの形成材料として用いられてもよい。フォトマスク基板上に所定のパターンを形成し、エッチング、レジスト剥離等の工程を経ることでフォトマスクが形成される。 Further, the composition for forming a lower layer film of the present invention may be used as a forming material for a photomask for forming a pattern. A photomask is formed by forming a predetermined pattern on a photomask substrate and performing steps such as etching and resist peeling.

<コポリマー>
本発明の下層膜形成用組成物は、コポリマーを含む。コポリマーは、単位(a)、単位(b)、単位(c)及び単位(d)を含む。ここで、単位(a)は糖誘導体に由来する単位であり、単位(b)は光反射防止機能を有する化合物に由来する単位であり、単位(c)はコポリマーをクロスカップリングし得る化合物に由来する単位であり、単位(d)は下層膜へのガス透過を促進する官能基を有する単位である。ここで、単位(a)は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種である。一実施形態において、本発明は、単位(a)、単位(b)、単位(c)及び単位(d)を含むコポリマーも提供する。
<Copolymer>
The composition for forming a lower layer film of the present invention contains a copolymer. The copolymer includes units (a), units (b), units (c) and units (d). Here, the unit (a) is a unit derived from a sugar derivative, the unit (b) is a unit derived from a compound having an antireflection function, and the unit (c) is a unit derived from a compound capable of cross-coupling the copolymer. The unit (d) is a unit having a functional group that promotes gas permeation to the underlying film. Here, the unit (a) is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative. In one embodiment, the invention also provides a copolymer comprising units (a), units (b), units (c) and units (d).

コポリマーは、ブ架橋を促進し強度を高める観点からランダムコポリマーであることが好ましい。なお、コポリマーは、一部がランダムコポリマー、一部がブロックコポリマーである構造であってもよい。 The copolymer is preferably a random copolymer from the viewpoint of promoting crosslinking and increasing strength. Note that the copolymer may have a structure in which part of it is a random copolymer and part of it is a block copolymer.

コポリマーの含有量は、下層膜形成用組成物の全質量に対して、0.1質量%以上であることが好ましく、1質量%以上であることがより好ましい。また、コポリマーの含有量は、下層膜形成用組成物の全質量に対して、90質量%以下であることが好ましく、80質量%以下であることがより好ましく、70質量%以下であることがさらに好ましい。 The content of the copolymer is preferably 0.1% by mass or more, more preferably 1% by mass or more, based on the total mass of the composition for forming the lower layer film. Further, the content of the copolymer is preferably 90% by mass or less, more preferably 80% by mass or less, and preferably 70% by mass or less with respect to the total mass of the composition for forming the underlayer film. More preferred.

なお、コポリマーは有機材料からなることが好ましい。これは、ポリシロキサン等の有機無機ハイブリット材料を含む場合と比較して、有機系のレジスト材料等との密着性が良好となる観点から好ましい。 Note that the copolymer is preferably made of an organic material. This is preferable from the viewpoint of better adhesion with organic resist materials, etc., compared to the case where an organic-inorganic hybrid material such as polysiloxane is included.

(単位(a))
単位(a)は糖誘導体に由来する単位であり、糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種である。このように、コポリマーの単位(a)として酸素原子を多く持った糖誘導体に由来する単位を導入することで、金属に配位しやすい構造とし、逐次浸透合成法等の簡便な方法でコポリマー、下層膜形成用組成物、下層膜形成用組成物から形成された下層膜のいずれかに金属を導入することができ、その結果エッチング加工性を高めることができる。このようにして金属が導入された下層膜は、リソグラフィープロセス内でより高性能なマスクとなり得る。
(Unit (a))
The unit (a) is a unit derived from a sugar derivative, and the unit derived from the sugar derivative is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative. In this way, by introducing a unit derived from a sugar derivative with many oxygen atoms as the unit (a) of the copolymer, a structure is created that facilitates coordination with metals, and a copolymer, A metal can be introduced into either the composition for forming a lower layer film or the lower layer film formed from the composition for forming a lower layer film, and as a result, etching processability can be improved. The underlying film into which metal is introduced in this way can serve as a higher performance mask within the lithography process.

糖誘導体は、単糖由来の糖誘導体であっても、単糖由来の糖誘導体が複数結合した構造であってもよい。コポリマーがブロックコポリマーである場合、単位(a)からなる重合部aは、単糖由来の糖誘導体が複数結合した構造となる。 The sugar derivative may be a sugar derivative derived from a monosaccharide, or may have a structure in which a plurality of sugar derivatives derived from a monosaccharide are bonded. When the copolymer is a block copolymer, the polymerization part a consisting of the unit (a) has a structure in which a plurality of sugar derivatives derived from monosaccharides are bonded.

糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種である。
ペントース誘導体は、公知の単糖類又は多糖類のペントースのヒドロキシル基が少なくとも置換基で修飾されたペントース由来の構造であれば、特に制限はない。ペントース誘導体としては、ヘミセルロース誘導体、キシロース誘導体及びキシロオリゴ糖誘導体から選択される少なくとも一種であることが好ましい。
The unit derived from a sugar derivative is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative.
The pentose derivative is not particularly limited as long as it has a structure derived from a pentose of a known monosaccharide or polysaccharide in which the hydroxyl group of the pentose is modified with at least a substituent. The pentose derivative is preferably at least one selected from hemicellulose derivatives, xylose derivatives, and xylooligosaccharide derivatives.

ヘキソース誘導体としては、公知の単糖類又は多糖類のヘキソースのヒドロキシル基が少なくとも置換基で修飾されたヘキソース由来の構造であれば、特に制限はない。ヘキソース誘導体としては、グルコース誘導体及びセルロース誘導体から選択される少なくとも一種であることが好ましく、セルロース誘導体であることがより好ましい。
中でも、糖誘導体に由来する単位(単位(a))は、セルロース誘導体に由来する単位、ヘミセルロース誘導体に由来する単位及びキシロオリゴ糖誘導体に由来する単位から選択される少なくとも一種であることが好ましい。中でも、ポリマーの溶媒への溶解性が優れるため、ポリマーは、キシロース誘導体もしくはキシロオリゴ糖誘導体に由来する単位を含むものであることがより好ましい。なお、キシロオリゴ糖とはキシロース由来の単位が繰り返される構造を有するオリゴ糖を意味し、典型的には、キシロース由来の単位が2から20回繰り返される構造を示す。
The hexose derivative is not particularly limited as long as it has a structure derived from a hexose in which the hydroxyl group of the hexose of a known monosaccharide or polysaccharide is modified with at least a substituent. The hexose derivative is preferably at least one selected from glucose derivatives and cellulose derivatives, and more preferably cellulose derivatives.
Among these, the unit derived from a sugar derivative (unit (a)) is preferably at least one selected from a unit derived from a cellulose derivative, a unit derived from a hemicellulose derivative, and a unit derived from a xylooligosaccharide derivative. Among these, it is more preferable that the polymer contains a unit derived from a xylose derivative or a xylooligosaccharide derivative, since the polymer has excellent solubility in a solvent. Note that xylooligosaccharide refers to an oligosaccharide having a structure in which xylose-derived units are repeated, and typically shows a structure in which xylose-derived units are repeated 2 to 20 times.

糖誘導体に由来する単位は、側鎖に糖誘導体由来構造を有する構成単位であってもよく、主鎖に糖誘導体由来構造を有する構成単位であってもよい。糖誘導体に由来する単位が、側鎖に糖誘導体由来構造を有する構成単位である場合は、糖誘導体に由来する単位は、後述する一般式(1)で表される構造であることが好ましい。また、糖誘導体に由来する単位が、主鎖に糖誘導体由来構造を有する構成単位である場合は、糖誘導体に由来する単位は、後述する一般式(2)で表される構造であることが好ましい。中でも、主鎖が長くなり過ぎにくく、コポリマーの有機溶剤への溶解度を高くしやすい観点から、糖誘導体に由来する単位は、一般式(1)で表される構造であることが好ましい。なお、一般式(1)及び(2)では、糖誘導体の構造を環状構造として記載しているが、糖誘導体の構造は環状構造だけでなくアルドースやケトースと呼ばれる開環した構造(鎖状構造)であってもよい。 The unit derived from a sugar derivative may be a structural unit having a sugar derivative-derived structure in its side chain, or may be a structural unit having a sugar derivative-derived structure in its main chain. When the sugar derivative-derived unit is a structural unit having a sugar derivative-derived structure in its side chain, the sugar derivative-derived unit preferably has a structure represented by general formula (1) described below. In addition, when the unit derived from a sugar derivative is a structural unit having a structure derived from the sugar derivative in the main chain, the unit derived from the sugar derivative may have a structure represented by the general formula (2) described below. preferable. Among these, the unit derived from the sugar derivative preferably has a structure represented by general formula (1) from the viewpoint of preventing the main chain from becoming too long and easily increasing the solubility of the copolymer in an organic solvent. In general formulas (1) and (2), the structure of the sugar derivative is described as a cyclic structure, but the structure of the sugar derivative is not only a cyclic structure but also an open ring structure (chain structure) called an aldose or ketose. ).

以下、一般式(1)で表される構造について説明する。 The structure represented by general formula (1) will be explained below.

一般式(1)中、s及びtは同一又は異なって、0以上(好ましくは0~2)の整数を示す。
1は同一又は異なって、水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、アルキル基、アシル基、アリール基、トリメチルシリル基、ホスホリル基又は糖誘導体基を表し、複数あるR1は同一であっても異なっていてもよい。
R’は水素原子、-OR11又は-NR12 2を表す。
R”は水素原子、-OR11、-COOR13又は-CH2OR13を表す。ここで、R11は、水素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表し、R12は、水素原子、アルキル基、カルボキシル基又はアシル基を表し、複数あるR12は同一であっても異なってもよく、R13は、水素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表す。
5は水素原子又はアルキル基を表す。
1及びY1は、同一又は異なって、単結合又は連結基を表す。
In the general formula (1), s and t are the same or different and represent an integer of 0 or more (preferably 0 to 2).
R 1 are the same or different and represent a hydrogen atom, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, a phosphoryl group, or a sugar derivative group, and multiple R 1s are the same may be different.
R' represents a hydrogen atom, -OR 11 or -NR 12 2 .
R" represents a hydrogen atom, -OR 11 , -COOR 13 or -CH 2 OR 13. Here, R 11 represents a hydrogen atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, or a phosphoryl group, and R 12 represents a hydrogen atom, an alkyl group, a carboxyl group, or an acyl group; multiple R 12s may be the same or different; R 13 represents a hydrogen atom, an alkyl group, an acyl group, an aryl group, or a trimethylsilyl group. Or represents a phosphoryl group.
R 5 represents a hydrogen atom or an alkyl group.
X 1 and Y 1 are the same or different and represent a single bond or a connecting group.

一般式(1)中、R1は、同一又は異なって、水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、アルキル基、アシル基、アリール基、トリメチルシリル基、ホスホリル基又は糖誘導体基を表し、複数あるR1は同一であっても異なっていてもよい。中でも、R1は、同一又は異なって、水素原子又は炭素数1以上3以下のアシル基であることが好ましい。なお、上記のアルキル基が置換基を有するアルキル基である場合、このようなアルキル基には糖誘導体基が含まれるため、糖鎖部分はさらに直鎖又は分岐鎖の糖誘導体に由来する単位を有していてもよい。 In general formula (1), R 1 is the same or different and represents a hydrogen atom, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, a phosphoryl group, or a sugar derivative group. and a plurality of R 1 may be the same or different. Among these, R 1 is preferably the same or different and is a hydrogen atom or an acyl group having 1 or more and 3 or less carbon atoms. In addition, when the above alkyl group is an alkyl group having a substituent, such an alkyl group includes a sugar derivative group, so the sugar chain moiety may further include a unit derived from a straight chain or branched sugar derivative. may have.

本発明において、糖誘導体基としては、例えば、以下の構造を有するものが挙げられる: In the present invention, examples of the sugar derivative group include those having the following structure:

(nは1以上の整数を表す。)
上記構造式中、*印は、側鎖の糖単位との結合部位を表す。
(n represents an integer greater than or equal to 1.)
In the above structural formula, the * mark represents the bonding site with the sugar unit of the side chain.

直鎖又は分岐鎖の糖誘導体に由来する単位は、結合する糖誘導体と同じ構造の糖誘導体であることが好ましい。すなわち、一般式(1)で表される構造のR”が水素原子、-OR11、カルボキシル基、-COOR13であって糖鎖部分(糖誘導体)がさらに直鎖又は分岐鎖の糖誘導体に由来する単位を有する場合は、該単位はペントース誘導体に由来する単位を有することが好ましい。また、一般式(1)で表される構造のR”が-CH2OR13であって糖鎖部分(糖誘導体)がさらに直鎖又は分岐鎖の糖誘導体に由来する単位を有する場合は、ヘキソース誘導体に由来する単位を有することが好ましい。直鎖又は分岐鎖の糖誘導体に由来する単位のヒドロキシル基が有してもよいさらなる置換基は、R1の範囲と同様である。 The unit derived from a linear or branched sugar derivative is preferably a sugar derivative having the same structure as the sugar derivative to which it is bound. That is, R" in the structure represented by general formula (1) is a hydrogen atom, -OR 11 , a carboxyl group, and -COOR 13 , and the sugar chain moiety (sugar derivative) is further converted into a linear or branched sugar derivative. When the unit has a unit derived from a pentose derivative, it is preferable that the unit has a unit derived from a pentose derivative.Also, R'' in the structure represented by general formula (1) is -CH 2 OR 13 and the sugar chain moiety When (sugar derivative) further has a unit derived from a linear or branched sugar derivative, it is preferable to have a unit derived from a hexose derivative. Further substituents that the hydroxyl group of the unit derived from a straight-chain or branched sugar derivative may have are the same as the range of R 1 .

一般式(1)中、R1は少なくとも1つのアルキル基として糖誘導体基をさらに有すること、すなわち単糖由来の糖誘導体に由来する単位が複数結合した構造を形成することが、コポリマーの有機溶剤に対する溶解度を低くする観点から好ましい。この場合、糖誘導体の平均重合度(単糖由来の糖誘導体の結合個数を意味する)は1以上20以下であることが好ましく、15以下であることがより好ましく、12以下であることがさらに好ましい。 In the general formula (1), R 1 further has a sugar derivative group as at least one alkyl group, that is, it forms a structure in which a plurality of units derived from a sugar derivative derived from a monosaccharide are bonded together. This is preferable from the viewpoint of lowering the solubility in . In this case, the average degree of polymerization of the sugar derivative (meaning the number of bonded sugar derivatives derived from monosaccharides) is preferably 1 or more and 20 or less, more preferably 15 or less, and even more preferably 12 or less. preferable.

1がアルキル基又はアシル基である場合、その炭素数は、目的に応じて適宜選択することができる。例えば、炭素数は1以上であることが好ましく、200以下であることが好ましく、100以下であることがより好ましく、20以下であることがさらに好ましく、4以下であることが特に好ましい。 When R 1 is an alkyl group or an acyl group, the number of carbon atoms can be appropriately selected depending on the purpose. For example, the number of carbon atoms is preferably 1 or more, preferably 200 or less, more preferably 100 or less, even more preferably 20 or less, and particularly preferably 4 or less.

1の具体例としては、例えば、アセチル基、プロパノイル基、ブチリル基、イソブチリル基、バレリル基、イソバレリル基、ピバロイル基、ヘキサノイル基、オクタノイル基、クロロアセチル基、トリフルオロアセチル基、シクロペンタンカルボニル基、シクロヘキサンカルボニル基、ベンゾイル基、メトキシベンゾイル基、クロロベンゾイル基等のアシル基;メチル基、エチル基、n-プロピル基、n-ブチル基、i-ブチル基、t-ブチル基等のアルキル基、トリメチルシリル基等が挙げられる。これらの中でも、メチル基、エチル基、アセチル基、プロパノイル基、n-ブチリル基、イソブチリル基、ベンゾイル基、トリメチルシリル基が好ましく、アセチル基、プロパノイル基が特に好ましい。 Specific examples of R 1 include, for example, an acetyl group, a propanoyl group, a butyryl group, an isobutyryl group, a valeryl group, an isovaleryl group, a pivaloyl group, a hexanoyl group, an octanoyl group, a chloroacetyl group, a trifluoroacetyl group, and a cyclopentanecarbonyl group. , acyl groups such as cyclohexanecarbonyl group, benzoyl group, methoxybenzoyl group, chlorobenzoyl group; alkyl groups such as methyl group, ethyl group, n-propyl group, n-butyl group, i-butyl group, t-butyl group, Examples include trimethylsilyl group. Among these, methyl group, ethyl group, acetyl group, propanoyl group, n-butyryl group, isobutyryl group, benzoyl group, and trimethylsilyl group are preferred, and acetyl group and propanoyl group are particularly preferred.

一般式(1)中、R’は水素原子、-OR11又は-NR12 2を表す。R11は水素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表す。R11がアルキル基又はアシル基である場合、その炭素数は、目的に応じて適宜選択することができる。例えば、炭素数は1以上であることが好ましく、200以下であることが好ましく、100以下であることがより好ましく、20以下であることがさらに好ましく、4以下であることが特に好ましい。中でも、R11は水素原子又は炭素数1以上3以下のアルキル基、炭素数1以上3以下のアシル基又はトリメチルシリル基であることが好ましい。R11の具体例としては、例えば、アセチル基、プロパノイル基、ブチリル基、イソブチリル基、バレリル基、イソバレリル基、ピバロイル基、ヘキサノイル基、オクタノイル基、クロロアセチル基、トリフルオロアセチル基、シクロペンタンカルボニル基、シクロヘキサンカルボニル基、ベンゾイル基、メトキシベンゾイル基、クロロベンゾイル基等のアシル基;メチル基、エチル基、n-プロピル基、n-ブチル基、i-ブチル基、t-ブチル基等のアルキル基、トリメチルシリル基等が挙げられる。これらの中でも、メチル基、エチル基、アセチル基、プロパノイル基、n-ブチリル基、イソブチリル基、ベンゾイル基、トリメチルシリル基が好ましく、メチル基、アセチル基、プロパノイル基が特に好ましい。 In general formula (1), R' represents a hydrogen atom, -OR 11 or -NR 12 2 . R 11 represents a hydrogen atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group or a phosphoryl group. When R 11 is an alkyl group or an acyl group, the number of carbon atoms thereof can be appropriately selected depending on the purpose. For example, the number of carbon atoms is preferably 1 or more, preferably 200 or less, more preferably 100 or less, even more preferably 20 or less, and particularly preferably 4 or less. Among these, R 11 is preferably a hydrogen atom, an alkyl group having 1 to 3 carbon atoms, an acyl group having 1 to 3 carbon atoms, or a trimethylsilyl group. Specific examples of R 11 include acetyl group, propanoyl group, butyryl group, isobutyryl group, valeryl group, isovaleryl group, pivaloyl group, hexanoyl group, octanoyl group, chloroacetyl group, trifluoroacetyl group, and cyclopentanecarbonyl group. , acyl groups such as cyclohexanecarbonyl group, benzoyl group, methoxybenzoyl group, chlorobenzoyl group; alkyl groups such as methyl group, ethyl group, n-propyl group, n-butyl group, i-butyl group, t-butyl group, Examples include trimethylsilyl group. Among these, methyl group, ethyl group, acetyl group, propanoyl group, n-butyryl group, isobutyryl group, benzoyl group, and trimethylsilyl group are preferred, and methyl group, acetyl group, and propanoyl group are particularly preferred.

12は、水素原子、アルキル基、カルボキシル基、アシル基を表し、複数あるR12は同一であっても異なってもよい。中でも、R12は水素原子又は炭素数1以上3以下のアルキル基、カルボキシル基、-COCH3であることが好ましい。 R 12 represents a hydrogen atom, an alkyl group, a carboxyl group, or an acyl group, and multiple R 12s may be the same or different. Among these, R 12 is preferably a hydrogen atom, an alkyl group having 1 to 3 carbon atoms, a carboxyl group, or -COCH 3 .

R’の好ましい構造は-H、-OH、-OAc、-OCOC25、-OCOC65、-NH2、-NHCOOH、-NHCOCH3であり、R’のさらに好ましい構造は-H、-OH、-OAc、-OCOC25、-NH2であり、R’の特に好ましい構造は-OH、-OAc、-OCOC25である。 Preferred structures of R' are -H, -OH, -OAc, -OCOC 2 H 5 , -OCOC 6 H 5 , -NH 2 , -NHCOOH, -NHCOCH 3 , and more preferred structures of R' are -H, --OH, --OAc, --OCOC 2 H 5 , and --NH 2 , and particularly preferred structures of R' are --OH, --OAc, and --OCOC 2 H 5 .

一般式(1)中、R”は水素原子、-OR11、カルボキシル基、-COOR13又は-CH2OR13を表す。R13は水素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表す。R13がアルキル基又はアシル基である場合、その炭素数は、目的に応じて適宜選択することができる。例えば、炭素数は1以上であることが好ましく、200以下であることが好ましく、100以下であることがより好ましく、20以下であることがさらに好ましく、4以下であることが特に好ましい。中でも、R13は水素原子又は炭素数1以上3以下のアルキル基アシル基又はトリメチルシリル基であることが好ましい。 In the general formula (1), R" represents a hydrogen atom, -OR 11 , a carboxyl group, -COOR 13 or -CH 2 OR 13. R 13 is a hydrogen atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, or Represents a phosphoryl group. When R 13 is an alkyl group or an acyl group, the number of carbon atoms can be appropriately selected depending on the purpose. For example, the number of carbon atoms is preferably 1 or more and 200 or less. The number is preferably 100 or less, more preferably 20 or less, and particularly preferably 4 or less. Among these, R 13 is a hydrogen atom or an alkyl group having 1 to 3 carbon atoms and an acyl group. or a trimethylsilyl group.

11の具体例としては、例えば、アセチル基、プロパノイル基、ブチリル基、イソブチリル基、バレリル基、イソバレリル基、ピバロイル基、ヘキサノイル基、オクタノイル基、クロロアセチル基、トリフルオロアセチル基、シクロペンタンカルボニル基、シクロヘキサンカルボニル基、ベンゾイル基、メトキシベンゾイル基、クロロベンゾイル基等のアシル基;メチル基、エチル基、n-プロピル基、n-ブチル基、i-ブチル基、t-ブチル基等のアルキル基、トリメチルシリル基 等が挙げられる。これらの中でも、メチル基、エチル基、アセチル基、プロパノイル基、n-ブチリル基、イソブチリル基、ベンゾイル基、トリメチルシリル基が好ましく、アセチル基、プロパノイル基が特に好ましい。 Specific examples of R 11 include acetyl group, propanoyl group, butyryl group, isobutyryl group, valeryl group, isovaleryl group, pivaloyl group, hexanoyl group, octanoyl group, chloroacetyl group, trifluoroacetyl group, and cyclopentanecarbonyl group. , acyl groups such as cyclohexanecarbonyl group, benzoyl group, methoxybenzoyl group, chlorobenzoyl group; alkyl groups such as methyl group, ethyl group, n-propyl group, n-butyl group, i-butyl group, t-butyl group, Examples include trimethylsilyl group. Among these, methyl group, ethyl group, acetyl group, propanoyl group, n-butyryl group, isobutyryl group, benzoyl group, and trimethylsilyl group are preferred, and acetyl group and propanoyl group are particularly preferred.

R”の好ましい構造は-H、-OAc、-OCOC25、-COOH、-COOCH3、-COOC25、-CH2OH、-CH2OAc、-CH2OCOC25であり、R”のさらに好ましい構造は-H、-OAc、-OCOC25、-COOH、-CH2OH、-CH2OAc、-CH2OCOC25であり、R”の特に好ましい構造は-H、-CH2OH、-CH2OAcである。 Preferred structures of R'' are -H, -OAc, -OCOC 2 H 5 , -COOH, -COOCH 3 , -COOC 2 H 5 , -CH 2 OH, -CH 2 OAc, -CH 2 OCOC 2 H 5 , R'' has a more preferable structure -H, -OAc, -OCOC 2 H 5 , -COOH, -CH 2 OH, -CH 2 OAc, -CH 2 OCOC 2 H 5 , and a particularly preferable structure of R'' is -H, -CH 2 OH, -CH 2 OAc.

一般式(1)中、R5は水素原子又はアルキル基を表す。中でも、R5は水素原子又は炭素数が1以上3以下のアルキル基であることが好ましく、水素原子又はメチル基であることが特に好ましい。 In general formula (1), R 5 represents a hydrogen atom or an alkyl group. Among these, R 5 is preferably a hydrogen atom or an alkyl group having 1 or more and 3 or less carbon atoms, and particularly preferably a hydrogen atom or a methyl group.

一般式(1)中、X1及びY1は、同一又は異なって、単結合又は連結基を表す。
1が連結基である場合、X1としては、アルキレン基、-O-、-NH2-、カルボニル基等を含む基が挙げられるが、X1は単結合であるか、もしくは炭素数が1以上6以下のアルキレン基であることが好ましく、炭素数が1以上3以下のアルキレン基であることがより好ましい。
1が連結基である場合、Y1としては、アルキレン基、フェニレン基、-O-、-C(=O)O-等を含む基が挙げられる。Y1はこれらの基を組み合わせた連結基であってもよい。中でもY1は下記構造式で表される連結基であることが好ましい。
In general formula (1), X 1 and Y 1 are the same or different and represent a single bond or a connecting group.
When X 1 is a linking group, examples of X 1 include groups containing an alkylene group, -O-, -NH 2 -, carbonyl group, etc., but X 1 is a single bond or has a carbon number of It is preferably an alkylene group having 1 or more and 6 or less carbon atoms, and more preferably an alkylene group having 1 or more and 3 or less carbon atoms.
When Y 1 is a linking group, examples of Y 1 include groups containing an alkylene group, a phenylene group, -O-, -C(=O)O-, and the like. Y 1 may be a linking group that is a combination of these groups. Among these, Y 1 is preferably a linking group represented by the following structural formula.

上記構造式中、※印は主鎖側との結合部位を表し、*印は、側鎖の糖単位との結合部位を表す。 In the above structural formula, the * mark represents the bonding site with the main chain side, and the * mark represents the bonding site with the sugar unit of the side chain.

以下、一般式(2)で表される構造について説明する。 The structure represented by general formula (2) will be explained below.

一般式(2)中、R201は、水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表し、複数あるR201は同一であっても異なっていてもよい。
R’は水素原子、-OR11又は-NR12 2を表す。
R”は水素原子、-OR11、-COOR13又は-CH2OR13を表す。ここで、R11は、水素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表し、R12は、水素原子、アルキル基、カルボキシル基又はアシル基を表し、複数あるR12は同一であっても異なってもよく、R13は、水素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表す。
*印はR201に代わってR201が結合している酸素原子のいずれか1つとの結合部位を表す。
In general formula (2), R 201 represents a hydrogen atom, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, or a phosphoryl group, and multiple R 201s are the same. It may be different or different.
R' represents a hydrogen atom, -OR 11 or -NR 12 2 .
R" represents a hydrogen atom, -OR 11 , -COOR 13 or -CH 2 OR 13. Here, R 11 represents a hydrogen atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, or a phosphoryl group, and R 12 represents a hydrogen atom, an alkyl group, a carboxyl group, or an acyl group; multiple R 12s may be the same or different; R 13 represents a hydrogen atom, an alkyl group, an acyl group, an aryl group, or a trimethylsilyl group. Or represents a phosphoryl group.
The mark * represents a bonding site with any one of the oxygen atoms to which R 201 is bonded in place of R 201 .

一般式(2)中、R201、R’、R”の好ましい範囲は、一般式(1)中のR1、R’、R”の好ましい範囲と同様である。 In the general formula (2), the preferred ranges of R 201 , R', and R'' are the same as the preferred ranges of R 1 , R', and R'' in the general formula (1).

なお、重合後のポリマーからR1、R’、R”を還元により水素原子に戻し、R1、R11を水素とすることができる。但し、R1及びR11はすべて還元されなくてもよい。 Note that R 1 , R', and R'' from the polymerized polymer can be returned to hydrogen atoms by reduction, and R 1 and R 11 can be converted to hydrogen. However, even if R 1 and R 11 are not all reduced, good.

(単位(b))
単位(b)は、光反射防止機能を有する化合物に由来する単位である。本発明において、光反射防止機能を有する化合物は、芳香族環含有化合物のような紫外線を強く吸収する性質をもつ化合物であることが好ましい。
(Unit (b))
The unit (b) is a unit derived from a compound having an antireflection function. In the present invention, the compound having an antireflection function is preferably a compound having a property of strongly absorbing ultraviolet rays, such as an aromatic ring-containing compound.

光反射防止機能を有する化合物が芳香族環含有化合物である場合、単位(b)は、単位(a)と比較して疎水性を示す単位となるため、下層膜形成用組成物の材料であるコポリマーの有機溶剤への溶解度を高める働きをする。すなわち、下層膜形成用組成物中においてはコポリマーの溶け残りが抑制されている。また、単位(b)が芳香族環含有化合物に由来する単位であることは、架橋性に不要な影響を与えずに下層膜を形成した場合に下層膜残存率を高くしやすい観点からも好ましい。このように、光反射防止機能を有する化合物が芳香族環含有化合物である場合、下層膜形成用組成物に含まれるコポリマーは、膜形成前は有機溶剤に対する溶解度が高いが、膜形成後は有機溶剤に溶解しにくいという特性を発揮することができる。 When the compound having an antireflection function is an aromatic ring-containing compound, the unit (b) is a unit that exhibits hydrophobicity compared to the unit (a), and is therefore a material for the composition for forming the lower layer film. It functions to increase the solubility of the copolymer in organic solvents. That is, undissolved copolymer remains in the composition for forming the lower layer film is suppressed. Furthermore, it is preferable that the unit (b) is a unit derived from an aromatic ring-containing compound from the viewpoint of easily increasing the residual rate of the underlayer film when forming the underlayer film without unnecessarily affecting the crosslinkability. . In this way, when the compound having an antireflection function is an aromatic ring-containing compound, the copolymer contained in the composition for forming the lower layer film has high solubility in organic solvents before film formation, but after film formation, It can exhibit the property of being difficult to dissolve in solvents.

中でも、単位(b)は、ベンゼン環含有化合物に由来する単位であることが好ましい。 Among these, the unit (b) is preferably a unit derived from a benzene ring-containing compound.

単位(b)は、例えば、下記一般式(3)で表される構造を有する単位であることが好ましい。 The unit (b) is preferably a unit having a structure represented by the following general formula (3), for example.

一般式(3)中、R5は水素原子又はアルキル基を表す。
1は単結合又は連結基を表す。
50は有機基又はヒドロキシル基を表す。
一般式(3)において、nは0~5の整数を表す。
In general formula (3), R 5 represents a hydrogen atom or an alkyl group.
X 1 represents a single bond or a connecting group.
R 50 represents an organic group or a hydroxyl group.
In general formula (3), n represents an integer of 0 to 5.

一般式(3)中、R5及びX1の好ましい範囲は、一般式(1)中のR5及びX1の好ましい範囲と同様である。 In the general formula (3), the preferred ranges of R 5 and X 1 are the same as the preferred ranges of R 5 and X 1 in the general formula (1).

一般式(3)において、R50が有機基である場合、R50は置換基を有してもよい炭化水素基であることが好ましく、置換基を有してもよいアルキル基であることが好ましい。置換基を有してもよい炭化水素基としては、炭化水素基を構成する炭素原子のいずれかが酸素原子、ケイ素原子、窒素原子、硫黄原子、ハロゲン等に置換したものも挙げることができる。例えば、R50はトリメチルシリル基、ペンタメチルジシリル基、トリフルオロメチル基、ペンタフルオロエチル基であってもよい。 In general formula (3), when R 50 is an organic group, R 50 is preferably a hydrocarbon group that may have a substituent, and preferably an alkyl group that may have a substituent. preferable. Examples of the hydrocarbon group which may have a substituent include those in which any of the carbon atoms constituting the hydrocarbon group is substituted with an oxygen atom, a silicon atom, a nitrogen atom, a sulfur atom, a halogen, or the like. For example, R 50 may be a trimethylsilyl group, a pentamethyldisilyl group, a trifluoromethyl group, or a pentafluoroethyl group.

一般式(3)において、nは0~5の整数を表し、0~3の整数であることが好ましく、0であることが特に好ましい。 In the general formula (3), n represents an integer of 0 to 5, preferably an integer of 0 to 3, and particularly preferably 0.

(単位(c))
単位(c)は、コポリマーをクロスカップリングし得る化合物に由来する単位である。本発明において、コポリマーをクロスカップリングし得る化合物に由来する単位は、(メタ)アクリレートに由来する単位であることが好ましい。
(Unit (c))
Unit (c) is a unit derived from a compound capable of cross-coupling the copolymer. In the present invention, the unit derived from the compound capable of cross-coupling the copolymer is preferably a unit derived from (meth)acrylate.

(メタ)アクリレートに由来する単位は、例えば、以下の一般式(4)で表される単位であることが好ましい。 The unit derived from (meth)acrylate is preferably a unit represented by the following general formula (4), for example.

一般式(4)中、R5は水素原子又はアルキル基を表し、R60は置換基を有してもよいアルキル基又は置換基を有してもよいアリール基を表す。 In general formula (4), R 5 represents a hydrogen atom or an alkyl group, and R 60 represents an alkyl group that may have a substituent or an aryl group that may have a substituent.

一般式(4)中、R5は水素原子又は炭素数が1以上3以下のアルキル基であることが好ましく、水素原子又はメチル基であることが特に好ましい。 In general formula (4), R 5 is preferably a hydrogen atom or an alkyl group having 1 or more and 3 or less carbon atoms, and particularly preferably a hydrogen atom or a methyl group.

単位(c)は、置換基を有してもよいアルキル基及び置換基を有してもよいアリール基から選択される少なくとも一種を有することが好ましい。すなわち、一般式(4)において、R60は置換基を有してもよいアルキル基又は置換基を有してもよいアリール基を表す。なお、R60は上記基を組み合わせた基であってもよい。 The unit (c) preferably has at least one type selected from an alkyl group that may have a substituent and an aryl group that may have a substituent. That is, in the general formula (4), R 60 represents an alkyl group which may have a substituent or an aryl group which may have a substituent. Note that R 60 may be a combination of the above groups.

中でも、単位(c)は、置換基を有してもよいアルキル基を含有することが好ましい。すなわち、R60は置換基を有してもよいアルキル基であることが好ましい。アルキル基の炭素数は1以上8以下であることが好ましく、1以上5以下であることがより好ましく、1以上3以下であることがさらに好ましい。なお、R60は置換基を有さないアルキル基であることも好ましく、上記炭素数は置換基を除く炭素数である。 Among these, it is preferable that the unit (c) contains an alkyl group which may have a substituent. That is, R 60 is preferably an alkyl group which may have a substituent. The number of carbon atoms in the alkyl group is preferably 1 or more and 8 or less, more preferably 1 or more and 5 or less, and even more preferably 1 or more and 3 or less. Note that R 60 is also preferably an alkyl group having no substituent, and the above carbon number is the number of carbon atoms excluding the substituent.

置換基を有するアルキル基が有し得る置換基としては、例えばイソシアネート基、エポキシ基、(メタ)アクリロイル基、ヒドロキシメチルアミノ基、アルコキシメチルアミノ基等を挙げることができる。このような置換基はクロスカップリングする基であってもよく、加熱によりコポリマー単独での自己縮合や触媒等の存在下により架橋反応することでクロスカップリング構造を形成するものであってもよい。例えば、置換基としてエポキシ基を有するアルキル基においては、酸触媒存在下においてエポキシ基の開環反応が生じ、これにより架橋反応が引き起こされる。この場合、上述した単位(c)は架橋反応により、形成された下層膜を強固にすることができる。 Examples of the substituent that the alkyl group having a substituent may include are an isocyanate group, an epoxy group, a (meth)acryloyl group, a hydroxymethylamino group, and an alkoxymethylamino group. Such a substituent may be a group that performs cross-coupling, or may form a cross-coupling structure by self-condensation in the copolymer alone by heating or cross-linking reaction in the presence of a catalyst, etc. . For example, in an alkyl group having an epoxy group as a substituent, a ring-opening reaction of the epoxy group occurs in the presence of an acid catalyst, thereby causing a crosslinking reaction. In this case, the above-mentioned unit (c) can strengthen the formed lower layer film through a crosslinking reaction.

置換基を有するアルキル基としては、例えば、-CH2-OH、-CH2-O-メチル、-CH2-O-エチル、-CH2-O-n-プロピル、-CH2-O-イソプロピル、-CH2-O-n-ブチル、-CH2-O-イソブチル、-CH2-O-t-ブチル、-CH2-O-(C=O)-メチル、-CH2-O-(C=O)-エチル、-CH2-O-(C=O)-プロピル、-CH2-O-(C=O)-イソプロピル、-CH2-O-(C=O)-n-ブチル、-CH2-O-(C=O)-イソブチル、-CH2-O-(C=O)-t-ブチル、-CH2-エチレンオキシド、-C24-OH、-C24-O-メチル、-C24-O-エチル、-C24-O-n-プロピル、-C24-O-イソプロピル、-C24-O―n-ブチル、-C24-O-イソブチル、-C24-O-t-ブチル、-C24-O-(C=O)-メチル、-C24-O-(C=O)-エチル、-C24-O-(C=O)-n-プロピル、-C24-O-(C=O)-イソプロピル、-C24-O-(C=O)-n-ブチル、-C24-O-(C=O)-イソブチル、-C24-O-(C=O)-t-ブチル、-C24-O-(C=O)-CH2-(C=O)-メチル、-C24-エチレンオキシド、-C36-エチレンオキシド、-C24-O-エチレンオキシド、-C36-O-エチレンオキシド、―C48-O-エチレンオキシド、―C510-O-エチレンオキシド、―CH2-CH=CH2、―CH2-O-CH=CH2等を挙げることができる。また、置換基を有するアルキル基はシクロアルキル基であってもよく、橋かけ環式シクロアルキル基であってもよい。 Examples of the alkyl group having a substituent include -CH 2 -OH, -CH 2 -O-methyl, -CH 2 -O-ethyl, -CH 2 -O-n-propyl, -CH 2 -O-isopropyl , -CH 2 -O-n-butyl, -CH 2 -O-isobutyl, -CH 2 -O-t-butyl, -CH 2 -O-(C=O)-methyl, -CH 2 -O-( C=O)-ethyl, -CH 2 -O-(C=O)-propyl, -CH 2 -O-(C=O)-isopropyl, -CH 2 -O-(C=O)-n-butyl , -CH 2 -O-(C=O)-isobutyl, -CH 2 -O-(C=O)-t-butyl, -CH 2 -ethylene oxide, -C 2 H 4 -OH, -C 2 H 4 -O-methyl, -C 2 H 4 -O-ethyl, -C 2 H 4 -O-n-propyl, -C 2 H 4 -O-isopropyl, -C 2 H 4 -O-n-butyl, - C 2 H 4 -O-isobutyl, -C 2 H 4 -O-t-butyl, -C 2 H 4 -O-(C=O)-methyl, -C 2 H 4 -O-(C=O) -Ethyl, -C 2 H 4 -O-(C=O)-n-propyl, -C 2 H 4 -O-(C=O)-isopropyl, -C 2 H 4 -O-(C=O) -n-butyl, -C 2 H 4 -O-(C=O)-isobutyl, -C 2 H 4 -O-(C=O)-t-butyl, -C 2 H 4 -O-(C= O) -CH 2 -(C=O)-methyl, -C 2 H 4 -ethylene oxide, -C 3 H 6 -ethylene oxide, -C 2 H 4 -O-ethylene oxide, -C 3 H 6 -O-ethylene oxide, --C 4 H 8 --O-ethylene oxide, --C 5 H 10 --O-ethylene oxide, --CH 2 --CH=CH 2 , --CH 2 --O-CH=CH 2 and the like can be mentioned. Further, the alkyl group having a substituent may be a cycloalkyl group or a bridged cyclic cycloalkyl group.

(単位(d))
単位(d)は、下層膜へのガス透過を促進する官能基を有する単位である。
下層膜へのガス透過を促進する官能基としては、シクロアルキル基、長鎖アルキル基等のように嵩高く、膜密度を低くするような機能を有するものが挙げられる。下層膜へのガス透過を促進する官能基の例としては、C3~C12シクロアルキル基、C10以上の脂肪族アルキル基(好ましくはC10~C25の脂肪族アルキル基)、アルコキシポリアルコキシカルボニル基(好ましくはC1~C25アルコキシ-ポリC1~C25アルコキシカルボニル基等)が挙げられる。本発明においてはC10以上の脂肪族アルコール(例えば、C10~C25の脂肪族アルコール)又はC10以上のアルコキシポリアルキレングリコール(例えば、C1~C20アルコキシ-ポリオキシC1~C20アルキレングリコール(ポリオキシC1~C20アルキレンにおけるオキシC1~C20アルキレンの繰り返し回数は限定されないが、例えば、1~20回が挙げられ、1~5回が好ましい)等)と(メタ)アクリル酸とのエステルに由来する単位であるであることが好ましい。これにより下層膜形成後、直鎖状の嵩高い官能基が多くの空隙を形成することで、膜密度が低下しガス透過を促進することができる。また、酸素原子を有する官能基を用いて極性をコントロールすることで、下層膜と接触する膜との密着性をより強固とすることが可能となる。
(Unit (d))
The unit (d) is a unit having a functional group that promotes gas permeation to the underlying film.
Examples of the functional group that promotes gas permeation to the lower membrane include those that are bulky and have the function of lowering membrane density, such as cycloalkyl groups and long-chain alkyl groups. Examples of functional groups that promote gas permeation to the lower membrane include C3 to C12 cycloalkyl groups, C10 or higher aliphatic alkyl groups (preferably C10 to C25 aliphatic alkyl groups), and alkoxypolyalkoxycarbonyl groups (preferably C10 to C25 aliphatic alkyl groups). (C1-C25 alkoxy-polyC1-C25 alkoxycarbonyl group, etc.). In the present invention, C10 or more aliphatic alcohol (for example, C10 to C25 aliphatic alcohol) or C10 or more alkoxy polyalkylene glycol (for example, C1 to C20 alkoxy-polyoxy C1 to C20 alkylene glycol (polyoxy C1 to C20 alkylene) The number of repetitions of oxyC1-C20 alkylene is not limited, but may be, for example, 1 to 20 times, preferably 1 to 5 times), etc.) and (meth)acrylic acid. is preferred. As a result, after the lower layer film is formed, the linear bulky functional groups form many voids, thereby reducing the film density and promoting gas permeation. Furthermore, by controlling the polarity using a functional group having an oxygen atom, it is possible to further strengthen the adhesion between the lower layer film and the film in contact with it.

本発明によれば、単位(a)、単位(b)及び単位(c)に加えて、単位(d)を組み合わせることにより、下層膜中に金属ガスをより拡散させることができる。それにより、金属導入量を高め、エッチング加工性を向上することができる。 According to the present invention, by combining the unit (d) in addition to the unit (a), the unit (b), and the unit (c), the metal gas can be further diffused into the lower layer film. Thereby, the amount of metal introduced can be increased and etching processability can be improved.

(含有率)
コポリマーにおける単位(a)の含有率(糖誘導体単位の含有率)は、コポリマーの全質量に対して、1質量%以上95質量%以下であることが好ましく、5質量%以上90質量%以下であることがより好ましく、10質量%以上85質量%以下であることがさらに好ましく、20質量%以上80質量%以下であることが特に好ましい
(Content rate)
The content of unit (a) in the copolymer (content of sugar derivative units) is preferably 1% by mass or more and 95% by mass or less, and 5% by mass or more and 90% by mass or less, based on the total mass of the copolymer. It is more preferably at least 10% by mass and at most 85% by mass, particularly preferably at least 20% by mass and at most 80% by mass.

ここで、コポリマーにおける糖誘導体単位の含有率は、例えば1H-NMRとコポリマーの重量平均分子量から求めることができる。具体的には、下記式を用いて算出することができる。
単位(a)の含有率(質量%)=単位(a)の質量×単位(a)の数(モノマー数)/コポリマーの重量平均分子量
Here, the content of sugar derivative units in the copolymer can be determined, for example, from 1 H-NMR and the weight average molecular weight of the copolymer. Specifically, it can be calculated using the following formula.
Content of unit (a) (mass%) = mass of unit (a) x number of units (a) (number of monomers) / weight average molecular weight of copolymer

また、コポリマーにおける単位(b)の含有率は、コポリマーの全質量に対して、1質量%以上95質量%以下であることが好ましく、5質量%以上90質量%以下であることがより好ましく、10質量%以上85質量%以下であることがさらに好ましい。コポリマーにおける単位(c)の含有率は、コポリマーの全質量に対して、1質量%以上95質量%以下であることが好ましく、5質量%以上90質量%以下であることがより好ましく、10質量%以上85質量%以下であることがさらに好ましい。コポリマーにおける単位(d)の含有率は、コポリマーの全質量に対して、1質量%以上95質量%以下であることが好ましく、5質量%以上20質量%以下であることがより好ましく、7質量%以上15質量%以下であることがさらに好ましい。
なお、各単位の含有率も単位(a)の含有率の算出と同様の方法で算出することができる。
Further, the content of the unit (b) in the copolymer is preferably 1% by mass or more and 95% by mass or less, more preferably 5% by mass or more and 90% by mass or less, based on the total mass of the copolymer. It is more preferably 10% by mass or more and 85% by mass or less. The content of the unit (c) in the copolymer is preferably 1% by mass or more and 95% by mass or less, more preferably 5% by mass or more and 90% by mass or less, and 10% by mass or less, based on the total mass of the copolymer. % or more and 85% by mass or less is more preferable. The content of the unit (d) in the copolymer is preferably 1% by mass or more and 95% by mass or less, more preferably 5% by mass or more and 20% by mass or less, and 7% by mass or less, based on the total mass of the copolymer. % or more and 15% by mass or less is more preferable.
In addition, the content rate of each unit can also be calculated by the same method as the calculation of the content rate of unit (a).

また、コポリマーにおける単位(a)の含有率と単位(b)の含有率との比(質量比)の下限は、前者100質量部に対し、10質量部以上が好ましく、12質量部以上がより好ましく、13質量部以上がさらに好ましい。コポリマーにおける単位(a)の含有率と単位(b)の含有率との比(質量比)の上限は、前者100質量部に対し、
22質量部以下が好ましく、20質量部以下がより好ましく、17質量部以下がさらに好ましい。
コポリマーにおける単位(a)の含有率と単位(c)の含有率との比(質量比)の下限は、前者100質量部に対し、10質量部以上が好ましく、12質量部以上がより好ましく、13質量部以上がさらに好ましい。コポリマーにおける単位(a)の含有率と単位(c)の含有率との比(質量比)の上限は、前者100質量部に対し、22質量部以下が好ましく、20質量部以下がより好ましく、17質量部以下がさらに好ましい。
コポリマーにおける単位(a)の含有率と単位(d)の含有率との比(質量比)の下限は、前者100質量部に対し、10質量部以上が好ましく、12質量部以上がより好ましく、13質量部以上がさらに好ましい。コポリマーにおける単位(d)の含有率と単位(d)の含有率との比(質量比)の上限は、前者100質量部に対し、22質量部以下が好ましく、20質量部以下がより好ましく、17質量部以下がさらに好ましい。
Furthermore, the lower limit of the ratio (mass ratio) between the content of units (a) and the content of units (b) in the copolymer is preferably 10 parts by mass or more, more preferably 12 parts by mass or more, based on 100 parts by mass of the former. It is preferably 13 parts by mass or more, and more preferably 13 parts by mass or more. The upper limit of the ratio (mass ratio) between the content of units (a) and the content of units (b) in the copolymer is 100 parts by mass of the former,
It is preferably 22 parts by mass or less, more preferably 20 parts by mass or less, and even more preferably 17 parts by mass or less.
The lower limit of the ratio (mass ratio) between the content of units (a) and the content of units (c) in the copolymer is preferably 10 parts by mass or more, more preferably 12 parts by mass or more, with respect to 100 parts by mass of the former, More preferably 13 parts by mass or more. The upper limit of the ratio (mass ratio) between the content of units (a) and the content of units (c) in the copolymer is preferably 22 parts by mass or less, more preferably 20 parts by mass or less, with respect to 100 parts by mass of the former. More preferably, it is 17 parts by mass or less.
The lower limit of the ratio (mass ratio) between the content of units (a) and the content of units (d) in the copolymer is preferably 10 parts by mass or more, more preferably 12 parts by mass or more, based on 100 parts by mass of the former. More preferably 13 parts by mass or more. The upper limit of the ratio (mass ratio) between the content of units (d) and the content of units (d) in the copolymer is preferably 22 parts by mass or less, more preferably 20 parts by mass or less, per 100 parts by mass of the former. More preferably, it is 17 parts by mass or less.

(その他の構成単位)
コポリマーは、上記構成単位以外に、その他の構成単位を有していてもよい。他の構成単位としては、例えば、乳酸由来単位、シロキサン結合含有単位、アミド結合含有単位、尿素結合含有単位等を挙げることができる。
(コポリマーの重量平均分子量)
コポリマーの重量平均分子量(Mw)は、500以上であることが好ましく、1000以上であることがより好ましく、1500以上であることがさらに好ましい。また、コポリマーの重量平均分子量(Mw)は、100万以下であることが好ましく、50万以下であることがより好ましく、30万以下であることがさらに好ましく、25万以下であることが一層好ましい。コポリマーの重量平均分子量(Mw)を上記範囲内とすることが、塗布後の下層膜残存性の観点から好ましい。なお、コポリマーの重量平均分子量(Mw)は、GPCによるポリスチレン換算で測定された値である。
(Other constituent units)
The copolymer may have other structural units in addition to the above structural units. Other structural units include, for example, lactic acid-derived units, siloxane bond-containing units, amide bond-containing units, urea bond-containing units, and the like.
(Weight average molecular weight of copolymer)
The weight average molecular weight (Mw) of the copolymer is preferably 500 or more, more preferably 1000 or more, and even more preferably 1500 or more. Further, the weight average molecular weight (Mw) of the copolymer is preferably 1 million or less, more preferably 500,000 or less, even more preferably 300,000 or less, and even more preferably 250,000 or less. . It is preferable that the weight average molecular weight (Mw) of the copolymer is within the above range from the viewpoint of the persistence of the underlayer film after coating. The weight average molecular weight (Mw) of the copolymer is a value measured in terms of polystyrene by GPC.

コポリマーの重量平均分子量(Mw)と数平均分子量(Mn)の比(Mw/Mn)は、1以上であることが好ましい。また、Mw/Mnは、52以下であることが好ましく、10以下であることがより好ましく、8以下であることがさらに好ましく、4以下であることが一層好ましく、3以下であることが特に好ましい。Mw/Mnを上記範囲内とすることが、塗布後の下層膜残存性の観点から好ましい。 The ratio of the weight average molecular weight (Mw) to the number average molecular weight (Mn) (Mw/Mn) of the copolymer is preferably 1 or more. Further, Mw/Mn is preferably 52 or less, more preferably 10 or less, even more preferably 8 or less, even more preferably 4 or less, and particularly preferably 3 or less. . It is preferable that Mw/Mn be within the above range from the viewpoint of the persistence of the underlayer film after coating.

なお、尚、本発明における重量平均分子量及び数平均分子量については、下記条件のゲルパーミュレーションクロマトグラフィ(GPC)にて測定した値である。 Note that the weight average molecular weight and number average molecular weight in the present invention are values measured by gel permulation chromatography (GPC) under the following conditions.

[GPC測定条件]
測定装置:東ソー株式会社製「HLC-8220 GPC」
カラム:東ソー株式会社製ガードカラム「HHR-H」(6.0mmI.D.×4cm)
+東ソー株式会社製「TSK-GEL GMHHR-N」(7.8mmI.D.×30cm)
+東ソー株式会社製「TSK-GEL GMHHR-N」(7.8mmI.D.×30cm)
+東ソー株式会社製「TSK-GEL GMHHR-N」(7.8mmI.D.×30cm)
+東ソー株式会社製「TSK-GEL GMHHR-N」(7.8mmI.D.×30cm)
検出器:蒸発型光散乱検出器(オルテックジャパン株式会社製「ELSD2000」)
データ処理:東ソー株式会社製「GPC-8020モデルIIデータ解析バージョン4.30」
測定条件:カラム温度 40℃
展開溶媒 テトラヒドロフラン(THF)
流速 1.0ml/分
試料:固形分換算で1.0質量%のテトラヒドロフラン溶液をマイクロフィルターでろ過したもの(5μl)
標準試料:前記「GPC-8020モデルIIデータ解析バージョン4.30」の測定マニュアルに準拠して、分子量が既知の下記の単分散ポリスチレンを用いた。
[GPC measurement conditions]
Measuring device: “HLC-8220 GPC” manufactured by Tosoh Corporation
Column: Guard column “HHR-H” manufactured by Tosoh Corporation (6.0 mm I.D. x 4 cm)
+ “TSK-GEL GMHHR-N” manufactured by Tosoh Corporation (7.8mm I.D. x 30cm)
+ “TSK-GEL GMHHR-N” manufactured by Tosoh Corporation (7.8mm I.D. x 30cm)
+ “TSK-GEL GMHHR-N” manufactured by Tosoh Corporation (7.8mm I.D. x 30cm)
+ “TSK-GEL GMHHR-N” manufactured by Tosoh Corporation (7.8mm I.D. x 30cm)
Detector: Evaporative light scattering detector (“ELSD2000” manufactured by Alltec Japan Co., Ltd.)
Data processing: Tosoh Corporation "GPC-8020 Model II data analysis version 4.30"
Measurement conditions: Column temperature 40℃
Developing solvent Tetrahydrofuran (THF)
Flow rate 1.0 ml/min Sample: 1.0 mass% tetrahydrofuran solution in terms of solid content filtered through a microfilter (5 μl)
Standard sample: The following monodisperse polystyrene with a known molecular weight was used in accordance with the measurement manual of the above-mentioned "GPC-8020 Model II Data Analysis Version 4.30."

(単分散ポリスチレン)
東ソー株式会社製「A-500」
東ソー株式会社製「A-1000」
東ソー株式会社製「A-2500」
東ソー株式会社製「A-5000」
東ソー株式会社製「F-1」
東ソー株式会社製「F-2」
東ソー株式会社製「F-4」
東ソー株式会社製「F-10」
東ソー株式会社製「F-20」
東ソー株式会社製「F-40」
東ソー株式会社製「F-80」
東ソー株式会社製「F-128」
東ソー株式会社製「F-288」
東ソー株式会社製「F-550」
(Monodisperse polystyrene)
"A-500" manufactured by Tosoh Corporation
"A-1000" manufactured by Tosoh Corporation
"A-2500" manufactured by Tosoh Corporation
"A-5000" manufactured by Tosoh Corporation
"F-1" manufactured by Tosoh Corporation
"F-2" manufactured by Tosoh Corporation
"F-4" manufactured by Tosoh Corporation
"F-10" manufactured by Tosoh Corporation
"F-20" manufactured by Tosoh Corporation
"F-40" manufactured by Tosoh Corporation
"F-80" manufactured by Tosoh Corporation
"F-128" manufactured by Tosoh Corporation
"F-288" manufactured by Tosoh Corporation
"F-550" manufactured by Tosoh Corporation

(コポリマーの溶解度)
PGMEA、PGME、THF、酢酸ブチル、アニソール、シクロヘキサノン、乳酸エチル、N-メチルピロリドン、γ-ブチロラクトン及びDMFから選択される少なくとも1種へのコポリマーの溶解度は、1質量%以上であることが好ましく、2質量%以上であることがより好ましく、3質量%以上であることが特に好ましく、4質量%以上であることがより特に好ましい。上記有機溶剤へのコポリマーの溶解度の上限値は特に制限されるものではないが、例えば40質量%とすることができる。なお、上記溶解度は、PGMEA、PGME、THF、酢酸ブチル、アニソール、シクロヘキサノン、乳酸エチル、N-メチルピロリドン、γ-ブチロラクトン及びDMFから選択される少なくともいずれかへの溶解度であり、本発明で用いるコポリマーは上記いずれかの有機溶剤への溶解度が一定値以上であることが好ましい。
(Solubility of copolymer)
The solubility of the copolymer in at least one selected from PGMEA, PGME, THF, butyl acetate, anisole, cyclohexanone, ethyl lactate, N-methylpyrrolidone, γ-butyrolactone and DMF is preferably 1% by mass or more, It is more preferably 2% by mass or more, particularly preferably 3% by mass or more, and even more preferably 4% by mass or more. The upper limit of the solubility of the copolymer in the organic solvent is not particularly limited, but may be, for example, 40% by mass. The above solubility is the solubility in at least one selected from PGMEA, PGME, THF, butyl acetate, anisole, cyclohexanone, ethyl lactate, N-methylpyrrolidone, γ-butyrolactone, and DMF, and the copolymer used in the present invention It is preferable that the solubility in any of the above-mentioned organic solvents is at least a certain value.

コポリマーの溶解度の測定方法は、所定量のコポリマーにPGMEA、PGME、THF、酢酸ブチル、アニソール、シクロヘキサノン、乳酸エチル、N-メチルピロリドン、γ-ブチロラクトン又はDMFを徐々に加えながら撹拌し、溶解したときの添加した有機溶剤量を記録する。撹拌には、マグネチックスターラー等を使用してもよい。そして、下記式から溶解度を算出する:
溶解度(質量%)=コポリマーの質量/溶解したときの有機溶剤量×100
The solubility of a copolymer is measured by gradually adding PGMEA, PGME, THF, butyl acetate, anisole, cyclohexanone, ethyl lactate, N-methylpyrrolidone, γ-butyrolactone, or DMF to a predetermined amount of the copolymer and stirring to dissolve the copolymer. Record the amount of organic solvent added. A magnetic stirrer or the like may be used for stirring. Then, calculate the solubility using the following formula:
Solubility (mass%) = mass of copolymer/amount of organic solvent when dissolved x 100

PGMEA、PGME、THF、酢酸ブチル、アニソール、シクロヘキサノン、乳酸エチル、N-メチルピロリドン、γ-ブチロラクトン及びDMFの少なくともいずれか一種へのコポリマーの溶解度を上記範囲内とするためには、例えば、単位(b)を芳香族環含有化合物に由来する単位とすることが考えられる。また、溶解度を上記範囲内とするためには、糖誘導体に由来する単位の含有率を制御すること等が考えられる。具体的には、単位(b)を芳香族環含有化合物に由来する単位とした上で、コポリマーにおける糖誘導体に由来する単位(単位(a))の含有率を一定値以下とすることにより、有機溶剤への溶解度をより効果的に高めることができる。 In order to make the solubility of the copolymer in at least one of PGMEA, PGME, THF, butyl acetate, anisole, cyclohexanone, ethyl lactate, N-methylpyrrolidone, γ-butyrolactone, and DMF within the above range, for example, the unit ( It is conceivable that b) is a unit derived from an aromatic ring-containing compound. Furthermore, in order to keep the solubility within the above range, it is possible to control the content of units derived from sugar derivatives. Specifically, by making the unit (b) a unit derived from an aromatic ring-containing compound, and by controlling the content of units derived from a sugar derivative (unit (a)) in the copolymer to a certain value or less, Solubility in organic solvents can be more effectively increased.

<コポリマーの合成方法>
コポリマーの合成は、フリーラジカル重合、リビングラジカル重合、リビングアニオン重合、原子移動ラジカル重合等の公知の重合法を用いて行うことができる。例えばリビングフリーラジカル重合の場合、AIBN(α、α’-アゾビスイソブチロニトリル)等の重合開始剤を用い、単位(a)、単位(b)、単位(c)及び単位(d)のそれぞれを構成するモノマーと反応させることによってコポリマーを得ることができる。リビングアニオン重合の場合、塩化リチウムの存在下でブチルリチウムと単位(a)、単位(b)、単位(c)及び単位(d)のそれぞれを構成するモノマーを反応させることによってコポリマーを得ることができる。なお、本実施例においては、フリーラジカル重合、リビングアニオン重合及びリビングラジカル重合を用いて合成した例を示しているが、それに限ることはなく、上記各合成法や公知の合成法によって適宜合成することができる。
<Copolymer synthesis method>
The copolymer can be synthesized using known polymerization methods such as free radical polymerization, living radical polymerization, living anion polymerization, and atom transfer radical polymerization. For example, in the case of living free radical polymerization, a polymerization initiator such as AIBN (α, α'-azobisisobutyronitrile) is used to form units (a), (b), (c), and (d). A copolymer can be obtained by reacting each with its constituent monomers. In the case of living anionic polymerization, a copolymer can be obtained by reacting the monomers constituting each of the units (a), (b), (c) and (d) with butyllithium in the presence of lithium chloride. can. Although this example shows examples of synthesis using free radical polymerization, living anion polymerization, and living radical polymerization, the present invention is not limited to these, and synthesis may be performed as appropriate using each of the above synthesis methods or known synthesis methods. be able to.

コポリマーやその原料としては、市販品を用いてもよい。例えば、ポリマーソース社製のP9128D-SMMAran、P9128C-SMMAran、Poly(methyl methacrylate)、P9130C-SMMAran、P7040-SMMAran、P2405-SMMA等のホモポリマー、ランダムポリマーあるいはブロックコポリマーを挙げることができる。また、これらのポリマーを使用し、公知の合成方法にて適宜合成を行うこともできる。 Commercially available products may be used as the copolymer and its raw materials. Examples include homopolymers, random polymers, and block copolymers such as P9128D-SMMAran, P9128C-SMMAran, Poly (methyl methacrylate), P9130C-SMMAran, P7040-SMMAran, and P2405-SMMA manufactured by Polymer Source. Further, using these polymers, synthesis can be carried out as appropriate using known synthesis methods.

上述したような重合部aは、合成で得てもよいが、木本性植物、あるいは草本性植物由来のリグノセルロース等から抽出する工程を組み合わせて得てもよい。重合部aの糖誘導体部を得る場合に木本性植物、あるいは草本性植物由来のリグノセルロース等から抽出する方法を採用する場合は、特開2012-100546号公報等に記載の抽出方法を利用することができる。 The polymerization part a as described above may be obtained by synthesis, but it may also be obtained by a combination of extraction steps from lignocellulose derived from woody plants or herbaceous plants. When employing a method of extraction from lignocellulose derived from woody plants or herbaceous plants to obtain the sugar derivative portion of polymerization portion a, use the extraction method described in JP-A No. 2012-100546, etc. be able to.

キシランについては、例えば特開2012-180424号公報に開示されている方法で抽出することができる。
セルロースについては、例えば特開2014-148629号公報に開示されている方法で抽出することができる。
Xylan can be extracted, for example, by the method disclosed in JP-A-2012-180424.
Cellulose can be extracted, for example, by the method disclosed in JP-A No. 2014-148629.

重合部aは、上記抽出方法を用いた糖部のOH基をアセチル化やハロゲン化等して修飾して用いることが好ましい。例えばアセチル基を導入する場合、無水酢酸と反応させることによりアセチル化した糖誘導体部を得ることができる。 The polymerization part a is preferably used by modifying the OH group of the sugar part by acetylation, halogenation, etc. using the above extraction method. For example, when introducing an acetyl group, an acetylated sugar derivative moiety can be obtained by reacting with acetic anhydride.

重合部b及び重合部cは合成により形成してもよく、市販品を用いてもよい。重合部bや重合部cを重合する場合は、公知の合成方法を採用することができる。また、市販品を用いる場合は、例えば、Amino-terminated PS(Mw=12300Da、Mw/Mn=1.02、ポリマーソース社製)等を用いることができる。 The polymerization part b and the polymerization part c may be formed by synthesis, or commercially available products may be used. When polymerizing the polymerization part b and the polymerization part c, a known synthesis method can be employed. In addition, when using a commercially available product, for example, Amino-terminated PS (Mw=12300Da, Mw/Mn=1.02, manufactured by Polymer Source Co., Ltd.) can be used.

<有機溶剤>
本発明の下層膜形成用組成物は、有機溶剤を含む。但し、下層膜形成用組成物は、有機溶剤に加えて、さらに水や各種水溶液等の水系溶媒を含んでいてもよい。有機溶剤としては、例えば、アルコール系溶媒、エーテル系溶媒、ケトン系溶媒、含硫黄系溶媒、アミド系溶媒、エステル系溶媒、炭化水素系溶媒等が挙げられる。これらの溶媒は、単独で又は2種以上を組み合わせて用いてもよい。
<Organic solvent>
The composition for forming a lower layer film of the present invention contains an organic solvent. However, the composition for forming the lower layer film may further contain an aqueous solvent such as water or various aqueous solutions in addition to the organic solvent. Examples of the organic solvent include alcohol solvents, ether solvents, ketone solvents, sulfur-containing solvents, amide solvents, ester solvents, and hydrocarbon solvents. These solvents may be used alone or in combination of two or more.

アルコール系溶媒としては、例えば、メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、tert-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、tert-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フルフリルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、ジアセトンアルコール等;エチレングリコール、1,2-プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、1H,1H-トリフルオロエタノール、1H,1H-ペンタフルオロプロパノール、6-(パーフルオロエチル)ヘキサノール等;を挙げることができる。 Examples of alcoholic solvents include methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, tert-butanol, n-pentanol, i-pentanol, and 2-methylbutanol. , sec-pentanol, tert-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethyl Hexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol, n-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol, sec-heptadecyl alcohol, furfuryl alcohol , phenol, cyclohexanol, methylcyclohexanol, 3,3,5-trimethylcyclohexanol, benzyl alcohol, diacetone alcohol, etc.; ethylene glycol, 1,2-propylene glycol, 1,3-butylene glycol, 2,4-pentane Diol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, 2,4-heptanediol, 2-ethyl-1,3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene Examples include glycol, 1H,1H-trifluoroethanol, 1H,1H-pentafluoropropanol, 6-(perfluoroethyl)hexanol, and the like.

また、多価アルコール部分エーテル系溶媒として、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノヘキシルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールエチルメチルエーテル、プロピレングリコールモノメチルエーテル(PGME)、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル等が挙げられる。 In addition, as polyhydric alcohol partial ether solvents, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monohexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2 - Ethyl butyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monobutyl ether, diethylene glycol monohexyl ether, diethylene glycol dimethyl ether, diethylene glycol ethyl methyl ether, propylene glycol monomethyl ether (PGME), propylene glycol monoethyl ether, propylene Examples include glycol monopropyl ether, propylene glycol monobutyl ether, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, and the like.

エーテル系溶媒としては、例えば、ジエチルエーテル、ジプロピルエーテル、ジブチルエーテル、ジフェニルエーテル、テトラヒドロフラン(THF)等が挙げられる。 Examples of the ether solvent include diethyl ether, dipropyl ether, dibutyl ether, diphenyl ether, and tetrahydrofuran (THF).

ケトン系溶媒としては、例えば、アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロペンタノン、シクロヘキサノン、シクロヘプタノン、シクロオクタノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、アセトフェノン、フルフラール等が挙げられる。 Examples of ketone solvents include acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-i-butyl ketone, methyl-n-pentyl ketone, ethyl-n-butyl ketone, and methyl-n-butyl ketone. -hexylketone, di-i-butylketone, trimethylnonanone, cyclopentanone, cyclohexanone, cycloheptanone, cyclooctanone, methylcyclohexanone, 2,4-pentanedione, acetonyl acetone, acetophenone, furfural and the like.

含硫黄系溶媒としては、例えばジメチルスルホキシド等が挙げられる。 Examples of the sulfur-containing solvent include dimethyl sulfoxide.

アミド系溶媒としては、例えば、N,N’-ジメチルイミダゾリジノン、N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチルピロリドン等が挙げられる。 Examples of amide solvents include N,N'-dimethylimidazolidinone, N-methylformamide, N,N-dimethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, and N,N-dimethylacetamide. , N-methylpropionamide, N-methylpyrrolidone and the like.

エステル系溶媒としては、例えば、ジエチルカーボネート、プロピレンカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル(PGMEA)、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、3-メトキシプロピオン酸メチル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等が挙げられる。 Examples of ester solvents include diethyl carbonate, propylene carbonate, methyl acetate, ethyl acetate, γ-butyrolactone, γ-valerolactone, n-propyl acetate, i-propyl acetate, n-butyl acetate, i-butyl acetate, and acetic acid. sec-butyl, n-pentyl acetate, sec-pentyl acetate, 3-methoxybutyl acetate, methylpentyl acetate, 2-ethylbutyl acetate, 2-ethylhexyl acetate, benzyl acetate, cyclohexyl acetate, methylcyclohexyl acetate, n-nonyl acetate, acetate Methyl acetate, ethyl acetoacetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl acetate, diethylene glycol monomethyl acetate, diethylene glycol monoethyl acetate, diethylene glycol mono-n-butyl acetate, propylene glycol monomethyl acetate (PGMEA), propylene acetate Glycol monoethyl ether, propylene glycol monopropyl acetate, propylene glycol monobutyl ether, dipropylene glycol monomethyl acetate, dipropylene glycol monoethyl acetate, glycol diacetate, methoxytriglycol acetate, ethyl propionate, n-propionate Butyl, i-amyl propionate, methyl 3-methoxypropionate, diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, n-amyl lactate, diethyl malonate, dimethyl phthalate , diethyl phthalate, and the like.

炭化水素系溶媒としては、例えば、脂肪族炭化水素系溶媒として、n-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等;芳香族炭化水素系溶媒として、ベンゼン、トルエン、キシレン、メシチレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンゼン、i-プロピルベンゼン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンゼン、n-アミルナフタレン、アニソール等が挙げられる。 Examples of hydrocarbon solvents include aliphatic hydrocarbon solvents such as n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2,2,4-trimethylpentane, n-octane, i-octane, cyclohexane, methylcyclohexane, etc.; aromatic hydrocarbon solvents such as benzene, toluene, xylene, mesitylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i-propylbenzene, diethylbenzene, Examples include i-butylbenzene, triethylbenzene, di-i-propylbenzene, n-amylnaphthalene, and anisole.

これらの中でも、酢酸プロピレングリコールモノメチルエーテル(PGMEA)、N,N-ジメチルホルムアミド(DMF)、プロピレングリコールモノメチルエーテル(PGME)、アニソール、エタノール、メタノール、アセトン、メチルエチルケトン、ヘキサン、テトラヒドロフラン(THF)、ジメチルスルホキシド(DMSO)、1H,1H-トリフルオロエタノール、1H,1H-ペンタフルオロプロパノール、6-(パーフルオロエチル)ヘキサノール、酢酸エチル、酢酸プロピル、酢酸ブチル、シクロヘキサノン、フルフラール、N-メチルピロリドン、γ―ブチロラクトンがより好ましく、PGMEA、PGME、THF、酢酸ブチル、アニソール、シクロヘキサノン、N-メチルピロリドン、γ―ブチロラクトン又はDMFがさらに好ましく、PGMEAがよりさらに好ましい。これらの溶媒は、単独で又は2種以上を組み合わせて用いてもよい。 Among these, propylene glycol monomethyl ether acetate (PGMEA), N,N-dimethylformamide (DMF), propylene glycol monomethyl ether (PGME), anisole, ethanol, methanol, acetone, methyl ethyl ketone, hexane, tetrahydrofuran (THF), dimethyl sulfoxide. (DMSO), 1H,1H-trifluoroethanol, 1H,1H-pentafluoropropanol, 6-(perfluoroethyl)hexanol, ethyl acetate, propyl acetate, butyl acetate, cyclohexanone, furfural, N-methylpyrrolidone, γ-butyrolactone is more preferred, PGMEA, PGME, THF, butyl acetate, anisole, cyclohexanone, N-methylpyrrolidone, γ-butyrolactone or DMF is even more preferred, and PGMEA is even more preferred. These solvents may be used alone or in combination of two or more.

有機溶剤の含有量は、下層膜形成用組成物の全質量に対して、10質量%以上であることが好ましく、20質量%以上であることがより好ましく、30質量%以上であることがさらに好ましい。また、有機溶剤の含有量は、99.9質量%以下であることが好ましく、99質量%以下であることがより好ましい。有機溶剤の含有量を上記範囲内とすることにより、下層膜形成用組成物の塗布性を向上させることができる。 The content of the organic solvent is preferably 10% by mass or more, more preferably 20% by mass or more, and further preferably 30% by mass or more, based on the total mass of the composition for forming the lower layer film. preferable. Further, the content of the organic solvent is preferably 99.9% by mass or less, more preferably 99% by mass or less. By controlling the content of the organic solvent within the above range, the coating properties of the composition for forming the lower layer film can be improved.

<任意成分>
本発明の下層膜形成用組成物は、後述するような任意成分を含むものであってもよい。
<Optional ingredients>
The composition for forming a lower layer film of the present invention may contain optional components as described below.

<<架橋性化合物>>
本発明の下層膜形成用組成物はさらに架橋性化合物を含んでもよい。この架橋反応により、形成された下層膜は強固になり、エッチング加工性をより効果的に高めることができる。
<<Crosslinkable compound>>
The composition for forming a lower layer film of the present invention may further contain a crosslinkable compound. Due to this crosslinking reaction, the formed lower layer film becomes strong, and etching processability can be improved more effectively.

架橋性化合物としては、特に制限はないが、少なくとも2個の架橋形成置換基を有する架橋性化合物が好ましく用いられる。イソシアネート基、エポキシ基、(メタ)アクリロイル基、ヒドロキシメチルアミノ基、及びアルコキシメチルアミノ基から選択される少なくとも1種の架橋形成置換基を2つ以上、例えば2~6個有する化合物を架橋性化合物として使用することができる。 The crosslinking compound is not particularly limited, but a crosslinking compound having at least two crosslinking substituents is preferably used. A crosslinking compound is a compound having two or more, for example 2 to 6, at least one crosslinking substituent selected from isocyanate groups, epoxy groups, (meth)acryloyl groups, hydroxymethylamino groups, and alkoxymethylamino groups. It can be used as

架橋性化合物としては、例えば、ヒドロキシメチル基、アルコキシメチル基、エポキシ基又は(メタ)アクリロイル基で置換された含窒素化合物であって、窒素原子を2つ以上、例えば2~6個有する含窒素化合物が挙げられる。中でも架橋性化合物は、ヒドロキシメチル基、メトキシメチル基、エトキシメチル基、ブトキシメチル基又はヘキシルオキシメチル基等の基で置換された窒素原子を有する含窒素化合物であることが好ましい。具体的には、ヘキサメトキシメチルメラミン、テトラメトキシメチルベンゾグアナミン、1,3,4,6-テトラキス(ブトキシメチル)グリコールウリル、1,3,4,6-テトラキス(ヒドロキシメチル)グリコールウリル、1,3-ビス(ヒドロキシメチル)尿素、1,1,3,3-テトラキス(ブトキシメチル)尿素、1,1,3,3-テトラキス(メトキシメチル)尿素、1,3-ビス(ヒドロキシメチル)-4,5-ジヒドロキシ-2-イミダゾリノン、及び1,3-ビス(メトキシメチル)-4,5-ジメトキシ-2-イミダゾリノン、ジシクロヘキシルカルボジイミド、ジイソプロピルカルボジイミド、ジ-tert-ブチルカルボジイミド、ピペラジン等の含窒素化合物が挙げられる。 Examples of the crosslinkable compound include nitrogen-containing compounds substituted with a hydroxymethyl group, an alkoxymethyl group, an epoxy group, or a (meth)acryloyl group, and having two or more nitrogen atoms, for example, 2 to 6 nitrogen atoms. Examples include compounds. Among these, the crosslinkable compound is preferably a nitrogen-containing compound having a nitrogen atom substituted with a group such as a hydroxymethyl group, a methoxymethyl group, an ethoxymethyl group, a butoxymethyl group, or a hexyloxymethyl group. Specifically, hexamethoxymethylmelamine, tetramethoxymethylbenzoguanamine, 1,3,4,6-tetrakis(butoxymethyl)glycoluril, 1,3,4,6-tetrakis(hydroxymethyl)glycoluril, 1,3 -bis(hydroxymethyl)urea, 1,1,3,3-tetrakis(butoxymethyl)urea, 1,1,3,3-tetrakis(methoxymethyl)urea, 1,3-bis(hydroxymethyl)-4, Nitrogen-containing compounds such as 5-dihydroxy-2-imidazolinone, 1,3-bis(methoxymethyl)-4,5-dimethoxy-2-imidazolinone, dicyclohexylcarbodiimide, diisopropylcarbodiimide, di-tert-butylcarbodiimide, piperazine, etc. can be mentioned.

また、架橋性化合物としては、三井サイテック(株)製メトキシメチルタイプメラミン化合物(商品名サイメル300、サイメル301、サイメル303、サイメル350)、ブトキシメチルタイプメラミン化合物(商品名マイコート506、マイコート508)、グリコールウリル化合物(商品名サイメル1170、パウダーリンク1174)、メチル化尿素樹脂(商品名UFR65)、ブチル化尿素樹脂(商品名UFR300、U-VAN10S60、U-VAN10R、U-VAN11HV)、大日本インキ化学工業(株)製尿素/ホルムアルデヒド系樹脂(商品名ベッカミンJ-300S、ベッカミンP-955、ベッカミンN)、ARKEMA社製(メタ)アクリレートモノマー(商品名SR209、SR272)、エポキシアクリレートオリゴマー(CN110NS)、東京化成社製ネオペンチルグリコールジグリシジルエーテル等の市販されている化合物を使用することができる。また、架橋性化合物としては、N-ヒドロキシメチルアクリルアミド、N-メトキシメチルメタクリルアミド、N-エトキシメチルアクリルアミド、N-ブトキシメチルメタクリルアミド等のヒドロキシメチル基又はアルコキシメチル基で置換されたアクリルアミド化合物又はメタクリルアミド化合物を使用して製造されるポリマーを用いることができる。
架橋性化合物は、一種の化合物のみを使用することができ、また、二種以上の化合物を組み合わせて用いることもできる。
In addition, as crosslinking compounds, methoxymethyl type melamine compounds (trade names Cymel 300, Cymel 301, Cymel 303, Cymel 350) manufactured by Mitsui Cytec Co., Ltd., butoxymethyl type melamine compounds (trade names Mycoat 506, Mycoat 508) are used. ), glycoluril compounds (product name Cymel 1170, Powder Link 1174), methylated urea resin (product name UFR65), butylated urea resin (product name UFR300, U-VAN10S60, U-VAN10R, U-VAN11HV), Dainippon Co., Ltd. Urea/formaldehyde resin manufactured by Ink Kagaku Kogyo Co., Ltd. (trade name: Beckamine J-300S, Beckamine P-955, Beckamine N), (meth)acrylate monomer manufactured by ARKEMA (trade name: SR209, SR272), epoxy acrylate oligomer (CN110NS) ), neopentyl glycol diglycidyl ether manufactured by Tokyo Kasei Co., Ltd., and other commercially available compounds can be used. In addition, as a crosslinkable compound, an acrylamide compound or methacrylamide substituted with a hydroxymethyl group or an alkoxymethyl group such as N-hydroxymethylacrylamide, N-methoxymethylmethacrylamide, N-ethoxymethylacrylamide, N-butoxymethylmethacrylamide, etc. Polymers made using amide compounds can be used.
As the crosslinking compound, only one type of compound can be used, or two or more types of compounds can be used in combination.

これら架橋性化合物は自己縮合による架橋反応を起こすことができる。また、ポリマーに含まれる構成単位と架橋反応を起こすこともできる。 These crosslinking compounds can cause a crosslinking reaction by self-condensation. It can also cause a crosslinking reaction with the structural units contained in the polymer.

<<触媒>>
下層膜形成用組成物には架橋反応を促進するための触媒として、p-トルエンスルホン酸、トリフルオロメタンスルホン酸、ピリジニウム-p-トルエンスルホン酸、サリチル酸、スルホサリチル酸、クエン酸、安息香酸、ドデシルベンゼンスルホン酸アンモニウム、ヒドロキシ安息香酸等の酸化合物を添加することができる。酸化合物としては、p-トルエンスルホン酸、ピリジニウム-p-トルエンスルホン酸、スルホサリチル酸、4-クロロベンゼンスルホン酸、4-ヒドロキシベンゼンスルホン酸、ベンゼンジスルホン酸、1-ナフタレンスルホン酸、ピリジニウム-1-ナフタレンスルホン酸等の芳香族スルホン酸化合物を挙げることができる。また、2,4,4,6-テトラブロモシクロヘキサジエノン、ベンゾイントシラート、2-ニトロベンジルトシラート、ビス(4-tert-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、フェニル-ビス(トリクロロメチル)-s-トリアジン、ベンゾイントシレート、N-ヒドロキシスクシンイミドトリフルオロメタンスルホネート、ビス-(t-ブチルスルホニル)ジアゾメタン、シクロへキシルスルホニルジアゾメタン等の酸発生剤を添加することができる。
<<Catalyst>>
The composition for forming the lower layer film contains p-toluenesulfonic acid, trifluoromethanesulfonic acid, pyridinium-p-toluenesulfonic acid, salicylic acid, sulfosalicylic acid, citric acid, benzoic acid, and dodecylbenzene as catalysts to promote the crosslinking reaction. Acid compounds such as ammonium sulfonate and hydroxybenzoic acid can be added. Acid compounds include p-toluenesulfonic acid, pyridinium-p-toluenesulfonic acid, sulfosalicylic acid, 4-chlorobenzenesulfonic acid, 4-hydroxybenzenesulfonic acid, benzenedisulfonic acid, 1-naphthalenesulfonic acid, pyridinium-1-naphthalene. Aromatic sulfonic acid compounds such as sulfonic acid can be mentioned. Also, 2,4,4,6-tetrabromocyclohexadienone, benzoin tosylate, 2-nitrobenzyl tosylate, bis(4-tert-butylphenyl)iodonium trifluoromethanesulfonate, triphenylsulfonium trifluoromethanesulfonate, phenyl- Acid generators such as bis(trichloromethyl)-s-triazine, benzointosylate, N-hydroxysuccinimide trifluoromethanesulfonate, bis-(t-butylsulfonyl)diazomethane, and cyclohexylsulfonyldiazomethane can be added.

<<光反射防止剤>>
本発明の下層膜形成用組成物はさらに光反射防止剤を含んでもよい。光反射防止剤としては、例えば、吸光性を有する化合物を挙げることができる。吸光性を有する化合物としては、下層膜の上に設けられるフォトレジスト中の感光成分の感光特性波長領域における光に対して高い吸収能を有するものを挙げることができ、例えば、ベンゾフェノン化合物、ベンゾトリアゾール化合物、アゾ化合物、ナフタレン化合物、アントラセン化合物、アントラキノン化合物、トリアジン化合物等が挙げられる。ポリマーとしては、ポリエステル、ポリイミド、ポリスチレン、ノボラック樹脂、ポリアセタール、アクリルポリマー等を挙げることができる。化学結合により連結した吸光性基を有するポリマーとしては、アントラセン環、ナフタレン環、ベンゼン環、キノリン環、キノキサリン環、チアゾール環といった吸光性芳香環構造を有するポリマー等を挙げることができる。
<<Light antireflection agent>>
The composition for forming a lower layer film of the present invention may further contain a light antireflection agent. Examples of the light antireflection agent include compounds having light-absorbing properties. Examples of light-absorbing compounds include compounds that have a high absorption ability for light in the photosensitive wavelength range of the photosensitive component in the photoresist provided on the lower layer film, such as benzophenone compounds, benzotriazole, etc. compounds, azo compounds, naphthalene compounds, anthracene compounds, anthraquinone compounds, triazine compounds and the like. Examples of the polymer include polyester, polyimide, polystyrene, novolak resin, polyacetal, and acrylic polymer. Examples of the polymer having a light-absorbing group connected by a chemical bond include polymers having a light-absorbing aromatic ring structure such as an anthracene ring, a naphthalene ring, a benzene ring, a quinoline ring, a quinoxaline ring, and a thiazole ring.

<<他の成分>>
下層膜形成用組成物は、イオン液体や界面活性剤等をさらに含んでもよい。下層膜形成用組成物にイオン液体を含有させることで、コポリマーと有機溶剤との相溶性を高めることができる。
下層膜形成用組成物に界面活性剤を含有させることで、下層膜形成用組成物の基板への塗布性を向上させることができる。また、下層膜形成用組成物を用いてパターン形成する際に、下層膜形成用組成物に続いて塗布されるレジスト組成物等の塗布性を向上させることができる。好ましい界面活性剤としては、例えば、ノニオン系界面活性剤、フッ素系界面活性剤及びシリコーン系界面活性剤が挙げられる。
その他、既知のレオロジー調整剤や、接着補助剤等任意の材料を下層膜形成用組成物に含めてもよい。
<<Other ingredients>>
The composition for forming a lower layer film may further contain an ionic liquid, a surfactant, and the like. By containing an ionic liquid in the composition for forming a lower layer film, the compatibility between the copolymer and the organic solvent can be improved.
By containing a surfactant in the composition for forming a lower layer film, the applicability of the composition for forming a lower layer film onto a substrate can be improved. Moreover, when forming a pattern using the composition for forming an underlayer film, the coatability of a resist composition or the like applied subsequent to the composition for forming an underlayer film can be improved. Preferred surfactants include, for example, nonionic surfactants, fluorine surfactants, and silicone surfactants.
In addition, arbitrary materials such as known rheology modifiers and adhesion aids may be included in the composition for forming the underlayer film.

なお、上述したような任意成分の含有量は、下層膜形成用組成物の全質量に対して、10質量%以下であることが好ましく、5質量%以下であることがより好ましい。 Note that the content of the above-mentioned optional components is preferably 10% by mass or less, more preferably 5% by mass or less, based on the total mass of the composition for forming the lower layer film.

(下層膜)
本発明は、上述した下層膜形成用組成物から形成された下層膜に関するものであってもよい。下層膜は、シリコンウエハー等の基板上に設けられる層である。なお、本明細書においては、パターン形状に加工された下層膜を保護膜とも呼ぶが、このような保護膜も下層膜に含まれる。すなわち、下層膜には、パターンを形成する前の層状の膜も、パターン形成後の間欠膜も含まれる。
(lower layer film)
The present invention may also relate to a lower layer film formed from the above-described composition for forming a lower layer film. The lower layer film is a layer provided on a substrate such as a silicon wafer. Note that in this specification, a lower layer film processed into a pattern shape is also referred to as a protective film, but such a protective film is also included in the lower layer film. That is, the lower layer film includes a layered film before patterning and an intermittent film after patterning.

図1(a)には、基板10の上に下層膜20が形成された積層体が示されている。なお、図示していないが、下層膜は、例えばレジスト膜の下層に設けられる層であることが好ましい。すなわち、下層膜は基板とレジスト膜の間に設けられる層であることが好ましい。下層膜は、基板とレジスト膜との相互作用を防止するための層、レジスト膜に用いられる材料又はレジスト膜への露光時に生成する物質の基板への悪影響を防止するための層、加熱焼成時に基板から生成する物質のレジスト膜への拡散を防止するための層、及び半導体基板誘電体層によるレジスト膜のポイズニング効果を減少させるためのバリア層等として機能することもできる。また、下層膜は、基板表面を平坦化するための平坦化材としても機能する。 FIG. 1A shows a laminate in which a lower film 20 is formed on a substrate 10. As shown in FIG. Although not shown, the lower layer film is preferably a layer provided under the resist film, for example. That is, the lower layer film is preferably a layer provided between the substrate and the resist film. The lower layer film is a layer for preventing interaction between the substrate and the resist film, a layer for preventing the adverse effects on the substrate of materials used for the resist film or substances generated during exposure of the resist film, and a layer for preventing the material used for the resist film or substances generated during exposure of the resist film from adversely affecting the substrate. It can also function as a layer for preventing substances generated from the substrate from diffusing into the resist film, and a barrier layer for reducing the poisoning effect of the resist film by the semiconductor substrate dielectric layer. Further, the lower layer film also functions as a planarizing material for planarizing the substrate surface.

図1(b)に示されるように、下層膜20の一部は、基板10に形成したいパターン形状となるように少なくとも一部が除去される。例えば、下層膜20上にレジスト膜を積層し、露光及び現像処理を行うことで、図1(b)に示されるようなパターン形状を形成することができる。その後、露出した基板10に対して、塩素ガスや、三塩化ホウ素、四フッ化メタンガス、三フッ化メタンガス、六フッ化エタンガス、八フッ化プロパンガス、六フッ化硫黄ガス、アルゴンガス、酸素ガス、ヘリウムガス等を用いて、誘導結合プラズマ等の反応性イオンエッチング等を行うことでパターン形成を行い、図1(c)に示されるようなパターンを基板10に形成する。 As shown in FIG. 1B, at least a portion of the lower film 20 is removed so as to form a pattern desired to be formed on the substrate 10. For example, by laminating a resist film on the lower layer film 20 and performing exposure and development processing, a pattern shape as shown in FIG. 1(b) can be formed. After that, the exposed substrate 10 is exposed to chlorine gas, boron trichloride, methane tetrafluoride gas, methane trifluoride gas, ethane hexafluoride gas, propane octafluoride gas, sulfur hexafluoride gas, argon gas, oxygen gas. Pattern formation is performed by performing reactive ion etching such as inductively coupled plasma using helium gas or the like, and a pattern as shown in FIG. 1C is formed on the substrate 10.

なお、本発明の下層膜形成用組成物から、自己組織化膜やレジスト膜を形成することも可能である。下層膜形成用組成物がブロックコポリマーを含む場合、ブロックコポリマーを基板上に塗布し、アニーリング等を行うことにより、自己組織化による相分離構造を有する膜(自己組織化膜)を形成し、この自己組織化膜における一部の相を除去することにより、パターンを形成することができる。また、下層膜形成用組成物からレジスト膜を形成する場合は、下層膜形成用組成物から形成したレジスト膜に、回路パターンが描画されたマスクを通して波長の短い遠紫外線を照射し、光が当たった部分のレジスト膜を変質させてパターンを転写する(露光)。その後、露光された部分を現像液で溶かすことでパターンを形成することができる。 Note that it is also possible to form a self-assembled film or a resist film from the composition for forming a lower layer film of the present invention. When the composition for forming a lower layer film contains a block copolymer, the block copolymer is applied onto a substrate and subjected to annealing to form a film having a phase-separated structure due to self-organization (self-assembled film). A pattern can be formed by removing some of the phases in the self-assembled film. In addition, when forming a resist film from the composition for forming an underlayer film, the resist film formed from the composition for forming an underlayer film is irradiated with short-wavelength deep ultraviolet rays through a mask on which a circuit pattern is drawn. The pattern is transferred by changing the quality of the resist film in the exposed areas (exposure). Thereafter, a pattern can be formed by dissolving the exposed portion with a developer.

下層膜の膜厚は用途によって適宜調整することができるが、例えば、1nm以上20000nm以下であることが好ましく、1nm以上10000nm以下であることがより好ましく、1nm以上5000nm以下であることがさらに好ましく、1nm以上3000nm以下であることが特に好ましい。 The thickness of the lower layer film can be adjusted as appropriate depending on the application, but for example, it is preferably 1 nm or more and 20,000 nm or less, more preferably 1 nm or more and 10,000 nm or less, and even more preferably 1 nm or more and 5,000 nm or less. It is particularly preferable that the thickness is 1 nm or more and 3000 nm or less.

下層膜は金属が導入された膜であることが好ましく、その結果金属を含むものであることが好ましい。下層膜の金属含有率は、5at%以上であることが好ましく、8at%以上であることがより好ましく、10at%以上であることがさらに好ましく、15at%以上であることが一層好ましい。金属含有率は、例えば、以下の方法で算出できる。まず、下層膜をALD(原子層堆積装置)に入れ、ここに95℃にてAl(CH33ガスを導入した後、水蒸気を導入する。この操作を3回繰り返すことで、下層膜にAlを導入する。Al導入後の下層膜について、電子顕微鏡JSM7800F(日本電子製)を用いてEDX分析(エネルギー分散型X線分析)を行い、Al成分の比率(Al含有率)を算出し、これを金属含有率とする。 The lower layer film is preferably a film into which a metal is introduced, and as a result, preferably contains a metal. The metal content of the lower layer film is preferably 5 at% or more, more preferably 8 at% or more, even more preferably 10 at% or more, and even more preferably 15 at% or more. The metal content can be calculated, for example, by the following method. First, the lower layer film is placed in an ALD (atomic layer deposition apparatus), into which Al(CH 3 ) 3 gas is introduced at 95° C., and then water vapor is introduced therein. By repeating this operation three times, Al is introduced into the lower layer film. EDX analysis (energy dispersive X-ray analysis) was performed on the lower layer film after Al introduction using an electron microscope JSM7800F (manufactured by JEOL Ltd.) to calculate the ratio of Al components (Al content), which was calculated as the metal content. shall be.

(パターン形成方法)
本発明は、上述した下層膜形成用組成物を用いたパターン形成方法に関するものでもある。本発明のパターン形成方法は、上述した下層膜形成用組成物を用いて下層膜を形成する工程を含む。
(Pattern formation method)
The present invention also relates to a pattern forming method using the above-mentioned composition for forming an underlayer film. The pattern forming method of the present invention includes a step of forming a lower layer film using the above-described composition for forming a lower layer film.

パターン形成方法は、下層膜形成用組成物及び/又は下層膜に金属を導入する工程を含むことが好ましい。中でも、パターン形成方法は、下層膜に金属を導入する工程を含むことがより好ましい。 The pattern forming method preferably includes a step of introducing a metal into the composition for forming the lower layer film and/or the lower layer film. Among these, it is more preferable that the pattern forming method includes a step of introducing metal into the lower layer film.

パターン形成方法は、金属を導入する工程の前に、リソグラフィープロセスを含むことが好ましい。リソグラフィープロセスは、下層膜の上にレジスト膜を形成する工程、及び、レジスト膜及び下層膜の一部を除去してパターンを形成する工程を含むことが好ましい。 Preferably, the patterning method includes a lithography process before the step of introducing metal. The lithography process preferably includes a step of forming a resist film on the lower layer film, and a step of removing a portion of the resist film and the lower layer film to form a pattern.

なお、下層膜を形成する工程と、レジスト膜を形成する工程の間に、基板上にガイドパターンを形成する工程をさらに含んでもよい。また、基板上にガイドパターンを形成する工程は、下層膜形成用組成物を塗布する工程の前に設けられてもよい。ガイドパターンを形成する工程は、下層膜形成用組成物を塗布する工程で形成された下層膜上にプレパターンを形成する工程である。 Note that the method may further include a step of forming a guide pattern on the substrate between the step of forming the lower layer film and the step of forming the resist film. Further, the step of forming a guide pattern on the substrate may be provided before the step of applying the composition for forming a lower layer film. The step of forming a guide pattern is a step of forming a pre-pattern on the lower layer film formed in the step of applying the composition for forming the lower layer film.

パターン形成方法は、上述したようなパターンを保護膜として、半導体基板を加工する工程を含むことが好ましい。このような工程をエッチング工程と呼ぶ。 Preferably, the pattern forming method includes a step of processing a semiconductor substrate using the above-described pattern as a protective film. Such a process is called an etching process.

<下層膜を形成する工程>
本発明のパターン形成方法は、下層膜を形成する工程を含むことが好ましい。下層膜を形成する工程は、基板上に下層膜形成用組成物を塗布し、下層膜を形成する工程である。
<Step of forming lower layer film>
The pattern forming method of the present invention preferably includes a step of forming a lower layer film. The step of forming a lower layer film is a step of applying a composition for forming a lower layer film onto a substrate to form a lower layer film.

基板としては、例えば、ガラス、シリコン、SiO2、SiN、GaN、AlN等の基板を挙げることができる。また、PET、PE、PEO、PS、シクロオレフィンポリマー、ポリ乳酸、セルロースナノファイバーのような有機材料からなる基板を用いてもよい。 Examples of the substrate include glass, silicon, SiO 2 , SiN, GaN, and AlN substrates. Further, a substrate made of an organic material such as PET, PE, PEO, PS, cycloolefin polymer, polylactic acid, or cellulose nanofiber may also be used.

基板と下層膜は、この順で隣り合う層同士が直接接するように積層されることが好ましいが、各層の間には他の層が設けられていてもよい。例えば、基板と下層膜の間にはアンカー層が設けられてもよい。アンカー層は、基板の濡れ性をコントロールする層であり、基板と下層膜の密着性を高める層である。また、基板と下層膜の間には、異なる材料からなる層が複数層挟まれていても良い。これらの材料としては、特に特定されるものではないが、例えばSiO2、SiN,Al23、AlN、GaN、GaAs、W、SOC、SOG、Cr、Mo、MoSi、Ta、Ni、Ru、TaBN、Ag等の無機材料や、市販されている接着剤のような有機材料を挙げることができる。 The substrate and the lower film are preferably laminated in this order so that adjacent layers are in direct contact with each other, but other layers may be provided between each layer. For example, an anchor layer may be provided between the substrate and the underlying film. The anchor layer is a layer that controls the wettability of the substrate, and is a layer that increases the adhesion between the substrate and the underlying film. Further, a plurality of layers made of different materials may be sandwiched between the substrate and the lower layer film. These materials are not particularly specified, but include, for example, SiO 2 , SiN, Al 2 O 3 , AlN, GaN, GaAs, W, SOC, SOG, Cr, Mo, MoSi, Ta, Ni, Ru, Examples include inorganic materials such as TaBN and Ag, and organic materials such as commercially available adhesives.

下層膜形成用組成物の塗布方法は、特に限定されないが、例えば、下層膜形成用組成物を基板上にスピンコート法等の公知の方法により塗布することができる。また、下層膜形成用組成物を塗布した後には、露光及び/又は加熱することにより下層膜形成用組成物を硬化させて下層膜を形成してもよい。この露光に用いられる放射線としては、例えば、可視光線、紫外線、遠紫外線、X線、電子線、γ線、分子線、イオンビーム等が挙げられる。また、塗膜を加熱する際の温度は、特に限定されないが、90℃以上550℃以下が好ましい。 The method for applying the composition for forming the lower layer film is not particularly limited, but for example, the composition for forming the lower layer film can be applied onto the substrate by a known method such as a spin coating method. Further, after applying the composition for forming a lower layer film, the composition for forming a lower layer film may be cured by exposure and/or heating to form a lower layer film. Examples of the radiation used for this exposure include visible light, ultraviolet rays, far ultraviolet rays, X-rays, electron beams, gamma rays, molecular beams, and ion beams. Further, the temperature at which the coating film is heated is not particularly limited, but preferably 90°C or more and 550°C or less.

基板に下層膜形成用組成物を塗布する前には、基板を洗浄する工程を設けることが好ましい。基板表面を洗浄することにより下層膜形成用組成物の塗布性が向上する。洗浄処理方法としては、従来公知の方法を利用でき、例えば酸素プラズマ処理、オゾン酸化処理、酸アルカリ処理、化学修飾処理等が挙げられる。 It is preferable to provide a step of cleaning the substrate before applying the underlayer film forming composition to the substrate. By cleaning the substrate surface, the coating properties of the composition for forming the lower layer film are improved. As the cleaning treatment method, conventionally known methods can be used, such as oxygen plasma treatment, ozone oxidation treatment, acid-alkali treatment, chemical modification treatment, and the like.

下層膜を形成した後、下層膜形成用組成物より下層膜の層を形成するために加熱処理(焼成)が行われることが好ましい。本発明では、加熱処理は、大気下でかつ比較的低温での加熱処理であることが好ましい。
加熱処理する条件としては、加熱処理温度60℃~350℃、加熱処理時間0.3~60分間の中から適宜選択されることが好ましい。中でも、加熱処理温度は130℃~250℃であることがより好ましく、、加熱処理時間は0.5~30分間であることがより好ましく、0.5~5分であることがさらに好ましい。。
After forming the lower layer film, it is preferable that heat treatment (baking) is performed using the lower layer film forming composition to form a layer of the lower layer film. In the present invention, the heat treatment is preferably carried out in the atmosphere at a relatively low temperature.
It is preferable that the conditions for the heat treatment are appropriately selected from among a heat treatment temperature of 60° C. to 350° C. and a heat treatment time of 0.3 to 60 minutes. Among these, the heat treatment temperature is more preferably 130° C. to 250° C., the heat treatment time is more preferably 0.5 to 30 minutes, and even more preferably 0.5 to 5 minutes. .

下層膜を形成した後、必要に応じて、溶剤等のリンス液を用いて下層膜をリンスしてもよい。リンス処理により、下層膜中の未架橋部分等が除去されるため、レジスト等下層膜の上に形成する膜の成膜性を高めることができる。
尚、リンス液は、未架橋部分を溶解し得るものであればよく、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテル(PGME)、乳酸エチル(EL)、シクロヘキサノン等の溶剤、又は市販のシンナー液等を用いることができる。
また、洗浄後は、リンス液を揮発させるため、ポストベークを行ってもよい。このポストベークの温度条件は、80℃以上300℃以下であることが好ましく、ベーク時間は、30秒以上600秒以下であることが好ましい。
After forming the lower layer film, if necessary, the lower layer film may be rinsed using a rinsing liquid such as a solvent. The rinsing process removes uncrosslinked portions and the like in the lower layer film, so that the formability of a film formed on the lower layer film, such as a resist, can be improved.
The rinsing liquid may be any solvent as long as it can dissolve the uncrosslinked portion, and may be a solvent such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), ethyl lactate (EL), or cyclohexanone, or a commercially available rinsing liquid. Thinner liquid etc. can be used.
Further, after cleaning, post-baking may be performed in order to volatilize the rinsing liquid. The temperature conditions for this post-bake are preferably 80°C or more and 300°C or less, and the baking time is preferably 30 seconds or more and 600 seconds or less.

本発明の下層膜形成用組成物より形成される下層膜は紫外線を吸収する性質を有しているため、光反射防止膜としても機能し得る。なお、後述するように、下層膜とは別にさらに光反射防止膜を形成してもよい。 Since the lower layer film formed from the lower layer film forming composition of the present invention has the property of absorbing ultraviolet rays, it can also function as a light antireflection film. Note that, as described later, a light antireflection film may be formed separately from the lower layer film.

下層膜をKrFエキシマレーザー(波長248nm)を使用したリソグラフィープロセスで光反射防止膜として使用する場合、下層膜形成用組成物中には、アントラセン環又はナフタレン環を有する成分が含まれていることが好ましい。そして、下層膜をArFエキシマレーザー(波長193nm)を使用したリソグラフィープロセスで光反射防止膜として使用する場合、下層膜形成用組成物中には、ベンゼン環を有する化合物が含まれていることが好ましい。また、下層膜をF2エキシマレーザー(波長157nm)を使用したリソグラフィープロセスで光反射防止膜として使用する場合、下層膜形成用組成物中には、臭素原子又はヨウ素原子を有する化合物が含まれていることが好ましい。 When using the underlayer film as an antireflection film in a lithography process using a KrF excimer laser (wavelength 248 nm), the composition for forming the underlayer film may contain a component having an anthracene ring or a naphthalene ring. preferable. When the underlayer film is used as an antireflection film in a lithography process using an ArF excimer laser (wavelength: 193 nm), it is preferable that the composition for forming the underlayer film contains a compound having a benzene ring. . In addition, when the underlayer film is used as an antireflection film in a lithography process using an F2 excimer laser (wavelength: 157 nm), the composition for forming the underlayer film contains a compound having a bromine atom or an iodine atom. It is preferable.

さらに、下層膜は、基板とフォトレジストとの相互作用を防止するための層、フォトレジストに用いられる材料又はフォトレジストへの露光時に生成する物質の基板への悪影響を防止するための層、加熱焼成時に基板から生成する物質の上層フォトレジストへの拡散を防止するための層、及び半導体基板誘電体層によるフォトレジスト層のポイズニング効果を減少させるためのバリア層等として機能することもできる。また、下層膜形成用組成物より形成される下層膜は、基板表面を平坦化するための平坦化材としても機能する。 Furthermore, the lower layer film includes a layer for preventing interaction between the substrate and the photoresist, a layer for preventing the material used for the photoresist or a substance generated during exposure of the photoresist from adversely affecting the substrate, and It can also function as a layer to prevent substances generated from the substrate during firing from diffusing into the overlying photoresist, and a barrier layer to reduce the poisoning effect of the photoresist layer by the semiconductor substrate dielectric layer. Further, the lower layer film formed from the lower layer film forming composition also functions as a flattening material for flattening the substrate surface.

<光反射防止膜を形成する工程>
パターン形成方法が半導体の製造方法で用いられる場合には、基板上に下層膜が形成される前後に有機系又は無機系の光反射防止膜を形成する工程を設けてもよい。この場合、下層膜とは別にさらに光反射防止膜が設けられてもよい。
<Step of forming an anti-reflection film>
When the pattern forming method is used in a semiconductor manufacturing method, a step of forming an organic or inorganic light antireflection film may be provided before and after forming the lower layer film on the substrate. In this case, an anti-reflection film may be further provided in addition to the lower layer film.

光反射防止膜の形成に使用される光反射防止膜用組成物としては特に制限はなく、リソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができる。また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって光反射防止膜を形成することができる。光反射防止膜用組成物としては、例えば、吸光性化合物とポリマーを主成分とする組成物、化学結合により連結した吸光性基を有するポリマーと架橋剤を主成分とする組成物、吸光性化合物と架橋剤を主成分とする組成物、及び、吸光性を有する高分子架橋剤を主成分とする組成物等が挙げられる。これらの光反射防止膜用組成物はまた、必要に応じて、酸成分、酸発生剤成分、レオロジー調整剤等を含むことができる。吸光性化合物としては、光反射防止膜の上に設けられるフォトレジスト中の感光成分の感光特性波長領域における光に対して高い吸収能を有するものであれば用いることができ、例えば、ベンゾフェノン化合物、ベンゾトリアゾール化合物、アゾ化合物、ナフタレン化合物、アントラセン化合物、アントラキノン化合物、トリアジン化合物等が挙げられる。ポリマーとしては、ポリエステル、ポリイミド、ポリスチレン、ノボラック樹脂、ポリアセタール、アクリルポリマー等を挙げることができる。化学結合により連結した吸光性基を有するポリマーとしては、アントラセン環、ナフタレン環、ベンゼン環、キノリン環、キノキサリン環、チアゾール環といった吸光性芳香環構造を有するポリマーを挙げることができる。 The composition for a light antireflection film used for forming the light antireflection film is not particularly limited, and can be arbitrarily selected from those commonly used in lithography processes. Further, the antireflection film can be formed by a commonly used method, for example, coating with a spinner or coater and baking. Examples of compositions for antireflection films include compositions containing a light-absorbing compound and a polymer as main components, compositions containing a cross-linking agent and a polymer having light-absorbing groups connected by chemical bonds, and light-absorbing compounds. Examples include compositions containing a crosslinking agent as a main component, and compositions containing a light-absorbing polymer crosslinking agent as a main component. These antireflection film compositions can also contain an acid component, an acid generator component, a rheology modifier, etc., as necessary. As the light-absorbing compound, any compound can be used as long as it has a high absorption ability for light in the photosensitive wavelength range of the photosensitive component in the photoresist provided on the antireflection film, such as benzophenone compounds, Examples include benzotriazole compounds, azo compounds, naphthalene compounds, anthracene compounds, anthraquinone compounds, and triazine compounds. Examples of the polymer include polyester, polyimide, polystyrene, novolak resin, polyacetal, and acrylic polymer. Examples of polymers having light-absorbing groups connected by chemical bonds include polymers having light-absorbing aromatic ring structures such as anthracene rings, naphthalene rings, benzene rings, quinoline rings, quinoxaline rings, and thiazole rings.

また、本発明の下層膜形成用組成物が塗布される基板は、その表面にCVD法等で形成された無機系の光反射防止膜を有するものであってもよく、その上に下層膜を形成することもできる。 Further, the substrate to which the composition for forming a lower layer film of the present invention is applied may have an inorganic anti-reflection film formed by CVD or the like on its surface, and the lower layer film is applied thereon. It can also be formed.

<レジスト膜を形成する工程>
レジスト膜を形成する工程は、フォトレジストの層を形成する工程であることが好ましい。フォトレジストの層の形成は、特に制限はないが、周知の方法を採用することができる。例えば、フォトレジスト組成物溶液を下層膜上への塗布し、焼成することによってフォトレジストの層を形成することができる。
<Step of forming a resist film>
Preferably, the step of forming a resist film is a step of forming a layer of photoresist. The formation of the photoresist layer is not particularly limited, but any known method can be employed. For example, a photoresist layer can be formed by applying a photoresist composition solution onto the underlying film and baking it.

下層膜の上に塗布、形成されるフォトレジストとしては露光に使用される光に感光するものであれば特に限定はない。また、ネガ型フォトレジスト及びポジ型フォトレジストのいずれも使用できる。ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト、酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジスト等がある。例えば、シプレー社製、商品名APEX-E、住友化学工業(株)製、商品名PAR710、及び信越化学工業(株)製、商品名SEPR430等が挙げられる。なお、本発明の下層膜形成用組成物はレジスト膜形成用組成物として用いることもできる。 The photoresist coated and formed on the lower layer film is not particularly limited as long as it is sensitive to the light used for exposure. Furthermore, either a negative photoresist or a positive photoresist can be used. A positive photoresist consisting of a novolac resin and 1,2-naphthoquinonediazide sulfonic acid ester, a chemically amplified photoresist consisting of a binder having a group that decomposes with acid and increases the rate of alkali dissolution, and a photoacid generator; A chemically amplified photoresist consisting of a low-molecular compound that decomposes to increase the alkali dissolution rate of the photoresist, an alkali-soluble binder, and a photoacid generator, a binder having a group that decomposes with an acid to increase the alkali dissolution rate, and an acid. There are chemically amplified photoresists that are made of a photoacid generator and a low-molecular compound that decomposes to increase the alkali dissolution rate of the photoresist. Examples include APEX-E (trade name) manufactured by Shipley Co., Ltd., PAR710 (trade name) manufactured by Sumitomo Chemical Co., Ltd., and SEPR430 (trade name) manufactured by Shin-Etsu Chemical Co., Ltd. In addition, the composition for forming a lower layer film of the present invention can also be used as a composition for forming a resist film.

レジスト膜を形成する工程は、所定のマスクを通して露光を行う工程を含むことが好ましい。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)及びF2エキシマレーザー(波長157nm)、EUV(極紫外光)(13nm)等を使用することができる。露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3~10分間の条件で行うことが好ましい。 Preferably, the step of forming the resist film includes a step of exposing the resist film to light through a predetermined mask. For exposure, KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), F2 excimer laser (wavelength 157 nm), EUV (extreme ultraviolet light) (13 nm), etc. can be used. After exposure, post-exposure bake can be performed as needed. The post-exposure heating is preferably carried out at a heating temperature of 70° C. to 150° C. and a heating time of 0.3 to 10 minutes.

レジスト膜を形成する工程は、現像液によって現像を行う工程を含むことが好ましい。これにより、例えばポジ型フォトレジストが使用された場合は、露光された部分のフォトレジストが除去され、フォトレジストのパターンが形成される。現像液としては、水酸化カリウム、水酸化ナトリウム等のアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリン等の水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミン等のアミン水溶液等のアルカリ性水溶液を例として挙げることができる。さらに、これらの現像液に界面活性剤等を加えることもできる。現像の条件は、温度5~50℃、時間10~300秒から適宜選択される。 Preferably, the step of forming the resist film includes a step of developing with a developer. In this way, for example, if a positive photoresist is used, the exposed portions of the photoresist are removed and a photoresist pattern is formed. Examples of developing solutions include aqueous solutions of alkali metal hydroxides such as potassium hydroxide and sodium hydroxide, aqueous solutions of quaternary ammonium hydroxides such as tetramethylammonium hydroxide, tetraethylammonium hydroxide, and choline, ethanolamine, propylamine, Examples include alkaline aqueous solutions such as amine aqueous solutions such as ethylenediamine. Furthermore, surfactants and the like can also be added to these developers. The conditions for development are appropriately selected from a temperature of 5 to 50° C. and a time of 10 to 300 seconds.

また、レジスト膜は、上記フォトリソグラフィー以外にもナノインプリントリソグラフィーを用いて形成することもできる。ナノインプリントリソグラフィーの場合は、光硬化性のナノインプリントレジストを塗布し、あらかじめパターンが形成されている型をレジストに押し付け、UV等の光を照射することによって形成することができる。また、レジスト膜は、自己組織化膜であってもよい。 Furthermore, the resist film can also be formed using nanoimprint lithography in addition to the photolithography described above. In the case of nanoimprint lithography, it can be formed by applying a photocurable nanoimprint resist, pressing a mold in which a pattern has been formed in advance against the resist, and irradiating it with light such as UV. Further, the resist film may be a self-organized film.

<下層膜のパターン形成工程>
パターン形成方法では、上述したレジスト膜を形成する工程で形成されたレジスト膜のパターンを保護膜として、下層膜の一部の除去が行われることが好ましい。このような工程を下層膜のパターン形成工程と呼ぶ。
<Pattern formation process of lower layer film>
In the pattern forming method, it is preferable that a portion of the underlying film is removed using the resist film pattern formed in the above-described resist film forming step as a protective film. Such a process is called a pattern forming process for the lower layer film.

下層膜の一部を除去する方法としては、例えば、ケミカルドライエッチング、ケミカルウェットエッチング(湿式現像)等の反応性イオンエッチング(RIE)、スパッタエッチング、イオンビームエッチング等の物理的エッチング等の公知の方法が挙げられる。下層膜の除去は、例えば、テトラフルオロメタン、パーフルオロシクロブタン(C48)、パーフルオロプロパン(C38)、パーフルオロエタン(C26)、三塩化ホウ素、三フッ化メタン、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、塩素、ヘリウム、六フッ化硫黄、ジフルオロメタン、三フッ化窒素及び三フッ化塩素等のガスを用いたドライエッチングによって行われることが好ましい。 Methods for removing a portion of the lower layer film include known methods such as reactive ion etching (RIE) such as chemical dry etching and chemical wet etching (wet development), and physical etching such as sputter etching and ion beam etching. There are several methods. The lower layer film can be removed using, for example, tetrafluoromethane, perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), perfluoroethane (C 2 F 6 ), boron trichloride, trifluoromethane. It is preferable to perform dry etching using a gas such as , trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, chlorine, helium, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, and chlorine trifluoride.

また、下層膜の一部を除去する工程としてケミカルウェットエッチング工程を採用することもできる。ウェットエッチングの手法としては、例えば酢酸と反応させて処理する方法、エタノールやi-プロパノールといったアルコールと水の混合溶液を反応させて処理する方法、UV光又はEB光を照射した後に酢酸又はアルコールで処理する方法等が挙げられる。 Further, a chemical wet etching process can also be employed as a process for removing a portion of the lower layer film. Wet etching methods include, for example, a method of reacting with acetic acid, a method of reacting with a mixed solution of alcohol and water such as ethanol or i-propanol, and a method of treating with acetic acid or alcohol after irradiation with UV light or EB light. Examples include processing methods.

<金属を導入する工程>
パターン形成方法は、SIS法(Sequencial Infiltration Synthesis;逐次浸透合成)のような、下層膜へ金属を導入する工程をさらに含むことが好ましい。導入する金属としては、Li、Be、B、Na、Mg、Al、Si、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Ge、As、Rb、Sr、Y、Zr、Nb、Mo、Ru、Pd、Ag、Cd、In、Sn、Sb、Te、Cs、Ba、La、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Tl、Pb、Bi、Po、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu等が挙げられる。このようなプロセスは、例えばJornal of Photopolymer Science and Technology Volume29, Number5(2016)653-657に記載されている方法により行うことができる。また、金属を導入する工程では、金属錯体ガスを使用する方法、あるいは金属を含む溶液を塗布する方法、イオン注入による方法を採用することができる。
<Process of introducing metal>
Preferably, the pattern forming method further includes a step of introducing a metal into the underlying film, such as a SIS method (Sequential Infiltration Synthesis). The metals to be introduced include Li, Be, B, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Rb, Sr, Y, Zr, Nb, Mo, Ru, Pd, Ag, Cd, In, Sn, Sb, Te, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Examples include Hg, Tl, Pb, Bi, Po, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu. Such a process can be performed, for example, by the method described in Journal of Photopolymer Science and Technology Volume 29, Number 5 (2016) 653-657. Further, in the step of introducing metal, a method using a metal complex gas, a method of applying a solution containing a metal, or a method using ion implantation can be adopted.

金属を導入する工程は、下層膜を形成した後に設けられることが好ましい。例えば、下層膜を形成した後に、レジスト膜を形成する工程、下層膜のパターン形成工程、金属を導入する工程、エッチング工程の順に設けることが好ましい。但し、金属を導入する工程は、下層膜を形成する工程の前に設けられてもよい。すなわち、金属を導入する対象は、下層膜に限定されず、下層膜形成用組成物であってもよい。 The step of introducing metal is preferably provided after forming the lower layer film. For example, after forming the lower layer film, it is preferable to perform a step of forming a resist film, a patterning step of the lower layer film, a step of introducing metal, and an etching step in this order. However, the step of introducing metal may be provided before the step of forming the lower layer film. That is, the target to which the metal is introduced is not limited to the lower layer film, but may be the composition for forming the lower layer film.

<エッチング工程>
パターン形成方法では、上述したレジスト膜を形成する工程で形成されたレジスト膜のパターンを保護膜として、半導体基板の加工が行なわれることが好ましい。このような工程をエッチング工程と呼ぶ。
<Etching process>
In the pattern forming method, it is preferable that the semiconductor substrate be processed using the resist film pattern formed in the above-described resist film forming step as a protective film. Such a process is called an etching process.

エッチング工程において半導体基板を加工する方法としては、例えば、ケミカルドライエッチング、ケミカルウェットエッチング(湿式現像)等の反応性イオンエッチング(RIE)、スパッタエッチング、イオンビームエッチング等の物理的エッチング等の公知の方法が挙げられる。半導体基板の加工は、例えば、テトラフルオロメタン、パーフルオロシクロブタン(C48)、パーフルオロプロパン(C38)、トリフルオロメタン、一酸化炭素、アルゴン、ヘリウム、酸素、窒素、塩素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素及び三フッ化塩素等のガスを用いたドライエッチングによって行われることが好ましい。 Methods for processing the semiconductor substrate in the etching process include known methods such as reactive ion etching (RIE) such as chemical dry etching and chemical wet etching (wet development), and physical etching such as sputter etching and ion beam etching. There are several methods. Processing of semiconductor substrates can be carried out using, for example, tetrafluoromethane, perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, helium, oxygen, nitrogen, chlorine, It is preferable to perform dry etching using a gas such as sulfur fluoride, difluoromethane, nitrogen trifluoride, or chlorine trifluoride.

また、エッチング工程では、ケミカルウェットエッチング工程を採用することもできる。ウェットエッチングの手法としては、例えば酢酸と反応させて処理する方法、エタノールやi-プロパノールといったアルコールと水の混合溶液を反応させて処理する方法、UV光又はEB光を照射した後に酢酸又はアルコールで処理する方法等が挙げられる。 Further, in the etching process, a chemical wet etching process can also be adopted. Wet etching methods include, for example, a method of reacting with acetic acid, a method of reacting with a mixed solution of alcohol and water such as ethanol or i-propanol, and a method of treating with acetic acid or alcohol after irradiation with UV light or EB light. Examples include processing methods.

<パターンの用途>
以上のようにして形成されたパターンは、自己組織化パターン形成材料(DSA(Directed Self Assembly lithography;誘導自己組織化))を用いたパターン形成のガイドとしての利用されることも好ましい。また、ナノインプリントリソグラフィー用の型として利用されることも好ましい。
<Use of pattern>
The pattern formed as described above is also preferably used as a guide for pattern formation using a self-assembled pattern forming material (DSA (Directed Self Assembly lithography)). It is also preferable to use it as a mold for nanoimprint lithography.

また、パターン形成方法は種々の製造方法に応用され得る。例えば、パターン形成方法は、半導体の製造工程で用いられてもよい。半導体の製造方法の例としては、半導体基板の上に上記パターン形成方法でパターンを形成する工程を含むことが好ましい。 Further, the pattern forming method can be applied to various manufacturing methods. For example, the pattern forming method may be used in a semiconductor manufacturing process. As an example of a method for manufacturing a semiconductor, it is preferable to include a step of forming a pattern on a semiconductor substrate by the pattern forming method described above.

以下に実施例と比較例を挙げて本発明の特徴をさらに具体的に説明する。以下の実施例に示す材料、使用量、割合、処理内容、処理手順等は、本発明の趣旨を逸脱しない限り適宜変更することができる。したがって、本発明の範囲は以下に示す具体例により限定的に解釈されるべきものではない。
なお、コポリマー実施例中のl、m、n、p、rはコポリマー中に含まれる構成単位数を示している。
EXAMPLES The features of the present invention will be explained in more detail below with reference to Examples and Comparative Examples. The materials, usage amounts, proportions, processing details, processing procedures, etc. shown in the following examples can be changed as appropriate without departing from the spirit of the present invention. Therefore, the scope of the present invention should not be interpreted as being limited by the specific examples shown below.
In addition, l, m, n, p, and r in the copolymer examples indicate the number of structural units contained in the copolymer.

[糖の調製]
キシロオリゴ糖及びキシロースは特開2012-100546号公報を参考に、木材パルプからの抽出を行うことで得た。
D-(+)グルコースは和光純薬製のものを用いた。
[コポリマー1の合成]
(アセチルキシロースメタクリレートの合成)
キシロース20gを無水酢酸250gと酢酸320gの混合溶液へ添加し、30℃で2時間攪拌した。溶液のおよそ5倍量の冷水を攪拌しながらゆっくりと加え、2時間攪拌したのちに1晩静置した。フラスコ中でTHF400mLにエチレンジアミン1.2gと酢酸10.4gを加えて0℃にした溶液に、析出した結晶10gを加え、4時間攪拌した。これを冷水1Lに注入し、ジクロロメタンで2回抽出した。この抽出物20g、ジクロロメタン300mL及びトリエチルアミン4.8gをフラスコに入れ、-30℃に冷却した。塩化メタクリロイル2.8gを加えて2時間攪拌した。これを冷水300mLに注入し、ジクロロメタンで2回抽出し、溶媒を濃縮することにより、アセチルキシロースメタクリレートを16.1g得た。得られたアセチルキシロースメタクリレートの構造は以下のとおりである。
[Preparation of sugar]
Xylooligosaccharides and xylose were obtained by extraction from wood pulp with reference to JP 2012-100546A.
D-(+) glucose manufactured by Wako Pure Chemical Industries was used.
[Synthesis of copolymer 1]
(Synthesis of acetyl xylose methacrylate)
20 g of xylose was added to a mixed solution of 250 g of acetic anhydride and 320 g of acetic acid, and the mixture was stirred at 30° C. for 2 hours. Approximately 5 times the amount of cold water as the solution was slowly added while stirring, stirred for 2 hours, and then left to stand overnight. 10 g of precipitated crystals were added to a solution of 1.2 g of ethylenediamine and 10.4 g of acetic acid added to 400 mL of THF and heated to 0° C. and stirred for 4 hours. This was poured into 1 L of cold water and extracted twice with dichloromethane. 20 g of this extract, 300 mL of dichloromethane, and 4.8 g of triethylamine were placed in a flask and cooled to -30°C. 2.8 g of methacryloyl chloride was added and stirred for 2 hours. This was poured into 300 mL of cold water, extracted twice with dichloromethane, and the solvent was concentrated to obtain 16.1 g of acetyl xylose methacrylate. The structure of the obtained acetyl xylose methacrylate is as follows.

(アセチルキシロースメタクリレート-スチレン-グリシジルメタクリレート-イソステアリルアクリレートランダムコポリマーの合成)
撹拌装置、コンデンサー、温度計を備えたガラスフラスコに、溶媒としてメチルエチルケトン25gを加え、窒素気流中、撹拌しながら75℃に昇温した。ついで、スチレン5g、アセチルキシロースメタクリレート35g、グリシジルメタクリレート5g、イソステアリルアクリレート5g及び重合開始剤として2,2’-アゾビスイソ酪酸ジメチル0.15gをメチルエチルケトン25gに溶解させた滴下液を滴下装置にセットし、フラスコ内を75℃に保ちながら3時間かけて滴下した。滴下終了後、75℃で6時間撹拌した。反応終了後、減圧下で溶媒を留去することにより、コポリマー1を得た。得られたコポリマー1の数平均分子量は54,000であり、重量平均分子量は112,700であった。
得られたコポリマー1の構造は以下の通りである。
(Synthesis of acetyl xylose methacrylate-styrene-glycidyl methacrylate-isostearyl acrylate random copolymer)
25 g of methyl ethyl ketone was added as a solvent to a glass flask equipped with a stirrer, a condenser, and a thermometer, and the temperature was raised to 75° C. while stirring in a nitrogen stream. Next, a dropping solution prepared by dissolving 5 g of styrene, 35 g of acetyl xylose methacrylate, 5 g of glycidyl methacrylate, 5 g of isostearyl acrylate, and 0.15 g of dimethyl 2,2'-azobisisobutyrate as a polymerization initiator in 25 g of methyl ethyl ketone was set in the dropping device. The mixture was added dropwise over 3 hours while keeping the inside of the flask at 75°C. After the dropwise addition was completed, the mixture was stirred at 75°C for 6 hours. After the reaction was completed, the solvent was distilled off under reduced pressure to obtain Copolymer 1. The number average molecular weight of the obtained copolymer 1 was 54,000, and the weight average molecular weight was 112,700.
The structure of the obtained copolymer 1 is as follows.

(式中、lは51であり、mは24であり、nは18であり、pは8である。) (In the formula, l is 51, m is 24, n is 18, and p is 8.)

[コポリマー2の合成]
(アセチルキシロースメタクリレート-スチレン-グリシジルメタクリレート-デシルメタクリレートランダムコポリマーの合成)
撹拌装置、コンデンサー、温度計を備えたガラスフラスコに、溶媒としてメチルエチルケトン25gを加え、窒素気流中、撹拌しながら75℃に昇温した。ついで、スチレン5g、アセチルキシロースメタクリレート35g、グリシジルメタクリレート5g、デシルメタクリレート5g及び重合開始剤として2,2’-アゾビスイソ酪酸ジメチル0.15gをメチルエチルケトン25gに溶解させた滴下液を滴下装置にセットし、フラスコ内を75℃に保ちながら3時間かけて滴下した。滴下終了後、75℃で6時間撹拌した。反応終了後、減圧下で溶媒を留去することにより、コポリマー2を得た。得られたコポリマー2の数平均分子量は52,000であり、重量平均分子量は104,100であった。
得られたコポリマー2の構造は以下の通りである。
[Synthesis of copolymer 2]
(Synthesis of acetyl xylose methacrylate-styrene-glycidyl methacrylate-decyl methacrylate random copolymer)
25 g of methyl ethyl ketone was added as a solvent to a glass flask equipped with a stirrer, a condenser, and a thermometer, and the temperature was raised to 75° C. while stirring in a nitrogen stream. Next, a dropping solution prepared by dissolving 5 g of styrene, 35 g of acetyl xylose methacrylate, 5 g of glycidyl methacrylate, 5 g of decyl methacrylate, and 0.15 g of dimethyl 2,2'-azobisisobutyrate as a polymerization initiator in 25 g of methyl ethyl ketone was set in the dropping device, and the flask was placed in a dropping device. The mixture was added dropwise over a period of 3 hours while maintaining the inside at 75°C. After the dropwise addition was completed, the mixture was stirred at 75°C for 6 hours. After the reaction was completed, the solvent was distilled off under reduced pressure to obtain copolymer 2. The number average molecular weight of the obtained copolymer 2 was 52,000, and the weight average molecular weight was 104,100.
The structure of the obtained copolymer 2 is as follows.

(式中、lは49であり、mは23であり、nは17であり、pは11である。) (In the formula, l is 49, m is 23, n is 17, and p is 11.)

[コポリマー3の合成]
(アセチルキシロトリオースメタクリレート-スチレン-グリシジルメタクリレート-ドコシルアクリレートランダムコポリマーの合成)
撹拌装置、コンデンサー、温度計を備えたガラスフラスコに、溶媒としてメチルエチルケトン25gを加え、窒素気流中、撹拌しながら75℃に昇温した。ついで、スチレン5g、アセチルキシロトリオースメタクリレート35g、グリシジルメタクリレート5g、ドコシルアクリレート5g及び重合開始剤として2,2’-アゾビスイソ酪酸ジメチル0.15gをメチルエチルケトン25gに溶解させた滴下液を滴下装置にセットし、フラスコ内を75℃に保ちながら3時間かけて滴下した。滴下終了後、75℃で6時間撹拌した。反応終了後、減圧下で溶媒を留去することにより、コポリマー3を得た。得られたコポリマー3の数平均分子量は48,000であり、重量平均分子量は97,200であった。
得られたコポリマー3の構造は以下の通りである。
[Synthesis of copolymer 3]
(Synthesis of acetyl xylotriose methacrylate-styrene-glycidyl methacrylate-docosyl acrylate random copolymer)
25 g of methyl ethyl ketone was added as a solvent to a glass flask equipped with a stirrer, a condenser, and a thermometer, and the temperature was raised to 75° C. while stirring in a nitrogen stream. Next, a dropping solution prepared by dissolving 5 g of styrene, 35 g of acetyl xylotriose methacrylate, 5 g of glycidyl methacrylate, 5 g of docosyl acrylate, and 0.15 g of dimethyl 2,2'-azobisisobutyrate as a polymerization initiator in 25 g of methyl ethyl ketone was set in the dropping device. The mixture was added dropwise over 3 hours while keeping the inside of the flask at 75°C. After the dropwise addition was completed, the mixture was stirred at 75°C for 6 hours. After the reaction was completed, the solvent was distilled off under reduced pressure to obtain copolymer 3. The number average molecular weight of the obtained copolymer 3 was 48,000, and the weight average molecular weight was 97,200.
The structure of the obtained copolymer 3 is as follows.

(式中、lは32であり、mは34であり、nは25であり、pは9である。) (In the formula, l is 32, m is 34, n is 25, and p is 9.)

[コポリマー4の合成]
(アセチルキシロースメタクリレート-スチレン-グリシジルメタクリレート-ポリ(エチレングリコール)メタクリレートランダムコポリマーの合成)
撹拌装置、コンデンサー、温度計を備えたガラスフラスコに、溶媒としてメチルエチルケトン25gを加え、窒素気流中、撹拌しながら75℃に昇温した。ついで、スチレン5g、アセチルキシロースメタクリレート35g、グリシジルメタクリレート5g、ポリエチレングリコールモノメタクリレート5g及び重合開始剤として2,2’-アゾビスイソ酪酸ジメチル0.5gをメチルエチルケトン25gに溶解させた滴下液を滴下装置にセットし、フラスコ内を75 ℃に保ちながら3時間かけて滴下した。滴下終了後、75℃で6時間撹拌した。反応終了後、減圧下で溶媒を留去することにより、コポリマー4を得た。得られたコポリマー4の数平均分子量は31,000であり、重量平均分子量は58,200であった。
得られたコポリマー4の構造は以下の通りである。
[Synthesis of copolymer 4]
(Synthesis of acetyl xylose methacrylate-styrene-glycidyl methacrylate-poly(ethylene glycol) methacrylate random copolymer)
25 g of methyl ethyl ketone was added as a solvent to a glass flask equipped with a stirrer, a condenser, and a thermometer, and the temperature was raised to 75° C. while stirring in a nitrogen stream. Next, a dropping solution prepared by dissolving 5 g of styrene, 35 g of acetyl xylose methacrylate, 5 g of glycidyl methacrylate, 5 g of polyethylene glycol monomethacrylate, and 0.5 g of dimethyl 2,2'-azobisisobutyrate as a polymerization initiator in 25 g of methyl ethyl ketone was set in the dropping device. The mixture was added dropwise over 3 hours while keeping the inside of the flask at 75°C. After the dropwise addition was completed, the mixture was stirred at 75°C for 6 hours. After the reaction was completed, the solvent was distilled off under reduced pressure to obtain copolymer 4. The number average molecular weight of the obtained copolymer 4 was 31,000, and the weight average molecular weight was 58,200.
The structure of the obtained copolymer 4 is as follows.

(式中、lは52であり、mは25であり、nは18であり、pは5である。) (In the formula, l is 52, m is 25, n is 18, and p is 5.)

[コポリマー5の合成]
(アセチルキシロースメタクリレート-スチレン-グリシジルメタクリレート-2-エチルヘキシルメタクリレートランダムコポリマーの合成)
撹拌装置、コンデンサー、温度計を備えたガラスフラスコに、溶媒としてメチルエチルケトン25gを加え、窒素気流中、撹拌しながら75℃に昇温した。ついで、スチレン5g、アセチルキシロースメタクリレート35g、グリシジルメタクリレート5g、2-エチルヘキシルメタクリレート5g及び重合開始剤として2,2’-アゾビスイソ酪酸ジメチル0.3gをメチルエチルケトン25gに溶解させた滴下液を滴下装置にセットし、フラスコ内を75 ℃に保ちながら3時間かけて滴下した。滴下終了後、75℃で6時間撹拌した。反応終了後、減圧下で溶媒を留去することにより、コポリマー5を得た。得られたコポリマー5の数平均分子量48,000であり、重量平均分子量は108,100であった。
得られたコポリマー5の構造は以下の通りである。
[Synthesis of copolymer 5]
(Synthesis of acetyl xylose methacrylate-styrene-glycidyl methacrylate-2-ethylhexyl methacrylate random copolymer)
25 g of methyl ethyl ketone was added as a solvent to a glass flask equipped with a stirrer, a condenser, and a thermometer, and the temperature was raised to 75° C. while stirring in a nitrogen stream. Next, a dropping solution prepared by dissolving 5 g of styrene, 35 g of acetyl xylose methacrylate, 5 g of glycidyl methacrylate, 5 g of 2-ethylhexyl methacrylate, and 0.3 g of dimethyl 2,2'-azobisisobutyrate as a polymerization initiator in 25 g of methyl ethyl ketone was set in the dropping device. The mixture was added dropwise over 3 hours while keeping the inside of the flask at 75°C. After the dropwise addition was completed, the mixture was stirred at 75°C for 6 hours. After the reaction was completed, the solvent was distilled off under reduced pressure to obtain copolymer 5. The number average molecular weight of the obtained copolymer 5 was 48,000, and the weight average molecular weight was 108,100.
The structure of the obtained copolymer 5 is as follows.

(式中、lは48であり、mは23であり、nは17であり、pは12である。) (In the formula, l is 48, m is 23, n is 17, and p is 12.)

[コポリマー6の合成]
(アセチルグルコースメタクリレートの合成)
コポリマー1のアセチルキシロースメタクリレートの合成にて、キシロース20gをD-(+)-グルコース24gに変更した以外は同様の方法にて合成を行い、アセチルグルコースメタクリレートを20.1g得た。アセチルグルコースメタクリレートの構造は以下の通りである。
[Synthesis of copolymer 6]
(Synthesis of acetyl glucose methacrylate)
Synthesis was carried out in the same manner as in the synthesis of acetyl xylose methacrylate of Copolymer 1, except that 20 g of xylose was changed to 24 g of D-(+)-glucose, and 20.1 g of acetyl glucose methacrylate was obtained. The structure of acetyl glucose methacrylate is as follows.

(アセチルグルコースメタクリレート-スチレン-ジブチレングリコールジメタクリレートランダムコポリマーの合成)
撹拌装置、コンデンサー、温度計を備えたガラスフラスコに、溶媒としてメチルエチルケトン25gを加え、窒素気流中、撹拌しながら75℃に昇温した。ついで、スチレン17.5g、アセチルグルコースメタクリレート20g、ジブチレングリコールジメタクリレート12.5g及び重合開始剤として2,2’-アゾビスイソ酪酸ジメチル0.5gをメチルエチルケトン25gに溶解させた滴下液を滴下装置にセットし、フラスコ内を75℃に保ちながら3時間かけて滴下した。滴下終了後、75℃で6時間撹拌した。反応終了後、減圧下で溶媒を留去することにより、コポリマー6を得た。得られたコポリマー6の数平均分子量は49,000であり、重量平均分子量は99,400であった。
得られたコポリマー6の構造は以下の通りである。
(Synthesis of acetyl glucose methacrylate-styrene-dibutylene glycol dimethacrylate random copolymer)
25 g of methyl ethyl ketone was added as a solvent to a glass flask equipped with a stirrer, a condenser, and a thermometer, and the temperature was raised to 75° C. while stirring in a nitrogen stream. Next, a dropping solution prepared by dissolving 17.5 g of styrene, 20 g of acetyl glucose methacrylate, 12.5 g of dibutylene glycol dimethacrylate, and 0.5 g of dimethyl 2,2'-azobisisobutyrate as a polymerization initiator in 25 g of methyl ethyl ketone was set in the dropping device. The mixture was added dropwise over 3 hours while keeping the inside of the flask at 75°C. After the dropwise addition was completed, the mixture was stirred at 75°C for 6 hours. After the reaction was completed, the solvent was distilled off under reduced pressure to obtain copolymer 6. The number average molecular weight of the obtained copolymer 6 was 49,000, and the weight average molecular weight was 99,400.
The structure of the obtained copolymer 6 is as follows.

(式中、lは22であり、mは63であり、nは16であり、qは16である。) (In the formula, l is 22, m is 63, n is 16, and q is 16.)

[コポリマー7の合成]
(アセチルキシロースメタクリレート-スチレンランダムコポリマーの合成)
撹拌装置、コンデンサー、温度計を備えたガラスフラスコに、溶媒としてメチルエチルケトン25gを加え、窒素気流中、撹拌しながら75℃に昇温した。ついで、スチレン25g、アセチルキシロースメタクリレート25g及び重合開始剤として2,2’-アゾビスイソ酪酸ジメチル0.15gをメチルエチルケトン25gに溶解させた滴下液を滴下装置にセットし、フラスコ内を75℃に保ちながら3時間かけて滴下した。滴下終了後、75℃で6時間撹拌した。反応終了後、減圧下で溶媒を留去することにより、コポリマー7を得た。得られたコポリマー7の数平均分子量は47,000であり、重量平均分子量は91,800であった。
得られたコポリマー7の構造は以下の通りである。
[Synthesis of copolymer 7]
(Synthesis of acetyl xylose methacrylate-styrene random copolymer)
25 g of methyl ethyl ketone was added as a solvent to a glass flask equipped with a stirrer, a condenser, and a thermometer, and the temperature was raised to 75° C. while stirring in a nitrogen stream. Next, a dropping solution prepared by dissolving 25 g of styrene, 25 g of acetyl xylose methacrylate, and 0.15 g of dimethyl 2,2'-azobisisobutyrate as a polymerization initiator in 25 g of methyl ethyl ketone was set in the dropping device, and the mixture was heated for 3 hours while keeping the inside of the flask at 75°C. It dripped over time. After the dropwise addition was completed, the mixture was stirred at 75°C for 6 hours. After the reaction was completed, the solvent was distilled off under reduced pressure to obtain copolymer 7. The number average molecular weight of the obtained copolymer 7 was 47,000, and the weight average molecular weight was 91,800.
The structure of the obtained copolymer 7 is as follows.

(式中、lは23であり、mは77である。) (In the formula, l is 23 and m is 77.)

[コポリマーの分析]
(単位(l):単位(m):単位(n)の比率)
1H-NMRにより、コポリマーの単位(l)と単位(m):単位(n)の比率(質量比)を求めて、算出した。具体的には、ポリマー10mg秤量、重クロロホルム1mLに溶解してNMR用溶液を調製し、得られた溶液をNMRサンプルチューブ(関東化学社)に移し、FT-NMR(JNM-ECZ600R:JEOL社)により1H-NMR測定を行った。尚、1H-NMR測定の際に内部標準物質としてはテトラメチルシラン(TMS)を使用した。
[Analysis of copolymer]
(Ratio of unit (l): unit (m): unit (n))
The ratio (mass ratio) of units (l) and units (m) to units (n) of the copolymer was determined and calculated by 1H-NMR. Specifically, 10 mg of polymer was weighed and dissolved in 1 mL of deuterated chloroform to prepare a solution for NMR, and the obtained solution was transferred to an NMR sample tube (Kanto Kagaku Co., Ltd.), and FT-NMR (JNM-ECZ600R: JEOL Co., Ltd.) 1H-NMR measurement was carried out. Note that tetramethylsilane (TMS) was used as an internal standard substance during 1 H-NMR measurement.

[膜密度の測定]
薄膜の膜厚測定には、X 線反射率測定方法(X-ray Reflectivity:以下、単に「XRR」という) を使用した。
本手法は、X 線を物質表面から1度以下のすれすれに入射させ、その入射角度に対するX 線反射率の依存性を調べることで、膜厚、密度、表面や界面のラフネスといった膜構造パラメータを求めるものである。
実際の解析では、膜構造モデルに基づき膜厚、密度、界面ラフネス等をパラメータとしてシミュレーションを行なったプロファイル(計算データ)と、測定で得られたプロファイル(測定データ)の差が最小となるよう、ソフトウェアを用いた最小二乗法により各パラメータを最適化し膜構造パラメータの値を確定する。
参考文献:非特許文献、“薄膜X 線測定法基礎講座第5 回X 線反射率測定”、 八坂美穂:リガクジャーナル vol.40, no.2,( 2009) 1-9
[Measurement of film density]
An X-ray reflectivity measurement method (hereinafter simply referred to as "XRR") was used to measure the thickness of the thin film.
This method allows X-rays to be incident on a material surface within 1 degree or less, and by examining the dependence of X-ray reflectance on the incident angle, film structure parameters such as film thickness, density, and roughness of surfaces and interfaces can be determined. It is something to seek.
In the actual analysis, the difference between the profile (calculated data) simulated using film thickness, density, interface roughness, etc. as parameters based on the film structure model and the profile obtained by measurement (measured data) is minimized. Each parameter is optimized by the least squares method using software and the value of the membrane structure parameter is determined.
References: Non-patent literature, “Thin Film X-ray Measurement Basic Course 5th X-ray Reflectance Measurement”, Miho Yasaka: Rigaku Journal vol. 40, no. 2, (2009) 1-9

実際の膜厚測定は以下の手順で行った。
(1)試料の準備
共重合体20mgをPGMEA1mLに溶解し、2インチのシリコンウエハー上に膜厚50nmとなるようスピンコーティングした。コーティングした後、ホットプレート上で210℃2分間焼成することで測定サンプルを作成した。
(2)膜厚測定
XRR測定には、Bruker社 D8 DISCOVER装置を用いた。安定した測定値を得るため装置全体は22.5°Cの恒温室内に設置されている。
線源としてCuKa線 を使用し、鏡面反射強度の入射角依存性を測定した。装置における出力は50kV、30mA、走査角度の分解能は0.0002度である。また、鏡面反射強度の測定範囲は検出角度0.6度とした(試料への入射角度0.3度)。
測定したプロファイルは,非線形最小自乗フィッティングにより,厚さ・密度をパラメータとして収束解を求めた。
Actual film thickness measurement was performed using the following procedure.
(1) Preparation of sample 20 mg of the copolymer was dissolved in 1 mL of PGMEA and spin-coated onto a 2-inch silicon wafer to a thickness of 50 nm. After coating, a measurement sample was prepared by baking at 210° C. for 2 minutes on a hot plate.
(2) Film Thickness Measurement A Bruker D8 DISCOVER device was used for XRR measurement. In order to obtain stable measurement values, the entire apparatus was installed in a constant temperature room at 22.5°C.
Using CuKa radiation as a radiation source, the dependence of the specular reflection intensity on the angle of incidence was measured. The output of the device is 50 kV and 30 mA, and the scanning angle resolution is 0.0002 degrees. Further, the measurement range of specular reflection intensity was set to a detection angle of 0.6 degrees (incident angle to the sample was 0.3 degrees).
A converged solution for the measured profile was obtained using nonlinear least squares fitting using thickness and density as parameters.

[溶液サンプルの調製]
各ポリマー3wt%、重合触媒のp-トルエンスルホン酸0.3wt%となるようPGMEAで調整し、各実施例及び比較例のポリマー溶液サンプルを得た。
[Preparation of solution sample]
Polymer solution samples of each example and comparative example were obtained by adjusting with PGMEA so that each polymer contained 3 wt% and p-toluenesulfonic acid as a polymerization catalyst 0.3 wt%.

[金属導入率の評価]
得られたポリマー溶液サンプルを2インチのシリコンウエハー基板上にスピンコーティングした。膜厚が300nmとなるように塗布した後、ホットプレート上において230℃で5分間焼成し、ポリマー成膜サンプルを形成した。
[Evaluation of metal introduction rate]
The resulting polymer solution sample was spin coated onto a 2 inch silicon wafer substrate. After coating to a film thickness of 300 nm, it was baked on a hot plate at 230° C. for 5 minutes to form a polymer film sample.

このようにして形成したポリマー成膜サンプルを、ALD(原子層堆積装置:PICUSAN社製 SUNALE R-100B)に入れ、95℃にてTMA(トリメチルアルミニウム、Al(CH3)3)ガスを300秒導入した後、水蒸気を150秒導入した。この操作を3回繰り返すことで、コポリマー成膜サンプルにAl23を導入した。 The polymer film sample formed in this way was placed in ALD (atomic layer deposition apparatus: SUNALE R-100B manufactured by PICUSAN), and TMA (trimethylaluminum, Al(CH3)3) gas was introduced for 300 seconds at 95°C. After that, water vapor was introduced for 150 seconds. By repeating this operation three times, Al 2 O 3 was introduced into the copolymer film-formed sample.

Al23導入後のコポリマー成膜サンプルを、XPS装置(Thermo Fisher Scientific社製 Nexsa XPS System )にてXPS分析(X線光電子分光分析)で膜厚方向でのAl元素の濃度プロファイルを得た。 After introducing Al 2 O 3 , the copolymer film sample was subjected to XPS analysis (X-ray photoelectron spectroscopy) using an XPS device (Nexsa XPS System manufactured by Thermo Fisher Scientific) to obtain the Al element concentration profile in the film thickness direction. .

Al23導入後のポリマーサンプルの膜厚は、サンプル表面にピンセットで傷をつけてシリコン基板表面を露出させることで段差を形成し、この段差部分を触診式段差計(株式会社小坂製作所製 型番:ET-4000)にて測定することで求めた。 The film thickness of the polymer sample after introduction of Al 2 O 3 can be measured by scratching the sample surface with tweezers to expose the silicon substrate surface to form a step. It was determined by measuring with a model number: ET-4000).

最大金属含有率は、15%以上が好ましく、20%以上であることがより好ましく、25%以上であることが特に好ましい。 The maximum metal content is preferably 15% or more, more preferably 20% or more, and particularly preferably 25% or more.

[下層膜エッチング選択比測定用サンプルの作製]
得られたポリマー溶液サンプルを、シリコン酸化膜(膜厚2um)付2インチのシリコンウエハー基板上にスピンコーティングした。膜厚が300nmとなるように塗布した後、ホットプレート上で230℃1分間焼成し、下層膜サンプルを形成した。
[Preparation of sample for lower layer film etching selectivity measurement]
The obtained polymer solution sample was spin-coated onto a 2-inch silicon wafer substrate with a silicon oxide film (film thickness: 2 um). After coating to a film thickness of 300 nm, it was baked on a hot plate at 230° C. for 1 minute to form a lower layer film sample.

ArFエキシマレーザー露光機にてラインアンドスペース(ライン幅100nm、スペース幅100nm)の形状となるようにマスクし、市販のArFレジストを用いて露光を行った。その後、ホットプレート上において105℃で1分間焼成した後、現像液を浸漬することで、ラインアンドスペースパターンを作製した。 It was masked in a line-and-space (line width 100 nm, space width 100 nm) shape using an ArF excimer laser exposure machine, and exposure was performed using a commercially available ArF resist. Thereafter, the film was baked on a hot plate at 105° C. for 1 minute, and then immersed in a developer to form a line-and-space pattern.

次にこのパターンサンプルを、ICPプラズマエッチング装置(東京エレクトロン社製)にて、基板を酸素プラズマ処理(100sccm、4Pa、100W、60秒間)することで、フォトレジストが除去され、下層膜にラインアンドスペースパターンが形成された。その後、コポリマーの金属導入率の評価と同様にして、下層膜サンプルに金属導入した。このパターンをマスクとして、六フッ化エタン(C26)とArガスを使用しICPプラズマエッチング装置(東京エレクトロン社製)でプラズマ処理(100sccm、0.4Pa、200W、120秒間)を行ないシリコン酸化膜のドライエッチング加工を行った。 Next, this pattern sample is subjected to oxygen plasma treatment (100 sccm, 4 Pa, 100 W, 60 seconds) on the substrate using an ICP plasma etching device (manufactured by Tokyo Electron), thereby removing the photoresist and forming lines and lines on the underlying film. A space pattern was formed. Thereafter, metal was introduced into the lower film sample in the same manner as in the evaluation of the metal introduction rate of the copolymer. Using this pattern as a mask, plasma treatment (100 sccm, 0.4 Pa, 200 W, 120 seconds) was performed using an ICP plasma etching device (manufactured by Tokyo Electron) using hexafluoroethane (C 2 F 6 ) and Ar gas to remove silicon. The oxide film was dry etched.

[エッチング選択比の評価]
六フッ化エタン(C26)とArガスを使用したプラズマ処理前後の基板のパターン形成されている断面を走査型電子顕微鏡(SEM)JSM7800F(日本電子製)で、加速電圧1.5kV、エミッション電流37.0μA、倍率100,000倍で観察し、それぞれ金属導入されたコポリマー膜の厚みと、シリコン酸化膜部へ加工された深さを測定した。エッチング選択比は、
シリコン酸化膜への加工深さ/(処理前コポリマー膜厚-処理後コポリマー膜厚)
により計算した。エッチング選択比は大きいのが好ましく、具体的には、2.5より大きいことが好ましく、3以上がさらに好ましく、5以上が特に好ましい。上記状況の下、下記基準にて評価を行った結果を表1に示す。
○:エッチング選択比が2以上であるもの
X:エッチング選択比が2未満であるもの
[Evaluation of etching selectivity]
The patterned cross section of the substrate before and after plasma treatment using hexafluoroethane (C 2 F 6 ) and Ar gas was examined using a scanning electron microscope (SEM) JSM7800F (manufactured by JEOL Ltd.) at an accelerating voltage of 1.5 kV. Observation was made at an emission current of 37.0 μA and a magnification of 100,000 times, and the thickness of the copolymer film into which the metal was introduced and the depth of processing into the silicon oxide film were measured. Etching selection ratio is
Machining depth into silicon oxide film/(copolymer film thickness before treatment - copolymer film thickness after treatment)
Calculated by. The etching selectivity ratio is preferably large, specifically preferably larger than 2.5, more preferably 3 or more, particularly preferably 5 or more. Table 1 shows the results of evaluation based on the following criteria under the above circumstances.
○: Etching selection ratio is 2 or more X: Etching selection ratio is less than 2

表1に示したとおり、各実施例の下層膜形成用組成物においては、大気下でかつ比較的低温での下層膜残存率が高い下層膜を形成できた。なお、いずれの実施例においても高い金属導入率となり、エッチング加工性が良好であった。 As shown in Table 1, with the composition for forming a lower layer film of each Example, a lower layer film with a high residual rate of the lower layer film could be formed in the atmosphere and at a relatively low temperature. Note that in all Examples, the metal introduction rate was high and the etching processability was good.

一方、比較例1及び2においては金属導入率が極めて小さく、エッチング加工性が良好ではなかった。比較例3については、下層膜残存率が低く、さらに下層膜残存率が低く、かつエッチング加工性にも劣る結果であった。 On the other hand, in Comparative Examples 1 and 2, the metal introduction rate was extremely small and the etching processability was not good. Regarding Comparative Example 3, the lower layer film residual rate was low, the lower layer film residual rate was further low, and the etching processability was also poor.

10 基板
20 下層膜
10 Substrate 20 Lower layer film

Claims (9)

コポリマー及び有機溶剤を含み、パターン形成に用いる下層膜形成用組成物であって、
前記コポリマーが、
(a)糖誘導体に由来する単位と、
(b)光反射防止機能を有する化合物に由来する単位と、
(c)前記コポリマーをクロスカップリングし得る化合物に由来する単位と、
(d)下層膜へのガス透過を促進する官能基を有する単位とを含み、
前記(a)糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種であり、
前記(b)光反射防止機能を有する化合物に由来する単位は、芳香族環含有化合物に由来する単位であり、
前記(d)下層膜へのガス透過を促進する官能基を有する単位は、C10以上の脂肪族アルコール又はC10以上のアルコキシポリアルキレングリコールと(メタ)アクリル酸とのエステルに由来する単位であり、
前記下層膜形成用組成物は金属導入用である、下層膜形成用組成物。
An underlayer film forming composition used for pattern formation, comprising a copolymer and an organic solvent,
The copolymer is
(a) a unit derived from a sugar derivative;
(b) a unit derived from a compound having an antireflection function;
(c) a unit derived from a compound capable of cross-coupling the copolymer;
(d) a unit having a functional group that promotes gas permeation to the underlying film;
The unit derived from the sugar derivative (a) is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative,
The unit (b) derived from a compound having an antireflection function is a unit derived from an aromatic ring-containing compound,
(d) The unit having a functional group that promotes gas permeation to the lower film is a unit derived from an ester of a C10 or higher aliphatic alcohol or a C10 or higher alkoxy polyalkylene glycol and (meth)acrylic acid,
The composition for forming a lower layer film is for introducing a metal.
前記(b)光反射防止機能を有する化合物に由来する単位が、ベンゼン環含有化合物に由来する単位及びナフタレン環含有化合物に由来する単位からなる群より選択される少なくとも一種である、請求項1に記載の下層膜形成用組成物。 Claim 1, wherein the (b) unit derived from a compound having an antireflection function is at least one type selected from the group consisting of a unit derived from a benzene ring-containing compound and a unit derived from a naphthalene ring-containing compound. The composition for forming a lower layer film as described above. 前記(a)糖誘導体に由来する単位が、セルロース誘導体に由来する単位、ヘミセルロース誘導体に由来する単位及びキシロオリゴ糖誘導体に由来する単位からなる群より選択される少なくとも一種である、請求項1又は2に記載の下層膜形成用組成物。 Claim 1 or 2 , wherein the (a) unit derived from a sugar derivative is at least one selected from the group consisting of a unit derived from a cellulose derivative, a unit derived from a hemicellulose derivative, and a unit derived from a xylooligosaccharide derivative. A composition for forming a lower layer film as described in . 請求項1~のいずれか1項に記載の下層膜形成用組成物を用いて下層膜を形成する工程を含むパターン形成方法。 A pattern forming method comprising the step of forming a lower layer film using the composition for forming a lower layer film according to any one of claims 1 to 3 . 前記下層膜に金属を導入する工程を含む請求項に記載のパターン形成方法。 5. The pattern forming method according to claim 4 , including the step of introducing metal into the lower layer film. (a)糖誘導体に由来する単位と、
(b)光反射防止機能を有する化合物に由来する単位と、
(c)コポリマーをクロスカップリングし得る化合物に由来する単位と、
(d)下層膜へのガス透過を促進する官能基を有する単位とを含み、
前記(a)糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種であり、
前記(b)光反射防止機能を有する化合物に由来する単位は、芳香族環含有化合物に由来する単位であり、
前記(d)下層膜へのガス透過を促進する官能基を有する単位は、C10以上の脂肪族アルコール又はC10以上のアルコキシポリアルキレングリコールと(メタ)アクリル酸とのエステルに由来する単位である、
コポリマー。
(a) a unit derived from a sugar derivative;
(b) a unit derived from a compound having an antireflection function;
(c ) a unit derived from a compound capable of cross-coupling the copolymer ;
(d) a unit having a functional group that promotes gas permeation to the underlying film;
The unit derived from the sugar derivative (a) is at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative,
The unit (b) derived from a compound having an antireflection function is a unit derived from an aromatic ring-containing compound,
(d) The unit having a functional group that promotes gas permeation to the lower film is a unit derived from an ester of a C10 or higher aliphatic alcohol or a C10 or higher alkoxy polyalkylene glycol and (meth)acrylic acid.
copolymer.
前記(b)光反射防止機能を有する化合物に由来する単位が、ベンゼン環含有化合物に由来する単位からなる群より選択される少なくとも一種である、請求項に記載のコポリマー。 7. The copolymer according to claim 6 , wherein the unit derived from the compound having an antireflection function (b) is at least one type selected from the group consisting of units derived from benzene ring-containing compounds. 前記(a)糖誘導体に由来する単位が、セルロース誘導体に由来する単位、ヘミセルロース誘導体に由来する単位及びキシロオリゴ糖誘導体に由来する単位からなる群より選択される少なくとも一種である、請求項6又は7に記載のコポリマー。 Claim 6 or 7 , wherein the (a) unit derived from a sugar derivative is at least one type selected from the group consisting of a unit derived from a cellulose derivative, a unit derived from a hemicellulose derivative, and a unit derived from a xylooligosaccharide derivative. Copolymers described in. (a)重合性不和飽和基を有する糖誘導体、(b)光反射防止機能を有する重合性単量体、(c)クロスカップリング基を有する重合性単量体及び(d)下層膜へのガス透過を促進する官能基を有する重合性単量体を重合する工程を含むコポリマーの製造方法であって、
前記(a)重合性不和飽和基を有する糖誘導体が、重合性不和飽和基を有するペントース誘導体及び重合性不和飽和基を有するヘキソース誘導体から選択される少なくとも一種であり、
前記(b)光反射防止機能を有する重合性単量体は、芳香族環含有化合物に由来する重合性単量体であり、
前記(d)下層膜へのガス透過を促進する官能基を有する重合性単量体は、C10以上の脂肪族アルコール又はC10以上のアルコキシポリアルキレングリコールと(メタ)アクリル酸とのエステルに由来する重合性単量体である、方法。
(a) A sugar derivative having a polymerizable unsaturated saturated group, (b) A polymerizable monomer having an antireflection function, (c) A polymerizable monomer having a cross-coupling group, and (d) To the lower layer film. A method for producing a copolymer comprising the step of polymerizing a polymerizable monomer having a functional group that promotes gas permeation of
The sugar derivative (a) having a polymerizable unsaturated group is at least one selected from a pentose derivative having a polymerizable unsaturated group and a hexose derivative having a polymerizable unsaturated group,
The polymerizable monomer having an antireflection function (b) is a polymerizable monomer derived from an aromatic ring-containing compound,
(d) The polymerizable monomer having a functional group that promotes gas permeation to the lower membrane is derived from an ester of a C10 or higher aliphatic alcohol or a C10 or higher alkoxy polyalkylene glycol and (meth)acrylic acid. A method in which the polymerizable monomer is a polymerizable monomer .
JP2020045512A 2020-03-16 2020-03-16 Composition for forming lower layer film, method for forming pattern, method for producing copolymer and composition for forming lower layer film Active JP7341932B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020045512A JP7341932B2 (en) 2020-03-16 2020-03-16 Composition for forming lower layer film, method for forming pattern, method for producing copolymer and composition for forming lower layer film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020045512A JP7341932B2 (en) 2020-03-16 2020-03-16 Composition for forming lower layer film, method for forming pattern, method for producing copolymer and composition for forming lower layer film

Publications (2)

Publication Number Publication Date
JP2021148831A JP2021148831A (en) 2021-09-27
JP7341932B2 true JP7341932B2 (en) 2023-09-11

Family

ID=77848587

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020045512A Active JP7341932B2 (en) 2020-03-16 2020-03-16 Composition for forming lower layer film, method for forming pattern, method for producing copolymer and composition for forming lower layer film

Country Status (1)

Country Link
JP (1) JP7341932B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024009993A1 (en) * 2022-07-06 2024-01-11 日産化学株式会社 Method of manufacturing laminate and method of manufacturing semiconductor element

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002207296A (en) 2000-11-08 2002-07-26 Jsr Corp Composition for resist lower layer film, method for manufacturing the same and resist lower film and method for manufacturing the same
JP2011074231A (en) 2009-09-30 2011-04-14 Jsr Corp Composition for forming silicon-containing film, silicon-containing film, and method for forming pattern
WO2019163975A1 (en) 2018-02-26 2019-08-29 王子ホールディングス株式会社 Lower layer film-forming composition, pattern forming method, copolymer, and monomer for lower layer film-forming composition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002207296A (en) 2000-11-08 2002-07-26 Jsr Corp Composition for resist lower layer film, method for manufacturing the same and resist lower film and method for manufacturing the same
JP2011074231A (en) 2009-09-30 2011-04-14 Jsr Corp Composition for forming silicon-containing film, silicon-containing film, and method for forming pattern
WO2019163975A1 (en) 2018-02-26 2019-08-29 王子ホールディングス株式会社 Lower layer film-forming composition, pattern forming method, copolymer, and monomer for lower layer film-forming composition

Also Published As

Publication number Publication date
JP2021148831A (en) 2021-09-27

Similar Documents

Publication Publication Date Title
JP7184036B2 (en) Underlayer film forming composition, pattern forming method and pattern forming underlayer film forming copolymer
JP7268672B2 (en) Underlayer film forming composition, pattern forming method, copolymer and monomer for underlayer film forming composition
JP6487942B2 (en) Antireflection coating composition and method for producing the same
JP6342993B2 (en) Composition comprising polymeric thermal acid generator and method thereof
KR101465488B1 (en) Near-Infrared Absorptive Layer-Forming Composition and Multilayer Film Comprising Near-Infrared Absorptive Layer
JP7290148B2 (en) Pattern-forming material, pattern-forming method, and pattern-forming material monomer
WO2021002351A1 (en) Pattern formation method, resist material, and pattern formation device
JP2019070151A (en) Copolymer and related layered article, and device formation method
JPWO2006070694A1 (en) Acrylic copolymer
JP7341932B2 (en) Composition for forming lower layer film, method for forming pattern, method for producing copolymer and composition for forming lower layer film
TWI610979B (en) Pattern forming method
JP7196389B2 (en) Composition for forming resist underlayer film for semiconductor, resist underlayer film, method for forming resist underlayer film, and method for producing patterned substrate
JP2021018429A (en) Method for forming pattern using antireflective coating composition including photoacid generator
US20220373886A1 (en) Resist material and pattern forming method
JP4869977B2 (en) Underlayer film composition and multilayer resist pattern forming method
WO2021002350A1 (en) Resist material and method for forming pattern
WO2023021016A2 (en) Development of novel hydrophilic pinning mat
JP2022065445A (en) Resist material, resist film, and pattern forming method
JP2007078743A (en) Protective film forming material and photoresist pattern forming method using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220602

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230411

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230601

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230815

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230830

R150 Certificate of patent or registration of utility model

Ref document number: 7341932

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150