JP7309907B2 - Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method, and electronic device manufacturing method - Google Patents

Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method, and electronic device manufacturing method Download PDF

Info

Publication number
JP7309907B2
JP7309907B2 JP2021561265A JP2021561265A JP7309907B2 JP 7309907 B2 JP7309907 B2 JP 7309907B2 JP 2021561265 A JP2021561265 A JP 2021561265A JP 2021561265 A JP2021561265 A JP 2021561265A JP 7309907 B2 JP7309907 B2 JP 7309907B2
Authority
JP
Japan
Prior art keywords
group
sensitive
radiation
mass
resin composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021561265A
Other languages
Japanese (ja)
Other versions
JPWO2021106535A1 (en
Inventor
慶 山本
健志 川端
敏明 福原
三千紘 白川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Corp
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of JPWO2021106535A1 publication Critical patent/JPWO2021106535A1/ja
Application granted granted Critical
Publication of JP7309907B2 publication Critical patent/JP7309907B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/03Ethers having all ether-oxygen atoms bound to acyclic carbon atoms
    • C07C43/04Saturated ethers
    • C07C43/13Saturated ethers containing hydroxy or O-metal groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/02Esters of acyclic saturated monocarboxylic acids having the carboxyl group bound to an acyclic carbon atom or to hydrogen
    • C07C69/12Acetic acid esters
    • C07C69/14Acetic acid esters of monohydroxylic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)

Description

本発明は、感活性光線性又は感放射線性樹脂組成物、パターン形成方法、及び、電子デバイスの製造方法に関する。 TECHNICAL FIELD The present invention relates to an actinic ray-sensitive or radiation-sensitive resin composition, a pattern forming method, and an electronic device manufacturing method.

KrFエキシマレーザー(248nm)用レジスト以降、光吸収による感度低下を補うべく、化学増幅を利用したパターン形成方法が用いられている。例えば、ポジ型の化学増幅法では、まず、露光部に含まれる光酸発生剤が、光照射により分解して酸を発生する。そして、露光後のベーク(PEB:Post Exposure Bake)過程等において、発生した酸の触媒作用により、感光性組成物に含まれるアルカリ不溶性の基をアルカリ可溶性の基に変化させる。その後、例えばアルカリ現像液を用いて、現像を行う。これにより、露光部を除去して、所望のパターンを得ることができる。 Since the resist for KrF excimer laser (248 nm), a pattern forming method using chemical amplification has been used in order to compensate for the decrease in sensitivity due to light absorption. For example, in a positive chemical amplification method, first, a photoacid generator contained in an exposed area is decomposed by light irradiation to generate an acid. In a post-exposure bake (PEB: Post Exposure Bake) process or the like, the alkali-insoluble groups contained in the photosensitive composition are converted into alkali-soluble groups by the catalytic action of the generated acid. Thereafter, development is performed using, for example, an alkaline developer. Thereby, a desired pattern can be obtained by removing the exposed portion.

半導体素子の微細化のために、露光光源の短波長化及び投影レンズの高開口数(高NA)化が進み、現在では、193nmの波長を有するArFエキシマレーザーを光源とする露光機が開発されている。解像力を更に高める技術として、投影レンズと試料との間に高屈折率の液体(以下、「液浸液」ともいう。)を満たす方法(即ち、液浸法)が挙げられる。 For the miniaturization of semiconductor devices, the wavelength of the exposure light source is shortened and the numerical aperture (NA) of the projection lens is increased. Currently, an exposure machine using an ArF excimer laser with a wavelength of 193 nm as a light source has been developed. ing. As a technique for further improving the resolution, there is a method of filling a high refractive index liquid (hereinafter also referred to as "immersion liquid") between the projection lens and the sample (that is, the immersion method).

レジスト組成物は有機溶剤を含む場合が多い。レジスト組成物に用いられる有機溶剤としては種々の化合物が用いられるが、その一例として、プロピレングリコールモノメチルエーテルアセテート(PGMEA)が挙げられる。また、PGMEAなどのアルキレングリコールモノアルキルエーテルアセテートには、α型とβ型の2種の異性体が存在することが知られている(特許文献1及び2参照)。 Resist compositions often contain an organic solvent. Various compounds are used as the organic solvent used in the resist composition, and one example thereof is propylene glycol monomethyl ether acetate (PGMEA). In addition, it is known that alkylene glycol monoalkyl ether acetate such as PGMEA has two isomers, α-type and β-type (see Patent Documents 1 and 2).

日本国特開平6-324483号公報Japanese Patent Laid-Open No. 6-324483 日本国特開2015-64605号公報Japanese Patent Application Laid-Open No. 2015-64605

レジスト組成物は、調製後に一定期間、保存状態に置かれる場合があるが、従来のレジスト組成物では、一定期間保存された後にパターン形成を行った場合に、現像欠陥(「経時後の現像欠陥」とも呼ぶ。)が発生する場合があることが分かった。また、近年、形成されるパターンの更なる微細化などにより、レジスト組成物に求められる性能が更に高くなっており、例えば、ラインウィズスラフネス(Line Width Roughness:LWR)性能に優れることが求められている。なお、LWR性能とはパターンのLWRを小さくできる性能のことを指す。特に、レジスト組成物が一定期間保存された後にパターン形成を行った場合のLWR性能(「経時後のLWR性能」とも呼ぶ。)に優れることが求められている。 A resist composition may be stored for a certain period of time after preparation, but in a conventional resist composition, when a pattern is formed after being stored for a certain period of time, development defects ("development defects after the passage of time ) may occur. Further, in recent years, due to further miniaturization of patterns to be formed, etc., the performance required of the resist composition is becoming higher. For example, excellent Line Width Roughness (LWR) performance is required. ing. Note that the LWR performance refers to the performance that can reduce the LWR of the pattern. In particular, there is a demand for excellent LWR performance (also referred to as “LWR performance after aging”) when pattern formation is performed after the resist composition has been stored for a certain period of time.

本発明の課題は、経時後の現像欠陥の発生が抑制され、かつ経時後のLWR性能に優れる感活性光線性又は感放射線性樹脂組成物、上記感活性光線性又は感放射線性樹脂組成物を用いたパターン形成方法及び電子デバイスの製造方法を提供することにある。 An object of the present invention is to provide an actinic ray-sensitive or radiation-sensitive resin composition that suppresses the occurrence of development defects after the passage of time and has excellent LWR performance after the passage of time, and the actinic ray- or radiation-sensitive resin composition. An object of the present invention is to provide a pattern forming method and an electronic device manufacturing method using the method.

上記課題を解決するための手段には、以下の態様が含まれる。
[1]
酸の作用により極性が増大する樹脂、光酸発生剤、及び下記一般式(1)で表される化合物を含有する感活性光線性又は感放射線性樹脂組成物であって、
上記酸の作用により極性が増大する樹脂は、下記式AIで表される繰り返し単位及び下記式(AII)で表される繰り返し単位の少なくとも1種を有し、
上記光酸発生剤は、スルホニウム塩化合物及びヨードニウム塩化合物の少なくとも1種であり、
上記光酸発生剤の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全固形分を基準として、2質量%以上であり、
上記一般式(1)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下である、感活性光線性又は感放射線性樹脂組成物。

Figure 0007309907000001

式AI中、Xa は、水素原子、フッ素原子以外のハロゲン原子、又は1価の有機基を表し、Tは、単結合又は2価の連結基を表し、Rx ~Rx は、それぞれ独立に、アルキル基、シクロアルキル基、アルケニル基、又はアリール基を表し、Rx ~Rx のいずれか2つが結合して環構造を形成してもよく、形成しなくてもよい。
Figure 0007309907000002

式(AII)中、
61 、R 62 及びR 63 は、各々独立に、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基、又はアルコキシカルボニル基を表す。但し、R 62 はAr と結合して環を形成していてもよく、その場合のR 62 は単結合又はアルキレン基を表す。
は、単結合、-COO-、又は-CONR 64 -を表す。R 64 は、水素原子又はアルキル基を表す。
は、単結合又はアルキレン基を表す。
Ar は、(n+1)価の芳香族炭化水素基を表し、R 62 と結合して環を形成する場合には(n+2)価の芳香族炭化水素基を表す。
は、n≧2の場合には各々独立に、水素原子又は酸の作用により脱離する基を表す。但し、Y の少なくとも1つは、酸の作用により脱離する基を表す。
nは、1~4の整数を表す。
Figure 0007309907000003

一般式(1)中、R ~R はメチル基を表す。
[2]
上記一般式(1)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上である、[1]に記載の感活性光線性又は感放射線性樹脂組成物。
[3]
上記一般式(1)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、100質量ppm以下である、[1]又は[2]に記載の感活性光線性又は感放射線性樹脂組成物。
[4]
下記一般式(2)で表される化合物を、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下含有する、[1]~[3]のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
Figure 0007309907000004

一般式(2)中、R 及びR は各々独立に炭素数1~5のアルキル基を表す。
[5]
上記一般式(2)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上である、[4]に記載の感活性光線性又は感放射線性樹脂組成物。
[6]
上記一般式(2)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、200質量ppm以下である、[4]又は[5]に記載の感活性光線性又は感放射線性樹脂組成物。
[7]
水を、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上1質量%以下含有する、[1]~[6]のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
[8]
上記水の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.01質量%以上である、[7]に記載の感活性光線性又は感放射線性樹脂組成物。
[9]
上記水の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.5質量%以下である、[7]又は[8]に記載の感活性光線性又は感放射線性樹脂組成物。
[10]
下記一般式(3)で表される化合物を含有し、上記一般式(3)で表される化合物に対する上記一般式(1)で表される化合物の含有量が、0.1質量ppm以上0.05質量%以下である、[1]~[9]のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
Figure 0007309907000005

一般式(3)中、R ~R は各々独立に炭素数1~5のアルキル基を表す。
[11]
上記一般式(3)で表される化合物に対する上記一般式(1)で表される化合物の含有量が、1質量ppm以上0.005質量%以下である、[10]に記載の感活性光線性又は感放射線性樹脂組成物。
[12]
支持体上に[1]~[11]のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物を塗布した後に、70℃~100℃で加熱することにより感活性光線性又は感放射線性膜を形成する工程、上記感活性光線性又は感放射線性膜を露光する工程、及び、露光された上記感活性光線性又は感放射線性膜を、現像液を用いて現像する工程を含むパターン形成方法。
[13]
[12]に記載のパターン形成方法を含む、電子デバイスの製造方法。
また、本明細書には参考のためその他の事項についても記載した。 Means for solving the above problems include the following aspects.
[1]
An actinic ray-sensitive or radiation-sensitive resin composition containing a resin whose polarity is increased by the action of an acid, a photoacid generator, and a compound represented by the following general formula (1),
The resin whose polarity is increased by the action of an acid has at least one repeating unit represented by the following formula AI and a repeating unit represented by the following formula (AII),
The photoacid generator is at least one of a sulfonium salt compound and an iodonium salt compound,
The content of the photoacid generator is 2% by mass or more based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition,
The content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
Figure 0007309907000001

In formula AI, Xa 1 represents a hydrogen atom, a halogen atom other than a fluorine atom, or a monovalent organic group, T represents a single bond or a divalent linking group, Rx 1 to Rx 3 are each independently represents an alkyl group, a cycloalkyl group, an alkenyl group, or an aryl group, and any two of Rx 1 to Rx 3 may or may not combine to form a ring structure.
Figure 0007309907000002

In formula (AII),
R 61 , R 62 and R 63 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an alkoxycarbonyl group. However, R62 may combine with Ar6 to form a ring, in which case R62 represents a single bond or an alkylene group.
X 6 represents a single bond, -COO- or -CONR 64 -. R64 represents a hydrogen atom or an alkyl group .
L6 represents a single bond or an alkylene group .
Ar 6 represents an (n+1)-valent aromatic hydrocarbon group, and when combined with R 62 to form a ring, represents an (n+2)-valent aromatic hydrocarbon group.
Each Y 2 independently represents a hydrogen atom or a group leaving by the action of an acid when n≧2. However, at least one of Y2 represents a group that leaves under the action of an acid.
n represents an integer of 1-4.
Figure 0007309907000003

In general formula (1), R 1 to R 3 represent methyl groups.
[2]
The sensitizer according to [1], wherein the content of the compound represented by the general formula (1) is 1 ppm by mass or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
[3]
[1] or [2], wherein the content of the compound represented by the general formula (1) is 100 ppm by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition; Actinic ray-sensitive or radiation-sensitive resin composition as described.
[4]
[1]-[ 3]. The actinic ray-sensitive or radiation-sensitive resin composition according to any one of 3].
Figure 0007309907000004

In general formula (2), R 4 and R 5 each independently represent an alkyl group having 1 to 5 carbon atoms.
[5]
The sensitizer according to [4], wherein the content of the compound represented by the general formula (2) is 1 mass ppm or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
[6]
[4] or [5], wherein the content of the compound represented by the general formula (2) is 200 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition; Actinic ray-sensitive or radiation-sensitive resin composition as described.
[7]
The sensitizer according to any one of [1] to [6], containing water in an amount of 1 ppm by mass or more and 1% by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
[8]
The actinic ray-sensitive or radiation-sensitive resin according to [7], wherein the water content is 0.01% by mass or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Composition.
[9]
The actinic ray-sensitive or according to [7] or [8], wherein the water content is 0.5% by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition A radiation-sensitive resin composition.
[10]
It contains a compound represented by the following general formula (3), and the content of the compound represented by the general formula (1) with respect to the compound represented by the general formula (3) is 0.1 ppm by mass or more and 0 The actinic ray-sensitive or radiation-sensitive resin composition according to any one of [1] to [9], which is .05% by mass or less.
Figure 0007309907000005

In general formula (3), R 6 to R 8 each independently represent an alkyl group having 1 to 5 carbon atoms.
[11]
The actinic ray-sensitive ray according to [10], wherein the content of the compound represented by the general formula (1) with respect to the compound represented by the general formula (3) is 1 ppm by mass or more and 0.005% by mass or less. sensitive or radiation sensitive resin composition.
[12]
After applying the actinic ray-sensitive or radiation-sensitive resin composition according to any one of [1] to [11] on a support, the actinic ray-sensitive or radiation-sensitive resin composition is heated at 70°C to 100°C. forming a radiation-sensitive film, exposing the actinic ray-sensitive or radiation-sensitive film, and developing the exposed actinic ray-sensitive or radiation-sensitive film with a developer. patterning methods including;
[13]
A method for manufacturing an electronic device, including the pattern forming method according to [12].
Other matters are also described herein for reference.

<1>
酸の作用により極性が増大する樹脂、光酸発生剤、及び下記一般式(1)で表される化合物を含有する感活性光線性又は感放射線性樹脂組成物であって、
上記一般式(1)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下である、感活性光線性又は感放射線性樹脂組成物。
<1>
An actinic ray-sensitive or radiation-sensitive resin composition containing a resin whose polarity is increased by the action of an acid, a photoacid generator, and a compound represented by the following general formula (1),
The content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.

一般式(1)中、R~Rは各々独立に炭素数1~5のアルキル基を表す。
<2>
上記一般式(1)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上である、<1>に記載の感活性光線性又は感放射線性樹脂組成物。
<3>
上記一般式(1)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、100質量ppm以下である、<1>又は<2>に記載の感活性光線性又は感放射線性樹脂組成物。
<4>
下記一般式(2)で表される化合物を、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下含有する、<1>~<3>のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
In general formula (1), R 1 to R 3 each independently represent an alkyl group having 1 to 5 carbon atoms.
<2>
The sensitizer according to <1>, wherein the content of the compound represented by the general formula (1) is 1 ppm by mass or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
<3>
<1> or <2>, wherein the content of the compound represented by the general formula (1) is 100 ppm by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition; Actinic ray-sensitive or radiation-sensitive resin composition as described.
<4>
<1> to < containing a compound represented by the following general formula (2) at 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition The actinic ray-sensitive or radiation-sensitive resin composition according to any one of 3>.

一般式(2)中、R及びRは各々独立に炭素数1~5のアルキル基を表す。
<5>
上記一般式(2)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上である、<4>に記載の感活性光線性又は感放射線性樹脂組成物。
<6>
上記一般式(2)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、200質量ppm以下である、<4>又は<5>に記載の感活性光線性又は感放射線性樹脂組成物。
<7>
水を、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上1質量%以下含有する、<1>~<6>のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
<8>
上記水の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.01質量%以上である、<7>に記載の感活性光線性又は感放射線性樹脂組成物。
<9>
上記水の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.5質量%以下である、<7>又は<8>に記載の感活性光線性又は感放射線性樹脂組成物。
<10>
下記一般式(3)で表される化合物を含有し、上記一般式(3)で表される化合物に対する上記一般式(1)で表される化合物の含有量が、0.1質量ppm以上0.05質量%以下である、<1>~<9>のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
In general formula (2), R 4 and R 5 each independently represent an alkyl group having 1 to 5 carbon atoms.
<5>
The sensitizer according to <4>, wherein the content of the compound represented by the general formula (2) is 1 mass ppm or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
<6>
<4> or <5>, wherein the content of the compound represented by the general formula (2) is 200 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition; Actinic ray-sensitive or radiation-sensitive resin composition as described.
<7>
The sensitizer according to any one of <1> to <6>, containing water in an amount of 1 ppm by mass or more and 1% by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
<8>
The actinic ray-sensitive or radiation-sensitive resin according to <7>, wherein the water content is 0.01% by mass or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Composition.
<9>
The actinic ray-sensitive or according to <7> or <8>, wherein the water content is 0.5% by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition A radiation-sensitive resin composition.
<10>
It contains a compound represented by the following general formula (3), and the content of the compound represented by the general formula (1) with respect to the compound represented by the general formula (3) is 0.1 ppm by mass or more and 0 The actinic ray-sensitive or radiation-sensitive resin composition according to any one of <1> to <9>, which is .05% by mass or less.

一般式(3)中、R~Rは各々独立に炭素数1~5のアルキル基を表す。
<11>
上記一般式(3)で表される化合物に対する上記一般式(1)で表される化合物の含有量が、1質量ppm以上0.005質量%以下である、<10>に記載の感活性光線性又は感放射線性樹脂組成物。
<12>
<1>~<11>のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物により感活性光線性又は感放射線性膜を形成する工程、上記感活性光線性又は感放射線性膜を露光する工程、及び、露光された上記感活性光線性又は感放射線性膜を、現像液を用いて現像する工程を含むパターン形成方法。
<13>
<12>に記載のパターン形成方法を含む、電子デバイスの製造方法。
In general formula (3), R 6 to R 8 each independently represent an alkyl group having 1 to 5 carbon atoms.
<11>
The actinic ray-sensitive light according to <10>, wherein the content of the compound represented by the general formula (1) with respect to the compound represented by the general formula (3) is 1 ppm by mass or more and 0.005% by mass or less. sensitive or radiation sensitive resin composition.
<12>
A step of forming an actinic ray-sensitive or radiation-sensitive film from the actinic ray-sensitive or radiation-sensitive resin composition according to any one of <1> to <11>, the actinic ray-sensitive or radiation-sensitive A pattern forming method comprising the steps of: exposing a film; and developing the exposed actinic ray-sensitive or radiation-sensitive film with a developer.
<13>
A method for manufacturing an electronic device, including the pattern forming method according to <12>.

本発明によれば、経時後の現像欠陥の発生が抑制され、かつ経時後のLWR性能に優れる感活性光線性又は感放射線性樹脂組成物、上記感活性光線性又は感放射線性樹脂組成物を用いたパターン形成方法及び電子デバイスの製造方法を提供することができる。 According to the present invention, an actinic ray- or radiation-sensitive resin composition that suppresses the occurrence of development defects after the passage of time and has excellent LWR performance after the passage of time, and the actinic ray- or radiation-sensitive resin composition A pattern forming method and an electronic device manufacturing method using the method can be provided.

現像欠陥の一例を示す模式図である。It is a schematic diagram which shows an example of a development defect. 現像欠陥の一例を示す模式図である。It is a schematic diagram which shows an example of a development defect.

以下において、本発明の内容について詳細に説明する。
以下に記載する構成要件の説明は、本発明の代表的な実施態様に基づいてなされることがあるが、本発明はそのような実施態様に限定されるものではない。
本明細書中における基(原子団)の表記について、置換及び無置換を記していない表記は、置換基を有さないものと共に置換基を有するものをも包含する。例えば、「アルキル基」とは、置換基を有さないアルキル基(無置換アルキル基)のみならず、置換基を有するアルキル基(置換アルキル基)をも包含する。また、本明細書中における「有機基」とは、少なくとも1個の炭素原子を含む基をいう。
The contents of the present invention will be described in detail below.
The description of the constituent elements described below may be made based on representative embodiments of the present invention, but the present invention is not limited to such embodiments.
Regarding the notation of groups (atomic groups) in the present specification, notations that do not describe substitution and unsubstituted include not only those not having substituents but also those having substituents. For example, an "alkyl group" includes not only an alkyl group having no substituent (unsubstituted alkyl group) but also an alkyl group having a substituent (substituted alkyl group). Also, the term "organic group" as used herein refers to a group containing at least one carbon atom.

また、本明細書において、「置換基を有していてもよい」というときの置換基の種類、置換基の位置、及び、置換基の数は特に限定されない。置換基の数は例えば、1つ、2つ、3つ、又はそれ以上であってもよい。置換基の例としては水素原子を除く1価の非金属原子団を挙げることができ、例えば、以下の置換基Tから選択することができる。 Moreover, in the present specification, the type of substituent, the position of the substituent, and the number of substituents are not particularly limited when it is said that it may have a substituent. The number of substituents can be, for example, one, two, three, or more. Examples of substituents include monovalent nonmetallic atomic groups excluding hydrogen atoms, and can be selected from the following substituents T, for example.

(置換基T)
置換基Tとしては、フッ素原子、塩素原子、臭素原子及びヨウ素原子等のハロゲン原子;メトキシ基、エトキシ基及びtert-ブトキシ基等のアルコキシ基;フェノキシ基及びp-トリルオキシ基等のアリールオキシ基;メトキシカルボニル基、ブトキシカルボニル基及びフェノキシカルボニル基等のアルコキシカルボニル基;アセトキシ基、プロピオニルオキシ基及びベンゾイルオキシ基等のアシルオキシ基;アセチル基、ベンゾイル基、イソブチリル基、アクリロイル基、メタクリロイル基及びメトキサリル基等のアシル基;メチルスルファニル基及びtert-ブチルスルファニル基等のアルキルスルファニル基;フェニルスルファニル基及びp-トリルスルファニル基等のアリールスルファニル基;アルキル基;シクロアルキル基;アリール基;ヘテロアリール基;水酸基;カルボキシ基;ホルミル基;スルホ基;シアノ基;アルキルアミノカルボニル基;アリールアミノカルボニル基;スルホンアミド基;シリル基;アミノ基;モノアルキルアミノ基;ジアルキルアミノ基;アリールアミノ基、ニトロ基;ホルミル基;並びにこれらの組み合わせが挙げられる。
(substituent T)
The substituent T includes halogen atoms such as a fluorine atom, a chlorine atom, a bromine atom and an iodine atom; an alkoxy group such as a methoxy group, an ethoxy group and a tert-butoxy group; an aryloxy group such as a phenoxy group and a p-tolyloxy group; alkoxycarbonyl groups such as methoxycarbonyl group, butoxycarbonyl group and phenoxycarbonyl group; acyloxy groups such as acetoxy group, propionyloxy group and benzoyloxy group; acetyl group, benzoyl group, isobutyryl group, acryloyl group, methacryloyl group and methoxalyl group, etc. Alkylsulfanyl groups such as a methylsulfanyl group and a tert-butylsulfanyl group; Arylsulfanyl groups such as a phenylsulfanyl group and a p-tolylsulfanyl group; Alkyl groups; Cycloalkyl groups; carboxy group; formyl group; sulfo group; cyano group; alkylaminocarbonyl group; arylaminocarbonyl group; sulfonamide group; silyl group; amino group; and combinations thereof.

本明細書中における「活性光線」又は「放射線」とは、例えば、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線(EUV:Extreme Ultraviolet)、X線、及び電子線(EB:Electron Beam)等を意味する。本明細書中における「光」とは、特に断らない限り、活性光線又は放射線を意味する。
本明細書中における「露光」とは、特に断らない限り、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線、X線、及びEUV等による露光のみならず、電子線、及びイオンビーム等の粒子線による露光も含む。
本明細書において、「~」とはその前後に記載される数値を下限値及び上限値として含む意味で使用される。
The term "actinic rays" or "radiation" as used herein refers to, for example, the emission line spectrum of a mercury lamp, far ultraviolet rays represented by excimer lasers, extreme ultraviolet rays (EUV: Extreme Ultraviolet), X-rays, and electron beams (EB: Electron Beam) and the like. The term "light" as used herein means actinic rays or radiation unless otherwise specified.
The term "exposure" as used herein means, unless otherwise specified, not only the emission line spectrum of a mercury lamp, far ultraviolet rays represented by excimer lasers, extreme ultraviolet rays, X-rays, and EUV exposure, but also electron beams and ion beams. It also includes exposure by particle beams such as beams.
In the present specification, the term "~" is used to include the numerical values before and after it as lower and upper limits.

本明細書において、(メタ)アクリレートはアクリレート及びメタクリレートを表すし、(メタ)アクリルはアクリル及びメタクリルを表す。
本明細書において、樹脂成分の重量平均分子量(Mw)、数平均分子量(Mn)、及び分散度(分子量分布ともいう)(Mw/Mn)は、GPC(Gel Permeation Chromatography)装置(東ソー(株)製HLC-8120GPC)によるGPC測定(溶媒:テトラヒドロフラン、流量(サンプル注入量):10μL、カラム:東ソー(株)製TSK gel Multipore HXL-M、カラム温度:40℃、流速:1.0mL/分、検出器:示差屈折率検出器(Refractive Index Detector))によるポリスチレン換算値として定義される。
As used herein, (meth)acrylate represents acrylate and methacrylate, and (meth)acryl represents acrylic and methacrylic.
In this specification, the weight average molecular weight (Mw), number average molecular weight (Mn), and dispersity (also referred to as molecular weight distribution) (Mw/Mn) of the resin component are measured using a GPC (Gel Permeation Chromatography) device (Tosoh Corporation). HLC-8120 GPC manufactured by HLC-8120 GPC) by GPC measurement (solvent: tetrahydrofuran, flow rate (sample injection volume): 10 μL, column: TSK gel Multipore HXL-M manufactured by Tosoh Corporation, column temperature: 40 ° C., flow rate: 1.0 mL / min, Detector: Defined as a polystyrene conversion value by a differential refractive index detector (Refractive Index Detector).

本明細書において組成物中の各成分の量は、組成物中に各成分に該当する物質が複数存在する場合、特に断らない限り、組成物中に存在する該当する複数の物質の合計量を意味する。
本明細書において「工程」との語は、独立した工程だけでなく、他の工程と明確に区別できない場合であっても工程の所期の目的が達成されれば、本用語に含まれる。
本明細書において、「質量%」と「重量%」とは同義であり、「質量部」と「重量部」とは同義である。
また、本明細書において、2以上の好ましい態様の組み合わせは、より好ましい態様である。
As used herein, the amount of each component in the composition refers to the total amount of the corresponding multiple substances present in the composition when there are multiple substances corresponding to each component in the composition, unless otherwise specified. means.
As used herein, the term "process" includes not only independent processes but also processes that cannot be clearly distinguished from other processes as long as the intended purpose of the process is achieved.
In the present specification, "% by mass" and "% by weight" are synonymous, and "parts by mass" and "parts by weight" are synonymous.
Moreover, in the present specification, a combination of two or more preferred aspects is a more preferred aspect.

(感活性光線性又は感放射線性樹脂組成物)
本発明の感活性光線性又は感放射線性樹脂組成物(以下、単に「組成物」ともいう。)は、酸の作用により極性が増大する樹脂、光酸発生剤、及び下記一般式(1)で表される化合物を含有する感活性光線性又は感放射線性樹脂組成物であって、
上記一般式(1)で表される化合物の含有量が、上記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下である、感活性光線性又は感放射線性樹脂組成物である。
(Actinic ray-sensitive or radiation-sensitive resin composition)
The actinic ray-sensitive or radiation-sensitive resin composition (hereinafter also simply referred to as "composition") of the present invention comprises a resin whose polarity is increased by the action of an acid, a photoacid generator, and the following general formula (1) An actinic ray-sensitive or radiation-sensitive resin composition containing a compound represented by
The content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. It is a light sensitive or radiation sensitive resin composition.

一般式(1)中、R~Rは各々独立に炭素数1~5のアルキル基を表す。In general formula (1), R 1 to R 3 each independently represent an alkyl group having 1 to 5 carbon atoms.

本発明の組成物は、上記構成をとることにより、経時後の現像欠陥の発生が抑制され、かつ経時後のLWR性能に優れるという本発明の課題を解決することができる。
その理由は明らかではないが、本発明者らは以下のように考えている。
感活性光線性又は感放射線性樹脂組成物中に含まれ得る光酸発生剤は、通常イオン性化合物又は極性の高い化合物であり、親水性が高いため凝集しやすい。特に、感活性光線性又は感放射線性樹脂組成物を経時させた後、この組成物を用いて感活性光線性又は感放射線性膜(典型的にはレジスト膜)を成膜する際に光酸発生剤が凝集しやすい。感活性光線性又は感放射線性膜の成膜後に膜中で光酸発生剤が凝集すると、光酸発生剤の凝集部で現像欠陥が発生しやすい。例えば、ポジ型のレジスト膜の場合は光酸発生剤の凝集部の現像性が増すため断線欠陥が発生しやすい。また、ネガ型のレジスト膜の場合は光酸発生剤の凝集部の現像性が減るためブリッジ欠陥が発生しやすい。
本発明の感活性光線性又は感放射線性樹脂組成物は、一般式(1)で表される化合物を含有する。一般式(1)で表される化合物は、β型のアルキレングリコールモノアルキルエーテルアセテート(例えば、β型のPGMEA等)であり、α型のアルキレングリコールモノアルキルエーテルアセテート(例えば、α型のPGMEA等)とは沸点が異なるため、感活性光線性又は感放射線性膜の成膜時に膜中に残存しやすい。また、一般式(1)で表される化合物は光酸発生剤との親和性が高く、成膜時に光酸発生剤の凝集を抑制することができる。これにより、感活性光線性又は感放射線性樹脂組成物の経時後の現像欠陥の発生が抑制されると考えられる。
The composition of the present invention can solve the problems of the present invention that the occurrence of development defects after the passage of time is suppressed and the LWR performance after the passage of time is excellent by adopting the above constitution.
Although the reason is not clear, the present inventors consider as follows.
The photoacid generator that can be contained in the actinic ray-sensitive or radiation-sensitive resin composition is usually an ionic compound or a highly polar compound, and is highly hydrophilic and therefore easily aggregates. In particular, after aging the actinic ray-sensitive or radiation-sensitive resin composition, photoacid when forming an actinic ray-sensitive or radiation-sensitive film (typically a resist film) using this composition Generating agent tends to aggregate. If the photoacid generator aggregates in the film after forming the actinic ray-sensitive or radiation-sensitive film, development defects tend to occur at the aggregated portions of the photoacid generator. For example, in the case of a positive resist film, the developability of the agglomerated portion of the photoacid generator is increased, so that disconnection defects are likely to occur. Also, in the case of a negative resist film, bridge defects tend to occur because the developability of the agglomerated portion of the photoacid generator is reduced.
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains a compound represented by general formula (1). The compound represented by the general formula (1) is a β-type alkylene glycol monoalkyl ether acetate (e.g., β-type PGMEA, etc.), and an α-type alkylene glycol monoalkyl ether acetate (e.g., α-type PGMEA, etc.). ), it tends to remain in the film during actinic ray-sensitive or radiation-sensitive film formation. In addition, the compound represented by the general formula (1) has a high affinity with the photoacid generator, and can suppress aggregation of the photoacid generator during film formation. It is believed that this suppresses the occurrence of development defects after the aging of the actinic ray-sensitive or radiation-sensitive resin composition.

また、本発明の感活性光線性又は感放射線性樹脂組成物は、一般式(1)で表される化合物を、組成物の全質量に対して、0.1質量ppm以上500質量ppm以下という特定の範囲で含有する。前述のとおり、一般式(1)で表される化合物はβ型のアルキレングリコールモノアルキルエーテルアセテート(例えば、β型のPGMEA等)であり、α型のアルキレングリコールモノアルキルエーテルアセテート(例えば、α型のPGMEA等)とは沸点が異なるため、組成物中に多量に含有すると、感活性光線性又は感放射線性膜中の残存溶剤の量が過多になり、経時後のLWR性能が低下する。そのため、本発明では、一般式(1)で表される化合物を特定の範囲内で含有するものとしている。 Further, in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention, the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the composition. Contain within a specific range. As described above, the compound represented by the general formula (1) is a β-type alkylene glycol monoalkyl ether acetate (e.g., β-type PGMEA, etc.), and an α-type alkylene glycol monoalkyl ether acetate (e.g., α-type PGMEA, etc.), if contained in a large amount in the composition, the amount of residual solvent in the actinic ray-sensitive or radiation-sensitive film becomes excessive, resulting in deterioration of LWR performance after aging. Therefore, in the present invention, the compound represented by general formula (1) shall be contained within a specific range.

本発明の感活性光線性又は感放射線性樹脂組成物は、いわゆるレジスト組成物であることが好ましく、ポジ型のレジスト組成物であっても、ネガ型のレジスト組成物であってもよい。また、アルカリ現像用のレジスト組成物であっても、有機溶剤現像用のレジスト組成物であってもよい。
本発明の組成物は、典型的には、化学増幅型のレジスト組成物であることが好ましい。
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention is preferably a so-called resist composition, and may be a positive resist composition or a negative resist composition. Moreover, it may be a resist composition for alkali development or a resist composition for organic solvent development.
The composition of the present invention is typically preferably a chemically amplified resist composition.

以下、本発明の感活性光線性又は感放射線性樹脂組成物に含まれる各成分の詳細について説明する。 Details of each component contained in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention are described below.

<一般式(1)で表される化合物>
本発明の組成物は、一般式(1)で表される化合物を含有する。
<Compound Represented by Formula (1)>
The composition of the present invention contains a compound represented by general formula (1).

一般式(1)中、R~Rは各々独立に炭素数1~5のアルキル基を表す。In general formula (1), R 1 to R 3 each independently represent an alkyl group having 1 to 5 carbon atoms.

上記R~Rが表す炭素数1~5のアルキル基は、直鎖状でも分岐状でもよい。
上記R~Rが表す炭素数1~5のアルキル基は、置換基を有しないもの(無置換アルキル基)でもよいし、置換基を有していてもよい。
上記R~Rは各々独立に炭素数1~3のアルキル基を表すことが好ましく、各々独立にメチル基又はエチル基を表すことがより好ましく、メチル基を表すことが更に好ましい。上記R~Rがメチル基である場合、一般式(1)で表される化合物は、β型のPGMEA(β-PGMEA)を表す。
The alkyl group having 1 to 5 carbon atoms represented by R 1 to R 3 may be linear or branched.
The alkyl group having 1 to 5 carbon atoms represented by R 1 to R 3 may have no substituent (unsubstituted alkyl group) or may have a substituent.
Each of R 1 to R 3 above preferably independently represents an alkyl group having 1 to 3 carbon atoms, more preferably independently represents a methyl group or an ethyl group, and still more preferably represents a methyl group. When R 1 to R 3 are methyl groups, the compound represented by general formula (1) represents β-type PGMEA (β-PGMEA).

本発明の組成物は、一般式(1)で表される化合物を1種のみ含有してもよいし、2種以上含有してもよい。 The composition of the present invention may contain only one compound represented by formula (1), or may contain two or more compounds.

一般式(1)で表される化合物の含有量は、感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下である。なお、「ppm」は「parts per million」の略である。また、「質量ppm」は質量基準のppmであることを表す。
一般式(1)で表される化合物の含有量は、一般式(1)で表される化合物が1種のみの化合物である場合は、その化合物の含有量となる。また、一般式(1)で表される化合物の含有量は、一般式(1)で表される化合物が2種以上存在する場合は、各化合物の含有量の合計(総量)となる。
一般式(1)で表される化合物の含有量が、感活性光線性又は感放射線性樹脂組成物の全質量に対して0.1質量ppm未満であると、経時後の現像欠陥が発生しやすくなる。また、一般式(1)で表される化合物の含有量が、感活性光線性又は感放射線性樹脂組成物の全質量に対して500質量ppm超であると、経時後のLWR性能が低下しやすくなる。
The content of the compound represented by formula (1) is 0.1 ppm by mass or more and 500 ppm by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Note that "ppm" is an abbreviation for "parts per million". Moreover, "mass ppm" represents mass-based ppm.
When the compound represented by general formula (1) is only one compound, the content of the compound represented by general formula (1) is the content of that compound. Further, the content of the compound represented by the general formula (1) is the total (total amount) of the content of each compound when two or more kinds of the compounds represented by the general formula (1) are present.
When the content of the compound represented by the general formula (1) is less than 0.1 ppm by mass with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition, development defects occur after the passage of time. easier. Further, when the content of the compound represented by the general formula (1) is more than 500 ppm by mass with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition, the LWR performance after aging deteriorates. easier.

経時後の現像欠陥の発生をより抑制できるという理由から、一般式(1)で表される化合物の含有量は、感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.5質量ppm以上であることが好ましく、1質量ppm以上であることがより好ましく、10質量ppm以上であることが更に好ましく、15質量ppm以上であることが特に好ましい。
また、経時後の現像欠陥の発生をより抑制できるという理由から、一般式(1)で表される化合物の含有量は、感活性光線性又は感放射線性樹脂組成物の全質量に対して、400質量ppm以下であることが好ましく、300質量ppm以下であることがより好ましく、200質量ppm以下であることが更に好ましく、100質量ppm以下であることが特に好ましく、50質量ppm以下であることが最も好ましい。
The content of the compound represented by the general formula (1) is 0.00, based on the total mass of the actinic ray-sensitive or radiation-sensitive resin composition, since the occurrence of development defects after the passage of time can be further suppressed. It is preferably 5 mass ppm or more, more preferably 1 mass ppm or more, still more preferably 10 mass ppm or more, and particularly preferably 15 mass ppm or more.
Further, since the occurrence of development defects over time can be suppressed more, the content of the compound represented by the general formula (1) is It is preferably 400 mass ppm or less, more preferably 300 mass ppm or less, still more preferably 200 mass ppm or less, particularly preferably 100 mass ppm or less, and 50 mass ppm or less. is most preferred.

一般式(1)で表される化合物の含有量を調整する方法は特に限定されない。例えば、一般式(1)で表される化合物がβ-PGMEAである場合は、単離されたβ-PGMEAを感活性光線性又は感放射線性樹脂組成物やその調製に用いる溶剤に添加する方法を用いることができる。なお、単離されたβ-PGMEA(cas番号70657-70-4)としては市販品を用いることもできる。また、α-PGMEAとβ-PGMEAの混合物(例えば、一般的に市販されているPGMEAなど)を蒸留することでα-PGMEAとβ-PGMEAを分離したものを用いることもできる。さらに、PGMEAはPGMEを原料として製造することができるが、β異性体の生成を制御したPGMEを原料としてPGMEAを製造することより、β-PGMEAの含有量を調整したものを用いることもできる。 The method for adjusting the content of the compound represented by formula (1) is not particularly limited. For example, when the compound represented by the general formula (1) is β-PGMEA, a method of adding isolated β-PGMEA to an actinic ray-sensitive or radiation-sensitive resin composition or a solvent used for its preparation. can be used. A commercially available product can also be used as the isolated β-PGMEA (cas number 70657-70-4). Alternatively, a mixture of α-PGMEA and β-PGMEA (for example, commercially available PGMEA, etc.) may be distilled to separate α-PGMEA and β-PGMEA. Furthermore, PGMEA can be produced using PGME as a raw material, but by producing PGMEA using PGME in which the production of β-isomers is controlled as a raw material, it is also possible to use one in which the content of β-PGMEA is adjusted.

感活性光線性又は感放射線性樹脂組成物中の一般式(1)で表される化合物の含有量は、ガスクロマトグラフィ/質量分析法(GC/MS)を用いて定量することができる。 The content of the compound represented by general formula (1) in the actinic ray-sensitive or radiation-sensitive resin composition can be quantified using gas chromatography/mass spectrometry (GC/MS).

<一般式(2)で表される化合物>
本発明の組成物は、さらに、下記一般式(2)で表される化合物を含有することが好ましい。
本発明の組成物は、下記一般式(2)で表される化合物を、本発明の組成物の全質量に対して、0.1質量ppm以上500質量ppm以下含有することが好ましい。
<Compound Represented by Formula (2)>
The composition of the present invention preferably further contains a compound represented by the following general formula (2).
The composition of the present invention preferably contains 0.1 mass ppm or more and 500 mass ppm or less of the compound represented by the following general formula (2) with respect to the total mass of the composition of the present invention.

一般式(2)中、R及びRは各々独立に炭素数1~5のアルキル基を表す。In general formula (2), R 4 and R 5 each independently represent an alkyl group having 1 to 5 carbon atoms.

上記R及びRが表す炭素数1~5のアルキル基は、直鎖状でも分岐状でもよい。
上記R及びRが表す炭素数1~5のアルキル基は、置換基を有しないもの(無置換アルキル基)でもよいし、置換基を有していてもよい。
上記R及びRは各々独立に炭素数1~3のアルキル基を表すことが好ましく、各々独立にメチル基又はエチル基を表すことがより好ましく、メチル基を表すことが更に好ましい。上記R及びRがメチル基である場合、一般式(2)で表される化合物は、β型のプロピレングリコールモノメチルエーテル(β-PGME)を表す。上記Rがメチル基であり、Rがエチル基である場合、一般式(2)で表される化合物は、β型のプロピレングリコールモノエチルエーテル(β-PGEE)を表す。
The alkyl group having 1 to 5 carbon atoms represented by R 4 and R 5 may be linear or branched.
The alkyl group having 1 to 5 carbon atoms represented by R 4 and R 5 may have no substituent (unsubstituted alkyl group) or may have a substituent.
Each of R 4 and R 5 above preferably independently represents an alkyl group having 1 to 3 carbon atoms, more preferably independently represents a methyl group or an ethyl group, and still more preferably represents a methyl group. When R 4 and R 5 are methyl groups, the compound represented by general formula (2) represents β-type propylene glycol monomethyl ether (β-PGME). When R 4 is a methyl group and R 5 is an ethyl group, the compound represented by general formula (2) represents β-type propylene glycol monoethyl ether (β-PGEE).

本発明の組成物は、一般式(2)で表される化合物を1種のみ含有してもよいし、2種以上含有してもよい。 The composition of the present invention may contain only one compound represented by formula (2), or may contain two or more compounds.

一般式(2)で表される化合物を本発明の組成物の全質量に対して0.1質量ppm以上含有することで、経時後の現像欠陥の発生をより抑制できるため好ましい。これは、一般式(2)で表される化合物(典型的には一級アルコール)は立体障害が少なく、かつ光酸発生剤との親和性が高く、成膜時に光酸発生剤の凝集を抑制することができるためであると考えられる。
また、一般式(2)で表される化合物を本発明の組成物の全質量に対して500質量ppm以下含有することで、経時後のLWR性能をより向上させることができるため好ましい。これは、500質量ppm以下とすることで、一般式(2)で表される化合物(典型的には一級アルコール)が本発明の組成物中で、酸の作用により極性が増大する樹脂などの他の成分と反応してしまうことを防止することができるためであると考えられる。
一般式(2)で表される化合物の含有量は、経時後の現像欠陥の発生をより抑制できるという理由から、本発明の組成物の全質量に対して、0.5質量ppm以上であることが好ましく、1質量ppm以上であることがより好ましく、10質量ppm以上であることが更に好ましく、15質量ppm以上であることが特に好ましい。
また、経時後の現像欠陥の発生をより抑制できるという理由から、一般式(2)で表される化合物の含有量は、本発明の組成物の全質量に対して、500質量ppm以下であることが好ましく、300質量ppm以下であることがより好ましく、200質量ppm以下であることが更に好ましく、100質量ppm以下であることが特に好ましく、50質量ppm以下であることが最も好ましい。
By containing 0.1 ppm by mass or more of the compound represented by the general formula (2) with respect to the total mass of the composition of the present invention, it is possible to further suppress the occurrence of development defects over time, which is preferable. This is because the compound represented by the general formula (2) (typically a primary alcohol) has less steric hindrance and has a high affinity with the photoacid generator, suppressing aggregation of the photoacid generator during film formation. This is considered to be because it is possible to
In addition, it is preferable that the compound represented by the general formula (2) is contained in an amount of 500 ppm by mass or less based on the total mass of the composition of the present invention, because the LWR performance after aging can be further improved. By setting this to 500 ppm by mass or less, the compound represented by the general formula (2) (typically a primary alcohol) is used in the composition of the present invention as a resin whose polarity increases due to the action of an acid. It is thought that it is because it can prevent reacting with other components.
The content of the compound represented by the general formula (2) is 0.5 mass ppm or more with respect to the total mass of the composition of the present invention, because it can further suppress the occurrence of development defects over time. is preferably 1 mass ppm or more, more preferably 10 mass ppm or more, and particularly preferably 15 mass ppm or more.
In addition, the content of the compound represented by the general formula (2) is 500 ppm by mass or less with respect to the total mass of the composition of the present invention, because the occurrence of development defects after the passage of time can be further suppressed. It is preferably 300 mass ppm or less, more preferably 200 mass ppm or less, particularly preferably 100 mass ppm or less, and most preferably 50 mass ppm or less.

一般式(2)で表される化合物の含有量は、一般式(2)で表される化合物が1種のみの化合物である場合は、その化合物の含有量となる。また、一般式(2)で表される化合物の含有量は、一般式(2)で表される化合物が2種以上存在する場合は、各化合物の含有量の合計(総量)となる。 The content of the compound represented by the general formula (2) is the content of that compound when the compound represented by the general formula (2) is only one compound. Moreover, when two or more kinds of compounds represented by the general formula (2) are present, the content of the compound represented by the general formula (2) is the total content (total amount) of the respective compounds.

一般式(2)で表される化合物の含有量を調整する方法は特に限定されない。例えば、一般式(2)で表される化合物がβ-PGMEである場合は、単離されたβ-PGMEを感活性光線性又は感放射線性樹脂組成物やその調製に用いる溶剤に添加する方法を用いることができる。なお、単離されたβ-PGME(cas番号1589-47-5)としては市販品を用いることもできる。また、α-PGMEとβ-PGMEの混合物(例えば、一般的に市販されているPGMEなど)を蒸留することでα-PGMEとβ-PGMEを分離したものを用いることもできる。さらに、製造時にβ異性体の生成を制御したPGMEを用いることもできる。
また、例えば、一般式(2)で表される化合物がβ-PGEEである場合は、単離されたβ-PGEEを感活性光線性又は感放射線性樹脂組成物やその調製に用いる溶剤に添加する方法を用いることができる。なお、単離されたβ-PGEE(cas番号19089-47-5)としては市販品を用いることもできる。また、α-PGEEとβ-PGEEの混合物(例えば、一般的に市販されているPGEEなど)を蒸留することでα-PGEEとβ-PGEEを分離したものを用いることもできる。さらに、製造時にβ異性体の生成を制御したPGEEを用いることもできる。
The method for adjusting the content of the compound represented by formula (2) is not particularly limited. For example, when the compound represented by the general formula (2) is β-PGME, a method of adding isolated β-PGME to an actinic ray-sensitive or radiation-sensitive resin composition or a solvent used for its preparation. can be used. A commercially available product can also be used as the isolated β-PGME (cas number 1589-47-5). Alternatively, a mixture of α-PGME and β-PGME (for example, PGME generally available on the market) may be distilled to separate α-PGME and β-PGME. Furthermore, PGME in which the formation of the β-isomer is controlled during production can also be used.
Further, for example, when the compound represented by the general formula (2) is β-PGEE, the isolated β-PGEE is added to the actinic ray-sensitive or radiation-sensitive resin composition or the solvent used for its preparation. method can be used. A commercial product can also be used as the isolated β-PGEE (cas number 19089-47-5). Alternatively, a mixture of α-PGEE and β-PGEE (for example, commercially available PGEE) may be distilled to separate α-PGEE and β-PGEE. Furthermore, PGEE in which the formation of the β-isomer is controlled during production can also be used.

感活性光線性又は感放射線性樹脂組成物中の一般式(2)で表される化合物の含有量は、ガスクロマトグラフィ/質量分析法(GC/MS)を用いて定量することができる。 The content of the compound represented by general formula (2) in the actinic ray-sensitive or radiation-sensitive resin composition can be quantified using gas chromatography/mass spectrometry (GC/MS).

<水>
本発明の組成物は、さらに、水を含有することが好ましい。
水は、上記一般式(1)で表される化合物や上記一般式(2)で表される化合物との親和性が高く、本発明の組成物が水を含有することで、本発明の組成物を用いて感活性光線性又は感放射線性膜(典型的にはレジスト膜)を成膜する際に、膜中に水とともに上記一般式(1)で表される化合物や上記一般式(2)で表される化合物が残りやすく、これらの化合物による前述の効果(経時後の現像欠陥の発生の抑制など)がより発揮されやすくなるため好ましい。
<Water>
The composition of the invention preferably further contains water.
Water has a high affinity with the compound represented by the general formula (1) or the compound represented by the general formula (2), and the composition of the present invention contains water. When forming an actinic ray-sensitive or radiation-sensitive film (typically a resist film) using a substance, the compound represented by the general formula (1) or the general formula (2) is added to the film together with water. ) tends to remain, and the above-mentioned effects of these compounds (suppression of development defects over time, etc.) are more likely to be exerted, which is preferable.

本発明の組成物は、水を、本発明の組成物の全質量に対して、1質量ppm以上2質量%以下含有することが好ましく、1質量ppm以上1質量%以下含有することがより好ましい。 The composition of the present invention preferably contains water in an amount of 1 ppm by mass or more and 2% by mass or less, more preferably 1 ppm by mass or more and 1% by mass or less, relative to the total mass of the composition of the present invention. .

水の含有量は、経時後の現像欠陥の発生をより抑制できるという理由から、本発明の組成物の全質量に対して、1質量ppm以上であることが好ましく、10質量ppm以上であることがより好ましく、0.01質量%(100質量ppm)以上であることが更に好ましく、0.1質量%(1000質量ppm)以上であることが特に好ましい。
水の含有量は、本発明の組成物の全質量に対して、2質量%(20000質量ppm)以下であることが好ましく、1質量%(10000質量ppm)以下であることがより好ましく、0.5質量%(5000質量ppm)以下であることが更に好ましい。水の含有量が上記範囲であれば、本発明の組成物中の酸の作用により極性が増大する樹脂が液中又は成膜時に不溶化して析出することがなく、その結果、経時後のLWR性能に優れる。
The content of water is preferably 1 ppm by mass or more, and 10 ppm by mass or more, based on the total mass of the composition of the present invention, because it can further suppress the occurrence of development defects after the passage of time. is more preferably 0.01 mass % (100 mass ppm) or more, and particularly preferably 0.1 mass % (1000 mass ppm) or more.
The water content is preferably 2% by mass (20000 ppm by mass) or less, more preferably 1% by mass (10000 ppm by mass) or less, relative to the total mass of the composition of the present invention. It is more preferably 0.5 mass % (5000 mass ppm) or less. When the water content is within the above range, the resin that increases in polarity due to the action of acid in the composition of the present invention does not become insoluble and precipitate in the liquid or during film formation, and as a result, the LWR after aging is improved. Excellent performance.

水としては、例えば、純水、超純水等を使用することができる。 As water, for example, pure water, ultrapure water, or the like can be used.

水の含有量を調整する方法は特に限定されない。例えば、感活性光線性又は感放射線性樹脂組成物を調製する際に用いる溶剤に予め所定量の水を混合させたものを用いる方法や、水を含む感活性光線性又は感放射線性樹脂組成物に対して、例えばモレキュラーシーブスなどの一般的な脱水方法を用いる方法が挙げられる。 A method for adjusting the water content is not particularly limited. For example, a method of using a solvent in which a predetermined amount of water is mixed in advance with a solvent used for preparing an actinic ray-sensitive or radiation-sensitive resin composition, or a method of using a water-containing actinic ray-sensitive or radiation-sensitive resin composition. On the other hand, for example, a method using a general dehydration method such as molecular sieves can be used.

感活性光線性又は感放射線性樹脂組成物中の水の含有量は、カールフィッシャー水分計を用いて測定することができる。 The water content in the actinic ray-sensitive or radiation-sensitive resin composition can be measured using a Karl Fischer moisture meter.

<一般式(3)で表される化合物>
本発明の組成物は、さらに、下記一般式(3)で表される化合物を含有することが好ましい。
本発明の組成物は、下記一般式(3)で表される化合物を含有し、下記一般式(3)で表される化合物に対する上記一般式(1)で表される化合物の含有量が、0.1質量ppm以上0.05質量%以下であることが好ましく、1質量ppm以上0.005質量%以下であることがより好ましい。
<Compound Represented by Formula (3)>
The composition of the present invention preferably further contains a compound represented by the following general formula (3).
The composition of the present invention contains a compound represented by the following general formula (3), and the content of the compound represented by the above general formula (1) with respect to the compound represented by the following general formula (3) is It is preferably 0.1 mass ppm or more and 0.05 mass % or less, more preferably 1 mass ppm or more and 0.005 mass % or less.

一般式(3)中、R~Rは各々独立に炭素数1~5のアルキル基を表す。In general formula (3), R 6 to R 8 each independently represent an alkyl group having 1 to 5 carbon atoms.

上記R~Rが表す炭素数1~5のアルキル基は、直鎖状でも分岐状でもよい。
上記R~Rが表す炭素数1~5のアルキル基は、置換基を有しないもの(無置換アルキル基)でもよいし、置換基を有していてもよい。
上記R~Rは各々独立に炭素数1~3のアルキル基を表すことが好ましく、各々独立にメチル基又はエチル基を表すことがより好ましく、メチル基を表すことが更に好ましい。上記R~Rがメチル基である場合、一般式(3)で表される化合物は、α型のPGMEA(α-PGMEA)を表す。
The alkyl group having 1 to 5 carbon atoms represented by R 6 to R 8 may be linear or branched.
The alkyl group having 1 to 5 carbon atoms represented by R 6 to R 8 may have no substituent (unsubstituted alkyl group) or may have a substituent.
Each of R 6 to R 8 above preferably independently represents an alkyl group having 1 to 3 carbon atoms, more preferably independently represents a methyl group or an ethyl group, and still more preferably represents a methyl group. When R 6 to R 8 are methyl groups, the compound represented by general formula (3) represents α-type PGMEA (α-PGMEA).

一般式(3)で表される化合物は、一般式(1)で表される化合物と類似の構造を有するため、一般式(1)で表される化合物との親和性が高い。また、一般式(1)で表される化合物と一般式(3)で表される化合物とが共存すると、光酸発生剤の溶解性が高くなり、本発明の組成物を用いて感活性光線性又は感放射線性膜(典型的にはレジスト膜)を成膜する際に、光酸発生剤の凝集が抑制されやすくなり、前述の効果(経時後の現像欠陥の発生の抑制など)がより発揮されやすくなるため好ましい。 Since the compound represented by the general formula (3) has a structure similar to that of the compound represented by the general formula (1), it has a high affinity with the compound represented by the general formula (1). Further, when the compound represented by the general formula (1) and the compound represented by the general formula (3) coexist, the solubility of the photoacid generator increases, and the composition of the present invention can be used to sensitize actinic radiation. When forming a sensitive or radiation-sensitive film (typically a resist film), the aggregation of the photoacid generator is easily suppressed, and the above-mentioned effects (suppression of development defects after the passage of time, etc.) are more enhanced. It is preferable because it is easily exhibited.

本発明の組成物が一般式(1)で表される化合物に加えて、一般式(3)で表される化合物を含有する場合、一般式(3)におけるRは、一般式(1)におけるRと同じであることが好ましく、一般式(3)におけるRは、一般式(1)におけるRと同じであることが好ましく、一般式(3)におけるRは、一般式(1)におけるRと同じであることが好ましい。これにより、一般式(3)で表される化合物と、一般式(1)で表される化合物との親和性が非常に高くなり、前述の効果がさらに発揮されやすくなる。When the composition of the present invention contains a compound represented by general formula (3) in addition to the compound represented by general formula (1), R 6 in general formula (3) is represented by general formula (1) is preferably the same as R 1 in general formula (3), R 7 in general formula (3) is preferably the same as R 2 in general formula (1), and R 8 in general formula (3) is the same as general formula ( It is preferably the same as R 3 in 1). As a result, the affinity between the compound represented by the general formula (3) and the compound represented by the general formula (1) becomes very high, and the above effects are more likely to be exhibited.

本発明の組成物は、一般式(3)で表される化合物を1種のみ含有してもよいし、2種以上含有してもよい。 The composition of the present invention may contain only one compound represented by formula (3), or may contain two or more compounds.

一般式(3)で表される化合物の含有量は、一般式(3)で表される化合物が1種のみの化合物である場合は、その化合物の含有量となる。また、一般式(3)で表される化合物の含有量は、一般式(3)で表される化合物が2種以上存在する場合は、各化合物の含有量の合計(総量)となる。 The content of the compound represented by the general formula (3) is the content of that compound when the compound represented by the general formula (3) is only one compound. Moreover, when two or more kinds of compounds represented by the general formula (3) are present, the content of the compound represented by the general formula (3) is the sum (total amount) of the contents of the respective compounds.

一般式(3)で表される化合物は、例えば、後述する溶剤として用いられるものであってもよい。
一般式(3)で表される化合物を溶剤として用いる場合、本発明の組成物の固形分濃度が、0.5質量%~60質量%となるように一般式(3)で表される化合物を含む溶剤を用いることが好ましく、1.0質量%~45質量%となるように一般式(3)で表される化合物を含む溶剤を用いることがより好ましく、1.0質量%~40質量%となるように一般式(3)で表される化合物を含む溶剤を用いることが更に好ましい。
本発明の組成物における溶剤として一般式(3)で表される化合物を用いる場合、溶剤の全質量に対する一般式(3)で表される化合物の質量は50質量%以上100質量%以下であることが好ましい。
本発明の組成物において「固形分」とは、本発明の組成物に含まれるすべての成分から溶剤、水、一般式(1)で表される化合物、一般式(2)で表される化合物、及び一般式(3)で表される化合物を除いた成分であり、例えば、25℃において固体であっても、液体であってもよい。
また、本発明の組成物において「全固形分」とは、組成物の全組成から溶剤、水、一般式(1)で表される化合物、一般式(2)で表される化合物、及び一般式(3)で表される化合物を除いた成分の総質量をいう。
The compound represented by general formula (3) may be used as a solvent, which will be described later, for example.
When the compound represented by the general formula (3) is used as a solvent, the solid content concentration of the composition of the present invention is such that the compound represented by the general formula (3) is 0.5% by mass to 60% by mass. It is preferable to use a solvent containing, more preferably a solvent containing a compound represented by the general formula (3) so as to be 1.0% by mass to 45% by mass, 1.0% by mass to 40% by mass %, it is more preferable to use a solvent containing the compound represented by the general formula (3).
When the compound represented by the general formula (3) is used as the solvent in the composition of the present invention, the mass of the compound represented by the general formula (3) with respect to the total mass of the solvent is 50% by mass or more and 100% by mass or less. is preferred.
The "solid content" in the composition of the present invention means a solvent, water, a compound represented by the general formula (1), and a compound represented by the general formula (2) from all the components contained in the composition of the present invention. , and the compound represented by the general formula (3), and may be solid or liquid at 25° C., for example.
Further, the "total solid content" in the composition of the present invention refers to the total composition of the composition, solvent, water, the compound represented by the general formula (1), the compound represented by the general formula (2), and general It refers to the total mass of components excluding the compound represented by formula (3).

一般式(3)で表される化合物の含有量を調整する方法は特に限定されない。例えば、一般式(3)で表される化合物がα-PGMEAである場合は、単離されたα-PGMEAを感活性光線性又は感放射線性樹脂組成物の調製において添加したり溶剤として用いたりすることができる。なお、単離されたα-PGMEA(cas番号108-65-6)としては市販品を用いることもできる。また、α-PGMEAとβ-PGMEAの混合物(例えば、一般的に市販されているPGMEAなど)を蒸留することでα-PGMEAとβ-PGMEAを分離したものを用いることもできる。 The method for adjusting the content of the compound represented by formula (3) is not particularly limited. For example, when the compound represented by the general formula (3) is α-PGMEA, the isolated α-PGMEA may be added or used as a solvent in the preparation of the actinic ray-sensitive or radiation-sensitive resin composition. can do. A commercially available product can also be used as the isolated α-PGMEA (cas number 108-65-6). Alternatively, a mixture of α-PGMEA and β-PGMEA (for example, commercially available PGMEA, etc.) may be distilled to separate α-PGMEA and β-PGMEA.

感活性光線性又は感放射線性樹脂組成物中の一般式(3)で表される化合物の含有量は、ガスクロマトグラフィ/質量分析法(GC/MS)を用いて定量することができる。 The content of the compound represented by general formula (3) in the actinic ray-sensitive or radiation-sensitive resin composition can be quantified using gas chromatography/mass spectrometry (GC/MS).

<(A)酸の作用により極性が増大する樹脂>
本発明の感活性光線性又は感放射線性樹脂組成物は、酸の作用により極性が増大する樹脂(以下、「樹脂(A)」ともいう。)を含有する。上記樹脂(A)は、典型的には、酸の作用により極性が増大して現像液に対する溶解性が変化する樹脂であることが好ましい。
<(A) Resin whose polarity increases due to the action of acid>
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains a resin whose polarity increases under the action of acid (hereinafter also referred to as "resin (A)"). The above resin (A) is typically preferably a resin whose polarity is increased by the action of an acid to change its solubility in a developer.

上記酸の作用により極性が増大する樹脂(樹脂(A))は、エチレン性不飽和化合物を少なくとも重合してなる樹脂であることが好ましい。
上記エチレン性不飽和化合物は、エチレン性不飽和結合を1~4つ有していることが好ましく、1つであることがより好ましい。更に、上記エチレン性不飽和化合物は、単量体のモノマーであることが好ましい。
また、上記エチレン性不飽和化合物の分子量は、28~1,000が好ましく、50~800がより好ましく、100~600が特に好ましい。
The resin (resin (A)) whose polarity is increased by the action of an acid is preferably a resin obtained by polymerizing at least an ethylenically unsaturated compound.
The ethylenically unsaturated compound preferably has 1 to 4 ethylenically unsaturated bonds, more preferably 1. Furthermore, the ethylenically unsaturated compound is preferably a monomeric monomer.
The molecular weight of the ethylenically unsaturated compound is preferably 28-1,000, more preferably 50-800, and particularly preferably 100-600.

また、酸の作用により極性が増大する樹脂は、酸分解性基を有することが好ましく、酸分解性基を有する構成単位を有する樹脂であることがより好ましい。
この場合、後述する本発明のパターン形成方法において、現像液としてアルカリ現像液を採用した場合には、ポジ型パターンが好適に形成され、現像液として有機系現像液を採用した場合には、ネガ型パターンが好適に形成される。
Moreover, the resin whose polarity is increased by the action of an acid preferably has an acid-decomposable group, and more preferably a resin having a constitutional unit having an acid-decomposable group.
In this case, in the pattern forming method of the present invention, which will be described later, when an alkaline developer is used as the developer, a positive pattern is preferably formed, and when an organic developer is used as the developer, a negative pattern is formed. A mold pattern is preferably formed.

〔酸分解性基を有する構成単位〕
樹脂(A)は、酸分解性基を有する構成単位(「繰り返し単位」ともいう)を有することが好ましい。
[Structural Unit Having Acid-Decomposable Group]
Resin (A) preferably has a structural unit (also referred to as “repeating unit”) having an acid-decomposable group.

樹脂(A)としては、公知の樹脂を適宜使用することができる。例えば、米国特許出願公開第2016/0274458号明細書の段落0055~0191、米国特許出願公開第2015/0004544号明細書の段落0035~0085、米国特許出願公開第2016/0147150号明細書の段落0045~0090に開示された公知の樹脂を樹脂(A)として好適に使用できる。 As the resin (A), known resins can be appropriately used. For example, paragraphs 0055-0191 of US Patent Application Publication No. 2016/0274458, paragraphs 0035-0085 of US Patent Application Publication No. 2015/0004544, paragraph 0045 of US Patent Application Publication No. 2016/0147150. 0090 can be suitably used as resin (A).

酸分解性基は、極性基が酸の作用により分解し脱離する基(脱離基)で保護された構造を有することが好ましい。
極性基としては、カルボキシ基、フェノール性水酸基、スルホン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及びトリス(アルキルスルホニル)メチレン基等の酸性基(2.38質量%テトラメチルアンモニウムヒドロキシド水溶液中で解離する基)、並びに、アルコール性水酸基等が挙げられる。
The acid-decomposable group preferably has a structure in which a polar group is protected by a group that decomposes and leaves by the action of an acid (leaving group).
Examples of polar groups include carboxy groups, phenolic hydroxyl groups, sulfonic acid groups, sulfonamide groups, sulfonylimide groups, (alkylsulfonyl)(alkylcarbonyl)methylene groups, (alkylsulfonyl)(alkylcarbonyl)imide groups, and bis(alkylcarbonyl) groups. ) methylene group, bis(alkylcarbonyl)imide group, bis(alkylsulfonyl)methylene group, bis(alkylsulfonyl)imide group, tris(alkylcarbonyl)methylene group, and acidic group such as tris(alkylsulfonyl)methylene group (2 .A group that dissociates in a 38% by mass tetramethylammonium hydroxide aqueous solution), an alcoholic hydroxyl group, and the like.

なお、アルコール性水酸基とは、炭化水素基に結合した水酸基であって、芳香環上に直接結合した水酸基(フェノール性水酸基)以外の水酸基をいい、水酸基としてα位がフッ素原子などの電子求引性基で置換された脂肪族アルコール(例えば、ヘキサフルオロイソプロパノール基など)は除く。アルコール性水酸基としては、pKa(酸解離定数)が12以上20以下の水酸基であることが好ましい。 The alcoholic hydroxyl group is a hydroxyl group bonded to a hydrocarbon group, and refers to a hydroxyl group other than a hydroxyl group directly bonded to an aromatic ring (phenolic hydroxyl group). It excludes aliphatic alcohols substituted with functional groups (eg, hexafluoroisopropanol groups, etc.). The alcoholic hydroxyl group is preferably a hydroxyl group with a pKa (acid dissociation constant) of 12 or more and 20 or less.

好ましい極性基としては、カルボキシ基、フェノール性水酸基、及びスルホン酸基が挙げられる。 Preferred polar groups include carboxy groups, phenolic hydroxyl groups, and sulfonic acid groups.

酸分解性基として好ましい基は、これらの基の水素原子を酸の作用により脱離する基(脱離基)で置換した基である。
酸の作用により脱離する基(脱離基)としては、例えば、-C(R36)(R37)(R38)、-C(R36)(R37)(OR39)、及び-C(R01)(R02)(OR39)等を挙げることができる。
式中、R36~R39はそれぞれ独立に、アルキル基、シクロアルキル基、アリール基、アラルキル基又はアルケニル基を表す。R36とR37とは、互いに結合して環を形成してもよい。
01及びR02はそれぞれ独立に、水素原子、アルキル基、シクロアルキル基、アリール基、アラルキル基又はアルケニル基を表す。
Preferred groups as acid-decomposable groups are groups in which the hydrogen atoms of these groups are substituted with groups (leaving groups) that leave under the action of acid.
Examples of groups that leave by the action of an acid (leaving groups) include -C(R 36 )(R 37 )(R 38 ), -C(R 36 )(R 37 )(OR 39 ), and - C(R 01 ) (R 02 ) (OR 39 ) and the like.
In the formula, R 36 to R 39 each independently represent an alkyl group, cycloalkyl group, aryl group, aralkyl group or alkenyl group. R 36 and R 37 may combine with each other to form a ring.
R 01 and R 02 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group or an alkenyl group.

36~R39、R01及びR02のアルキル基は、炭素数1~8のアルキル基が好ましく、例えば、メチル基、エチル基、プロピル基、n-ブチル基、sec-ブチル基、へキシル基、及びオクチル基等を挙げることができる。
36~R39、R01及びR02のシクロアルキル基は、単環型でも、多環型でもよい。単環型としては、炭素数3~8のシクロアルキル基が好ましく、例えば、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロへキシル基、及びシクロオクチル基等を挙げることができる。多環型としては、炭素数6~20のシクロアルキル基が好ましく、例えば、アダマンチル基、ノルボルニル基、イソボルニル基、カンファニル基、ジシクロペンチル基、α-ピネル基、トリシクロデカニル基、テトラシクロドデシル基、及びアンドロスタニル基等を挙げることができる。なお、シクロアルキル基中の少なくとも1つの炭素原子が酸素原子等のヘテロ原子によって置換されていてもよい。
36~R39、R01及びR02のアリール基は、炭素数6~10のアリール基が好ましく、例えば、フェニル基、ナフチル基、及びアントリル基等を挙げることができる。
36~R39、R01及びR02のアラルキル基は、炭素数7~12のアラルキル基が好ましく、例えば、ベンジル基、フェネチル基、及びナフチルメチル基等を挙げることができる。
36~R39、R01及びR02のアルケニル基は、炭素数2~8のアルケニル基が好ましく、例えば、ビニル基、アリル基、ブテニル基、及びシクロへキセニル基等を挙げることができる。
36とR37とが互いに結合して形成される環としては、シクロアルキル基(単環又は多環)であることが好ましい。シクロアルキル基としては、シクロペンチル基、及び、シクロヘキシル基などの単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基などの多環のシクロアルキル基が好ましい。
The alkyl groups of R 36 to R 39 , R 01 and R 02 are preferably alkyl groups having 1 to 8 carbon atoms, such as methyl, ethyl, propyl, n-butyl, sec-butyl, hexyl. groups, octyl groups, and the like.
Cycloalkyl groups of R 36 to R 39 , R 01 and R 02 may be monocyclic or polycyclic. As the monocyclic type, a cycloalkyl group having 3 to 8 carbon atoms is preferable, and examples thereof include a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group and a cyclooctyl group. As the polycyclic type, cycloalkyl groups having 6 to 20 carbon atoms are preferable, and examples thereof include adamantyl group, norbornyl group, isobornyl group, camphanyl group, dicyclopentyl group, α-pinel group, tricyclodecanyl group, and tetracyclododecyl. group, androstanyl group, and the like. At least one carbon atom in the cycloalkyl group may be substituted with a heteroatom such as an oxygen atom.
The aryl groups represented by R 36 to R 39 , R 01 and R 02 are preferably aryl groups having 6 to 10 carbon atoms, such as phenyl, naphthyl and anthryl groups.
Aralkyl groups represented by R 36 to R 39 , R 01 and R 02 are preferably aralkyl groups having 7 to 12 carbon atoms, such as benzyl, phenethyl and naphthylmethyl groups.
Alkenyl groups represented by R 36 to R 39 , R 01 and R 02 are preferably alkenyl groups having 2 to 8 carbon atoms, such as vinyl, allyl, butenyl and cyclohexenyl groups.
The ring formed by combining R 36 and R 37 is preferably a cycloalkyl group (monocyclic or polycyclic). Cycloalkyl groups include monocyclic cycloalkyl groups such as a cyclopentyl group and a cyclohexyl group, or polycyclic cycloalkyl groups such as a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group. is preferred.

酸分解性基として、クミルエステル基、エノールエステル基、アセタールエステル基、又は第3級のアルキルエステル基等が好ましく、アセタール基、又は、第3級アルキルエステル基がより好ましい。 The acid-decomposable group is preferably a cumyl ester group, an enol ester group, an acetal ester group, or a tertiary alkyl ester group, and more preferably an acetal group or a tertiary alkyl ester group.

樹脂(A)は、酸分解性基を有する構成単位として、下記式AIで表される構成単位を有することが好ましい。 Resin (A) preferably has a structural unit represented by the following formula AI as a structural unit having an acid-decomposable group.

Figure 0007309907000013
Figure 0007309907000013

式AI中、Xaは、水素原子、フッ素原子以外のハロゲン原子、又は1価の有機基を表し、Tは、単結合又は2価の連結基を表し、Rx~Rxは、それぞれ独立に、アルキル基、シクロアルキル基、アルケニル基、又はアリール基を表し、Rx~Rxのいずれか2つが結合して環構造を形成してもよく、形成しなくてもよい。In formula AI, Xa 1 represents a hydrogen atom, a halogen atom other than a fluorine atom, or a monovalent organic group, T represents a single bond or a divalent linking group, Rx 1 to Rx 3 are each independently represents an alkyl group, a cycloalkyl group, an alkenyl group, or an aryl group, and any two of Rx 1 to Rx 3 may or may not combine to form a ring structure.

Tの2価の連結基としては、アルキレン基、アリーレン基、-COO-Rt-、及び-O-Rt-等が挙げられる。式中、Rtは、アルキレン基、シクロアルキレン基又はアリーレン基を表し、
Tは、単結合又は-COO-Rt-が好ましい。Rtは、炭素数1~5の鎖状アルキレン基が好ましく、-CH-、-(CH-、又は-(CH-がより好ましい。Tは、単結合であることがより好ましい。
Examples of the divalent linking group for T include an alkylene group, an arylene group, -COO-Rt-, and -O-Rt-. In the formula, Rt represents an alkylene group, a cycloalkylene group or an arylene group,
T is preferably a single bond or -COO-Rt-. Rt is preferably a chain alkylene group having 1 to 5 carbon atoms, more preferably -CH 2 -, -(CH 2 ) 2 -, or -(CH 2 ) 3 -. More preferably T is a single bond.

Xaは、水素原子又はアルキル基であることが好ましい。
Xaのアルキル基は、置換基を有していてもよく、置換基としては、例えば、水酸基、及びフッ素原子以外のハロゲン原子が挙げられる。
Xaのアルキル基は、炭素数1~4が好ましく、メチル基、エチル基、プロピル基、及び、ヒドロキシメチル基等が挙げられる。Xaのアルキル基は、メチル基であることが好ましい。
Xa 1 is preferably a hydrogen atom or an alkyl group.
The alkyl group of Xa 1 may have a substituent, and examples of the substituent include a hydroxyl group and a halogen atom other than a fluorine atom.
The alkyl group of Xa 1 preferably has 1 to 4 carbon atoms, and examples thereof include methyl, ethyl, propyl and hydroxymethyl groups. The alkyl group of Xa 1 is preferably a methyl group.

Rx、Rx及びRxのアルキル基としては、直鎖状であっても、分岐状であってもよく、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、t-ブチル基などが好ましく挙げられる。アルキル基の炭素数としては、1~10が好ましく、1~5がより好ましく、1~3が更に好ましい。Rx、Rx及びRxのアルキル基は、炭素間結合の一部が二重結合であってもよい。
Rx、Rx及びRxのシクロアルキル基としては、シクロペンチル基、シクロヘキシル基などの単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、アダマンチル基などの多環のシクロアルキル基が好ましい。
Rx、Rx及びRxのアルケニル基としては、直鎖状であっても、分岐状であってもよい。アルケニル基の炭素数としては、2~5が好ましく、2~3が更に好ましい。アルケニル基としてはビニル基が好ましい。
Rx、Rx及びRxのアリール基としては、単環であっても、多環であってもよい。アリール基の炭素数としては、6~14が好ましく、6~10がより好ましい。アリール基としては、フェニル基、ナフチル基、アントリル基等が好ましい。
The alkyl groups of Rx 1 , Rx 2 and Rx 3 may be linear or branched and include methyl, ethyl, n-propyl, isopropyl, n-butyl and isobutyl. group, t-butyl group, and the like. The number of carbon atoms in the alkyl group is preferably 1-10, more preferably 1-5, and even more preferably 1-3. Some of the carbon-carbon bonds in the alkyl groups of Rx 1 , Rx 2 and Rx 3 may be double bonds.
Cycloalkyl groups for Rx 1 , Rx 2 and Rx 3 include monocyclic cycloalkyl groups such as cyclopentyl group and cyclohexyl group, norbornyl groups, tetracyclodecanyl groups, tetracyclododecanyl groups, adamantyl groups and the like. Polycyclic cycloalkyl groups are preferred.
The alkenyl groups of Rx 1 , Rx 2 and Rx 3 may be linear or branched. The number of carbon atoms in the alkenyl group is preferably 2-5, more preferably 2-3. A vinyl group is preferred as the alkenyl group.
The aryl groups of Rx 1 , Rx 2 and Rx 3 may be monocyclic or polycyclic. The number of carbon atoms in the aryl group is preferably 6-14, more preferably 6-10. As the aryl group, a phenyl group, a naphthyl group, an anthryl group and the like are preferable.

Rx、Rx及びRxの2つが結合して形成する環構造としては、シクロペンチル環、シクロヘキシル環、シクロヘプチル環、及びシクロオクタン環などの単環のシクロアルカン環、又はノルボルナン環、テトラシクロデカン環、テトラシクロドデカン環、及びアダマンタン環などの多環のシクロアルキル環が好ましい。シクロペンチル環、シクロヘキシル環、又はアダマンタン環がより好ましい。Rx、Rx及びRxの2つが結合して形成する環構造としては、下記に示す構造も好ましい。The ring structure formed by combining Rx 1 , Rx 2 and Rx 3 includes monocyclic cycloalkane rings such as cyclopentyl ring, cyclohexyl ring, cycloheptyl ring and cyclooctane ring, norbornane ring, tetracyclo Polycyclic cycloalkyl rings such as decane ring, tetracyclododecane ring and adamantane ring are preferred. A cyclopentyl ring, a cyclohexyl ring, or an adamantane ring is more preferred. As the ring structure formed by combining two of Rx 1 , Rx 2 and Rx 3 , the structures shown below are also preferable.

Figure 0007309907000014
Figure 0007309907000014

以下に式AIで表される構成単位に相当するモノマーの具体例を挙げるが、本発明は、これらの具体例に限定されない。下記の具体例は、式AIにおけるXaがメチル基である場合に相当するが、Xaは、水素原子、フッ素原子以外のハロゲン原子、又は1価の有機基に任意に置換することができる。Specific examples of the monomer corresponding to the structural unit represented by Formula AI are shown below, but the present invention is not limited to these specific examples. The following specific examples correspond to the case where Xa 1 in Formula AI is a methyl group, but Xa 1 can be arbitrarily substituted with a hydrogen atom, a halogen atom other than a fluorine atom, or a monovalent organic group. .

Figure 0007309907000015
Figure 0007309907000015

樹脂(A)は、酸分解性基を有する構成単位として、米国特許出願公開第2016/0070167号明細書の段落0336~0369に記載の構成単位を有することも好ましい。 Resin (A) also preferably has structural units described in paragraphs 0336 to 0369 of US Patent Application Publication No. 2016/0070167 as structural units having an acid-decomposable group.

また、樹脂(A)は、酸分解性基を有する構成単位として、米国特許出願公開第2016/0070167号明細書の段落0363~0364に記載された酸の作用により分解してアルコール性水酸基を生じる基を含む構成単位を有していてもよい。 In addition, the resin (A), as a structural unit having an acid-decomposable group, is decomposed by the action of an acid described in paragraphs 0363 to 0364 of US Patent Application Publication No. 2016/0070167 to generate an alcoholic hydroxyl group. It may have a structural unit containing a group.

また、樹脂(A)は、酸分解性基を有する繰り返し単位として、フェノール性水酸基が酸の作用により分解して脱離する脱離基で保護された構造(酸分解性基)を有する繰り返し単位を有することが好ましい。なお、本明細書において、フェノール性水酸基とは、芳香族炭化水素基の水素原子をヒドロキシル基で置換してなる基である。芳香族炭化水素基の芳香環は単環又は多環の芳香環であり、ベンゼン環及びナフタレン環等が挙げられる。 Further, the resin (A) is a repeating unit having, as a repeating unit having an acid-decomposable group, a structure (acid-decomposable group) in which a phenolic hydroxyl group is protected by a leaving group that decomposes and leaves under the action of an acid. It is preferred to have In this specification, a phenolic hydroxyl group is a group obtained by substituting a hydrogen atom of an aromatic hydrocarbon group with a hydroxyl group. The aromatic ring of the aromatic hydrocarbon group is a monocyclic or polycyclic aromatic ring, such as a benzene ring and a naphthalene ring.

酸の作用により分解して脱離する脱離基としては、例えば、式(Y1)~(Y4)で表される基を挙げることができる。
式(Y1):-C(Rx)(Rx)(Rx
式(Y2):-C(=O)OC(Rx)(Rx)(Rx
式(Y3):-C(R36)(R37)(OR38
式(Y4):-C(Rn)(H)(Ar)
Examples of the leaving group that is decomposed and left by the action of an acid include groups represented by formulas (Y1) to (Y4).
Formula (Y1): -C(Rx 1 )(Rx 2 )(Rx 3 )
Formula (Y2): -C(=O)OC(Rx 1 )(Rx 2 )(Rx 3 )
Formula (Y3): —C(R 36 )(R 37 )(OR 38 )
Formula (Y4): -C(Rn)(H)(Ar)

式(Y1)、(Y2)中、Rx~Rxは、各々独立に、アルキル基(直鎖状若しくは分岐鎖状)、シクロアルキル基(単環若しくは多環)、アルケニル基(直鎖状若しくは分岐鎖状)、又はアリール基(単環若しくは多環)を表す。但し、Rx~Rxの全てがアルキル基(直鎖状若しくは分岐鎖状)である場合、Rx~Rxのうち少なくとも2つはメチル基であることが好ましい。
なかでも、Rx~Rxは、各々独立に、直鎖状又は分岐鎖状のアルキル基を表す繰り返し単位であることがより好ましく、Rx~Rxが、各々独立に、直鎖状のアルキル基を表す繰り返し単位であることが更に好ましい。
Rx~Rxの2つが結合して、単環若しくは多環を形成してもよい。
Rx~Rxのアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、及びt-ブチル基等の炭素数1~4のアルキル基が好ましい。
Rx~Rxのシクロアルキル基としては、シクロペンチル基、シクロヘキシル基等の単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。なかでも、炭素数5~6の単環のシクロアルキル基がより好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基は、例えば、環を構成するメチレン基の1つが、酸素原子等のヘテロ原子、又は、カルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
式(Y1)及び(Y2)で表される基は、例えば、Rxがメチル基又はエチル基であり、RxとRxとが結合して上述のシクロアルキル基を形成している態様が好ましい。
Rx~Rxのアリール基としては、炭素数6~14のアリール基が好ましく、炭素数6~10のアリール基がより好ましい。Rx~Rxのアリール基としては、例えば、フェニル基、ナフチル基、アントリル基等が挙げられる。
Rx~Rxのアルケニル基としては、炭素数2~5のアルケニル基が好ましく、炭素数2~3のアルケニル基がより好ましい。Rx~Rxのアルケニル基としては、ビニル基が好ましい。
本発明の組成物が、例えば、EUV露光用レジスト組成物である場合、Rx~Rxで表されるアルキル基、シクロアルキル基、アルケニル基、アリール基、及び、Rx~Rxの2つが結合して形成される環は、更に、置換基として、フッ素原子又はヨウ素原子を有していることも好ましい。
In formulas (Y1) and (Y2), Rx 1 to Rx 3 each independently represent an alkyl group (linear or branched), a cycloalkyl group (monocyclic or polycyclic), an alkenyl group (linear or branched), or an aryl group (monocyclic or polycyclic). However, when all of Rx 1 to Rx 3 are alkyl groups (linear or branched), at least two of Rx 1 to Rx 3 are preferably methyl groups.
Among them, Rx 1 to Rx 3 are more preferably each independently a repeating unit representing a linear or branched alkyl group, and Rx 1 to Rx 3 each independently represent a linear More preferably, it is a repeating unit representing an alkyl group.
Two of Rx 1 to Rx 3 may combine to form a monocyclic or polycyclic ring.
The alkyl group for Rx 1 to Rx 3 is preferably an alkyl group having 1 to 4 carbon atoms such as methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group and t-butyl group. .
The cycloalkyl groups represented by Rx 1 to Rx 3 include monocyclic cycloalkyl groups such as cyclopentyl group and cyclohexyl group, or polycyclic groups such as norbornyl group, tetracyclodecanyl group, tetracyclododecanyl group and adamantyl group. is preferred.
Cycloalkyl groups formed by combining two of Rx 1 to Rx 3 include monocyclic cycloalkyl groups such as cyclopentyl and cyclohexyl groups, norbornyl, tetracyclodecanyl, and tetracyclododecanyl. and polycyclic cycloalkyl groups such as adamantyl groups. Among them, a monocyclic cycloalkyl group having 5 to 6 carbon atoms is more preferable.
A cycloalkyl group formed by combining two of Rx 1 to Rx 3 is, for example, a group in which one of the methylene groups constituting the ring has a heteroatom such as an oxygen atom or a heteroatom such as a carbonyl group. may be replaced.
In the groups represented by formulas (Y1) and (Y2), for example, Rx 1 is a methyl group or an ethyl group, and Rx 2 and Rx 3 combine to form the above-described cycloalkyl group. preferable.
The aryl group represented by Rx 1 to Rx 3 is preferably an aryl group having 6 to 14 carbon atoms, more preferably an aryl group having 6 to 10 carbon atoms. Examples of the aryl group for Rx 1 to Rx 3 include phenyl group, naphthyl group, anthryl group and the like.
The alkenyl groups represented by Rx 1 to Rx 3 are preferably alkenyl groups having 2 to 5 carbon atoms, more preferably alkenyl groups having 2 to 3 carbon atoms. A vinyl group is preferable as the alkenyl group for Rx 1 to Rx 3 .
For example, when the composition of the present invention is a resist composition for EUV exposure, an alkyl group, a cycloalkyl group, an alkenyl group, an aryl group represented by Rx 1 to Rx 3 , and 2 of Rx 1 to Rx 3 It is also preferred that the ring formed by combining two atoms further has a fluorine atom or an iodine atom as a substituent.

式(Y3)中、R36~R38は、各々独立に、水素原子又は1価の有機基を表す。R37とR38とは、互いに結合して環を形成してもよい。1価の有機基としては、アルキル基、シクロアルキル基、アリール基、アラルキル基、及び、アルケニル基等が挙げられる。R36は、水素原子であることが好ましい。In formula (Y3), R 36 to R 38 each independently represent a hydrogen atom or a monovalent organic group. R 37 and R 38 may combine with each other to form a ring. Monovalent organic groups include alkyl groups, cycloalkyl groups, aryl groups, aralkyl groups, and alkenyl groups. R 36 is preferably a hydrogen atom.

式(Y4)中、Arは、芳香族炭化水素基を表す。Rnは、アルキル基、シクロアルキル基、又はアリール基を表す。RnとArとは互いに結合して非芳香族環を形成してもよい。Arはより好ましくはアリール基である。 In formula (Y4), Ar represents an aromatic hydrocarbon group. Rn represents an alkyl group, a cycloalkyl group, or an aryl group. Rn and Ar may combine with each other to form a non-aromatic ring. Ar is more preferably an aryl group.

フェノール性水酸基が酸の作用により分解して脱離する脱離基で保護された構造(酸分解性基)を有する繰り返し単位としては、フェノール性水酸基における水素原子が式(Y1)~(Y4)で表される基によって保護された構造を有するものが好ましい。 As a repeating unit having a structure in which a phenolic hydroxyl group is protected by a leaving group that decomposes and leaves under the action of an acid (acid-decomposable group), the hydrogen atom in the phenolic hydroxyl group is represented by formulas (Y1) to (Y4) Those having a structure protected by a group represented by are preferred.

フェノール性水酸基が酸の作用により分解して脱離する脱離基で保護された構造(酸分解性基)を有する繰り返し単位としては、下記一般式(AII)で表される繰り返し単位が好ましい。 As the repeating unit having a structure (acid-decomposable group) in which a phenolic hydroxyl group is protected by a leaving group that decomposes and leaves under the action of an acid, a repeating unit represented by the following general formula (AII) is preferable.

Figure 0007309907000016
Figure 0007309907000016

一般式(AII)中、
61、R62及びR63は、各々独立に、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基、又はアルコキシカルボニル基を表す。但し、R62はArと結合して環を形成していてもよく、その場合のR62は単結合又はアルキレン基を表す。
は、単結合、-COO-、又は-CONR64-を表す。R64は、水素原子又はアルキル基を表す。
は、単結合又はアルキレン基を表す。
Arは、(n+1)価の芳香族炭化水素基を表し、R62と結合して環を形成する場合には(n+2)価の芳香族炭化水素基を表す。
は、n≧2の場合には各々独立に、水素原子又は酸の作用により脱離する基を表す。但し、Yの少なくとも1つは、酸の作用により脱離する基を表す。Yとしての酸の作用により脱離する基は、式(Y1)~(Y4)であることが好ましい。
nは、1~4の整数を表す。
In the general formula (AII),
R 61 , R 62 and R 63 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an alkoxycarbonyl group. However, R62 may combine with Ar6 to form a ring, in which case R62 represents a single bond or an alkylene group.
X 6 represents a single bond, -COO- or -CONR 64 -. R64 represents a hydrogen atom or an alkyl group.
L6 represents a single bond or an alkylene group.
Ar 6 represents an (n+1)-valent aromatic hydrocarbon group, and when combined with R 62 to form a ring, represents an (n+2)-valent aromatic hydrocarbon group.
Each Y 2 independently represents a hydrogen atom or a group leaving by the action of an acid when n≧2. However, at least one of Y2 represents a group that leaves under the action of an acid. The group that is eliminated by the action of an acid as Y 2 is preferably represented by formulas (Y1) to (Y4).
n represents an integer of 1-4.

上記各基は置換基を有していてもよく、置換基としては、例えば、アルキル基(炭素数1~4)、ハロゲン原子、水酸基、アルコキシ基(炭素数1~4)、カルボキシル基、及びアルコキシカルボニル基(炭素数2~6)等が挙げられ、炭素数8以下のものが好ましい。 Each of the above groups may have a substituent, and examples of the substituent include an alkyl group (having 1 to 4 carbon atoms), a halogen atom, a hydroxyl group, an alkoxy group (having 1 to 4 carbon atoms), a carboxyl group, and Examples thereof include alkoxycarbonyl groups (having 2 to 6 carbon atoms), and those having 8 or less carbon atoms are preferred.

以下にフェノール性水酸基が酸の作用により分解して脱離する脱離基で保護された構造を有する繰り返し単位の具体例を挙げるが、これらの具体例に限定されない。 Specific examples of the repeating unit having a structure in which a phenolic hydroxyl group is protected by a leaving group that decomposes and leaves under the action of an acid are shown below, but are not limited to these specific examples.

Figure 0007309907000017
Figure 0007309907000017

Figure 0007309907000018
Figure 0007309907000018

樹脂(A)は、酸分解性基を有する構成単位を、1種単独で含んでもよく、2種以上を含んでもよい。 The resin (A) may contain one type of structural unit having an acid-decomposable group, or may contain two or more types.

樹脂(A)に含まれる酸分解性基を有する構成単位の含有量(酸分解性基を有する構成単位が複数存在する場合はその合計)は、樹脂(A)の全構成単位に対して、5モル%~90モル%が好ましく、10モル%~80モル%がより好ましく、15モル%~70モル%が更に好ましい。
なお、本発明において、「構成単位」の含有量をモル比で規定する場合、上記「構成単位」は「モノマー単位」と同義であるものとする。また、本発明において上記「モノマー単位」は、高分子反応等により重合後に修飾されていてもよい。以下においても同様である。
The content of structural units having an acid-decomposable group contained in the resin (A) (the total when there are a plurality of structural units having an acid-decomposable group) is 5 mol % to 90 mol % is preferred, 10 mol % to 80 mol % is more preferred, and 15 mol % to 70 mol % is even more preferred.
In addition, in the present invention, when the content of the "structural unit" is defined by the molar ratio, the above "structural unit" is synonymous with the "monomer unit". Further, in the present invention, the above-mentioned "monomer unit" may be modified after polymerization by a polymer reaction or the like. The same applies to the following.

〔ラクトン構造、スルトン構造、及びカーボネート構造よりなる群から選択される少なくとも1種を有する構成単位〕
樹脂(A)は、ラクトン構造、スルトン構造、及びカーボネート構造よりなる群から選択される少なくとも1種を有する構成単位を有することが好ましい。
[Structural unit having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure]
Resin (A) preferably has a structural unit having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure.

ラクトン構造又はスルトン構造としては、ラクトン構造又はスルトン構造を有していればいずれでも用いることができるが、好ましくは5~7員環ラクトン構造又は5~7員環スルトン構造であり、5~7員環ラクトン構造にビシクロ構造、スピロ構造を形成する形で他の環構造が縮環しているもの、又は5~7員環スルトン構造にビシクロ構造、スピロ構造を形成する形で他の環構造が縮環しているものがより好ましい。下記式LC1-1~LC1-21のいずれかで表されるラクトン構造、又は下記式SL1-1~SL1-3のいずれかで表されるスルトン構造を有する構成単位を有することが更に好ましい。また、ラクトン構造又はスルトン構造が主鎖に直接結合していてもよい。好ましい構造としてはLC1-1、LC1-4、LC1-5、LC1-8、LC1-16、LC1-21、SL1-1である。 As the lactone structure or sultone structure, any structure having a lactone structure or sultone structure can be used. A membered lactone structure to which another ring structure is condensed to form a bicyclo structure or spiro structure, or a 5- to 7-membered sultone structure to which a bicyclo structure or spiro structure is formed to form another ring structure is more preferably a ring-condensed one. It is more preferable to have a structural unit having a lactone structure represented by any one of formulas LC1-1 to LC1-21 below or a sultone structure represented by any one of formulas SL1-1 to SL1-3 below. Also, the lactone structure or sultone structure may be directly bonded to the main chain. Preferred structures are LC1-1, LC1-4, LC1-5, LC1-8, LC1-16, LC1-21 and SL1-1.

Figure 0007309907000019
Figure 0007309907000019

ラクトン構造部分又はスルトン構造部分は、置換基(Rb)を有していても有していなくてもよい。好ましい置換基(Rb)としては、炭素数1~8のアルキル基、炭素数4~7のシクロアルキル基、炭素数1~8のアルコキシ基、炭素数2~8のアルコキシカルボニル基、カルボキシル基、フッ素原子以外のハロゲン原子、水酸基、シアノ基、及び酸分解性基などが挙げられる。より好ましくは炭素数1~4のアルキル基、シアノ基、及び酸分解性基である。n2は、0~4の整数を表す。n2が2以上の時、複数存在する置換基(Rb)は、同一でも異なっていてもよい。また、複数存在する置換基(Rb)同士が結合して環を形成してもよい。The lactone structure portion or sultone structure portion may or may not have a substituent (Rb 2 ). Preferred substituents (Rb 2 ) include alkyl groups having 1 to 8 carbon atoms, cycloalkyl groups having 4 to 7 carbon atoms, alkoxy groups having 1 to 8 carbon atoms, alkoxycarbonyl groups having 2 to 8 carbon atoms, and carboxyl groups. , halogen atoms other than fluorine atoms, hydroxyl groups, cyano groups, and acid-decomposable groups. More preferred are alkyl groups having 1 to 4 carbon atoms, cyano groups, and acid-decomposable groups. n2 represents an integer of 0-4. When n2 is 2 or more, the multiple substituents (Rb 2 ) may be the same or different. Also, a plurality of substituents (Rb 2 ) may be bonded to each other to form a ring.

ラクトン構造又はスルトン構造を有する構成単位は、下記式IIIで表される構成単位であることが好ましい。
また、酸分解性基を有する構成単位を有する樹脂は、下記式IIIで表される構成単位を含むことが好ましい。
A structural unit having a lactone structure or a sultone structure is preferably a structural unit represented by Formula III below.
Moreover, the resin having a structural unit having an acid-decomposable group preferably contains a structural unit represented by Formula III below.

上記式III中、
Aは、エステル結合(-COO-で表される基)又はアミド結合(-CONH-で表される基)を表す。
nは、-R-Z-で表される構造の繰り返し数であり、0~5の整数を表し、0又は1であることが好ましく、0であることがより好ましい。nが0である場合、-R-Z-は存在せず、AとRとが単結合により結合される。
は、アルキレン基、シクロアルキレン基、又はその組み合わせを表す。Rは、複数個ある場合にはそれぞれ独立に、アルキレン基、シクロアルキレン基、又はその組み合わせを表す。
Zは、単結合、エーテル結合、エステル結合、アミド結合、ウレタン結合又はウレア結合を表す。Zは、複数個ある場合にはそれぞれ独立に、単結合、エーテル結合、エステル結合、アミド結合、ウレタン結合又はウレア結合を表す。
は、ラクトン構造又はスルトン構造を有する1価の有機基を表す。
は、水素原子、フッ素原子以外のハロゲン原子又は1価の有機基(好ましくはメチル基)を表す。
In the above formula III,
A represents an ester bond (group represented by -COO-) or an amide bond (group represented by -CONH-).
n is the number of repetitions of the structure represented by -R 0 -Z- and represents an integer of 0 to 5, preferably 0 or 1, more preferably 0; When n is 0, -R 0 -Z- is absent and A and R 8 are joined by a single bond.
R 0 represents an alkylene group, a cycloalkylene group, or a combination thereof. When there are more than one R 0 , each independently represents an alkylene group, a cycloalkylene group, or a combination thereof.
Z represents a single bond, ether bond, ester bond, amide bond, urethane bond or urea bond. When Z is plural, each independently represents a single bond, an ether bond, an ester bond, an amide bond, a urethane bond or a urea bond.
R8 represents a monovalent organic group having a lactone structure or a sultone structure.
R7 represents a hydrogen atom, a halogen atom other than a fluorine atom, or a monovalent organic group (preferably a methyl group).

のアルキレン基又はシクロアルキレン基は置換基を有してもよい。
Zは好ましくは、エーテル結合、又はエステル結合であり、より好ましくはエステル結合である。
The alkylene group or cycloalkylene group of R 0 may have a substituent.
Z is preferably an ether bond or an ester bond, more preferably an ester bond.

以下に式IIIで表される構成単位に相当するモノマーの具体例、及び後述する式A-1で表される構成単位に相当するモノマーの具体例を挙げるが、本発明は、これらの具体例に限定されない。下記の具体例は、式IIIにおけるR及び後述する式A-1におけるR がメチル基である場合に相当するが、R及びR は、水素原子、フッ素原子以外のハロゲン原子、又は1価の有機基に任意に置換することができる。Specific examples of the monomer corresponding to the structural unit represented by the formula III below, and specific examples of the monomer corresponding to the structural unit represented by the formula A-1 to be described later will be given, but the present invention is not limited to these specific examples. is not limited to The following specific examples correspond to cases where R 7 in formula III and R A 1 in formula A-1 described later are methyl groups, and R 7 and R A 1 are hydrogen atoms and halogen atoms other than fluorine atoms. , or a monovalent organic group.

Figure 0007309907000021
Figure 0007309907000021

上記モノマーの他に下記に示すモノマーも樹脂(A)の原料として好適に用いられる。 In addition to the above monomers, monomers shown below are also suitably used as raw materials for the resin (A).

樹脂(A)は、カーボネート構造を有する構成単位を有していてもよい。カーボネート構造は、環状炭酸エステル構造であることが好ましい。
環状炭酸エステル構造を有する構成単位は、下記式A-1で表される構成単位であることが好ましい。
Resin (A) may have a structural unit having a carbonate structure. The carbonate structure is preferably a cyclic carbonate structure.
A structural unit having a cyclic carbonate structure is preferably a structural unit represented by the following formula A-1.

式A-1中、R は、水素原子、フッ素原子以外のハロゲン原子又は1価の有機基(好ましくはメチル基)を表し、nは0以上の整数を表し、R は、置換基を表す。R は、nが2以上の場合は各々独立して、置換基を表し、Aは、単結合、又は2価の連結基を表し、Zは、式中の-O-C(=O)-O-で表される基と共に単環構造又は多環構造を形成する原子団を表す。In formula A-1, R A 1 represents a hydrogen atom, a halogen atom other than a fluorine atom, or a monovalent organic group (preferably a methyl group), n represents an integer of 0 or more, and R A 2 represents a substituted represents a group. R A 2 each independently represents a substituent when n is 2 or more, A represents a single bond or a divalent linking group, and Z represents —O—C (=O ) represents an atomic group that forms a monocyclic or polycyclic structure together with the group represented by —O—.

樹脂(A)は、ラクトン構造、スルトン構造、及びカーボネート構造よりなる群から選択される少なくとも1種を有する構成単位として、米国特許出願公開第2016/0070167号明細書の段落0370~0414に記載の構成単位を有することも好ましい。 The resin (A) is a structural unit having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure, as described in paragraphs 0370 to 0414 of US Patent Application Publication No. 2016/0070167. It is also preferred to have structural units.

樹脂(A)は、少なくとも2つのラクトン構造を有する構成単位(a)(以下、「構成単位(a)」ともいう。)を有していることが好ましい。
少なくとも2つのラクトン構造は、例えば、少なくとも2つのラクトン構造が縮環している構造であってもよく、また、少なくとも2つのラクトン構造が単結合又は連結基によって連結している構造であってもよい。
構成単位(a)が有するラクトン構造は、特に限定されないが、5~7員環ラクトン構造が好ましく、5~7員環ラクトン構造にビシクロ構造、スピロ構造を形成する形で他の環構造が縮環しているものが好ましい。
上記ラクトン構造は、例えば、上述したLC1-1~LC1-21のいずれかで表されるラクトン構造が好ましく挙げられる。
The resin (A) preferably has at least two structural units (a) having a lactone structure (hereinafter also referred to as "structural unit (a)").
The at least two lactone structures may be, for example, a structure in which at least two lactone structures are condensed, or a structure in which at least two lactone structures are linked by a single bond or a linking group. good.
The lactone structure of the structural unit (a) is not particularly limited, but is preferably a 5- to 7-membered lactone structure, and the 5- to 7-membered lactone structure is fused with another ring structure to form a bicyclo structure or a spiro structure. A cyclic one is preferred.
The lactone structure preferably includes, for example, a lactone structure represented by any one of LC1-1 to LC1-21 described above.

少なくとも2つのラクトン構造を有する構成単位(以下、「構成単位(a)」ともいう。)は、下記式L-1で表される構成単位であることが好ましい。 The structural unit having at least two lactone structures (hereinafter also referred to as “structural unit (a)”) is preferably a structural unit represented by formula L-1 below.

式L-1中、Raは、水素原子又はアルキル基を表し、Rbは、2つ以上のラクトン構造を有する部分構造を表す。 In formula L-1, Ra represents a hydrogen atom or an alkyl group, and Rb represents a partial structure having two or more lactone structures.

Raのアルキル基は、炭素数1~4のアルキル基が好ましく、メチル基又はエチル基がより好ましく、メチル基が特に好ましい。Raのアルキル基は置換されていてもよい。置換基としては、例えば、フッ素原子、塩素原子、臭素原子等のハロゲン原子やメルカプト基、ヒドロキシ基、メトキシ基、エトキシ基、イソプロポキシ基、t-ブトキシ基、ベンジルオキシ基等のアルコキシ基、アセチル基、プロピオニル基等のアセトキシ基が挙げられる。Raは、水素原子、メチル基、トリフルオロメチル基、及び、ヒドロキシメチル基が好ましい。 The alkyl group for Ra is preferably an alkyl group having 1 to 4 carbon atoms, more preferably a methyl group or an ethyl group, particularly preferably a methyl group. The alkyl group of Ra may be substituted. Examples of substituents include halogen atoms such as fluorine, chlorine and bromine atoms; alkoxy groups such as mercapto, hydroxy, methoxy, ethoxy, isopropoxy, t-butoxy, and benzyloxy; and acetoxy groups such as propionyl groups. Ra is preferably a hydrogen atom, a methyl group, a trifluoromethyl group, or a hydroxymethyl group.

Rb部分構造が有するラクトン構造は、例えば、上述したラクトン構造が挙げられる。
Rbの2つ以上のラクトン構造を有する部分構造は、例えば、少なくとも2つのラクトン構造が単結合又は連結基によって連結している構造、及び、少なくとも2つのラクトン構造が縮環している構造が好ましい。
少なくとも2つのラクトン構造が縮環している構造を有する構成単位(a1)、及び、少なくとも2つのラクトン構造が単結合又は連結基によって連結している構造を有する構成単位(a2)について、以下に各々説明する。
Examples of the lactone structure possessed by the Rb partial structure include the lactone structure described above.
The partial structure of Rb having two or more lactone structures is preferably, for example, a structure in which at least two lactone structures are linked by a single bond or a linking group, and a structure in which at least two lactone structures are condensed. .
The structural unit (a1) having a structure in which at least two lactone structures are condensed and the structural unit (a2) having a structure in which at least two lactone structures are linked by a single bond or a linking group are described below. Each will be explained.

-少なくとも2つのラクトン構造が縮環している構造を有する構成単位(a1)-
少なくとも2つのラクトン構造が縮環している構造は、2つ又は3つのラクトン構造が縮環している構造であることが好ましく、また、2つのラクトン構造が縮環している構造であることがより好ましい。
少なくとも2つのラクトン構造が縮環している構造を有する構成単位(以下、「構成単位(a1)」ともいう。)は、例えば、下記式L-2で表される構成単位が挙げられる。
-Structural unit (a1) having a structure in which at least two lactone structures are condensed-
The structure in which at least two lactone structures are condensed is preferably a structure in which two or three lactone structures are condensed, and a structure in which two lactone structures are condensed. is more preferred.
Examples of structural units having a structure in which at least two lactone structures are condensed (hereinafter also referred to as “structural unit (a1)”) include structural units represented by the following formula L-2.

式L-2中、Raは、式L-1のRaと同義であり、Re~Reはそれぞれ独立に、水素原子又はアルキル基を表し、Meは、単結合又は2価の連結基を表し、Me及びMeはそれぞれ独立に、2価の連結基を表す。In formula L-2, Ra has the same definition as Ra in formula L-1, Re 1 to Re 8 each independently represent a hydrogen atom or an alkyl group, Me 1 is a single bond or a divalent linking group and Me 2 and Me 3 each independently represent a divalent linking group.

Re~Reのアルキル基は、例えば、炭素数5以下であることが好ましく、また、炭素数1であることがより好ましい。
Re~Reの炭素数5以下のアルキル基は、例えば、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、t-ブチル基、n-ペンチル基、イソペンチル基、s-ペンチル基、t-ペンチル基などが挙げられる。
中でも、Re~Reは、水素原子が好ましい。
The alkyl groups of Re 1 to Re 8 preferably have, for example, 5 or less carbon atoms, and more preferably 1 carbon atom.
The alkyl group of Re 1 to Re 8 having 5 or less carbon atoms is, for example, methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group, t-butyl group, n-pentyl group, isopentyl group, s-pentyl group, t-pentyl group, and the like.
Among them, Re 1 to Re 8 are preferably hydrogen atoms.

Meの2価の連結基は、例えば、アルキレン基、シクロアルキレン基、-O-、-CO-、-COO-、-OCO-、及び、これらの2つ以上の基を組み合わせた基が挙げられる。
Meのアルキレン基は、例えば、炭素数1~10であることが好ましい。また、炭素数1又は2であることがより好ましく、炭素数1又は2のアルキレン基としては、例えば、メチレン基又はエチレン基が好ましい。
Meのアルキレン基は、直鎖状でも分岐鎖状でもよく、例えば、メチレン基、エタン-1,1-ジイル基、エタン-1,2-ジイル基、プロパン-1,1-ジイル基、プロパン-1,3-ジイル基、プロパン-2,2-ジイル基、ペンタン-1,5-ジイル、ヘキサン-1,6-ジイル基などが挙げられる。
Meのシクロアルキレン基は、例えば、炭素数5~10であることが好ましく、また、炭素数5又は6であることがより好ましい。
Meのシクロアルキレン基は、例えば、シクロペンチレン基、シクロヘキシレン基、シクロへプチレン基、シクロオクチレン基、シクロデシレン基などが挙げられる。
Meの2価の連結基として、上記2つ以上の基を組み合わせた基は、例えば、アルキレン基と-COO-とを組み合わせた基、及び、-OCO-とアルキレン基とを組み合わせた基が好ましい。また、上記2つ以上の基を組み合わせた基は、メチレン基と-COO-基とを組み合わせた基、及び、-COO-基とメチレン基と組み合わせた基がより好ましい。
The divalent linking group for Me 1 includes, for example, an alkylene group, a cycloalkylene group, -O-, -CO-, -COO-, -OCO-, and groups in which two or more of these groups are combined. be done.
The alkylene group of Me 1 preferably has, for example, 1 to 10 carbon atoms. Moreover, it is more preferable that it has 1 or 2 carbon atoms, and the alkylene group having 1 or 2 carbon atoms is preferably, for example, a methylene group or an ethylene group.
The alkylene group of Me 1 may be linear or branched, for example methylene group, ethane-1,1-diyl group, ethane-1,2-diyl group, propane-1,1-diyl group, propane -1,3-diyl group, propane-2,2-diyl group, pentane-1,5-diyl group, hexane-1,6-diyl group and the like.
The cycloalkylene group of Me 1 preferably has, for example, 5 to 10 carbon atoms, and more preferably 5 or 6 carbon atoms.
The cycloalkylene group of Me 1 includes, for example, a cyclopentylene group, a cyclohexylene group, a cycloheptylene group, a cyclooctylene group, a cyclodecylene group and the like.
As the divalent linking group for Me 1 , the group in which two or more groups are combined, for example, a group in which an alkylene group and -COO- are combined, and a group in which -OCO- and an alkylene group are combined. preferable. Further, the group obtained by combining two or more groups is more preferably a group obtained by combining a methylene group and a -COO- group, and a group obtained by combining a -COO- group and a methylene group.

Me及びMeの2価の連結基は、例えば、アルキレン基、-O-などが挙げられる。Me及びMeの2価の連結基は、メチレン基、エチレン基、-O-が好ましく、-O-がより好ましい。Examples of the divalent linking group of Me 2 and Me 3 include an alkylene group, —O— and the like. The divalent linking group of Me 2 and Me 3 is preferably a methylene group, an ethylene group or -O-, more preferably -O-.

構成単位(a1)に対応するモノマーは、例えば、特開2015-160836号公報に記載された方法によって合成することができる。 A monomer corresponding to the structural unit (a1) can be synthesized, for example, by the method described in JP-A-2015-160836.

以下に、構成単位(a1)の具体例を示すが、本発明はこれに限定されるものではない。以下の各式中、Rは水素原子、メチル基、トリフルオロメチル基又はヒドロキシメチル基を表し、*は他の構成単位との結合位置を表す。Specific examples of the structural unit (a1) are shown below, but the present invention is not limited thereto. In each formula below, R9 represents a hydrogen atom, a methyl group, a trifluoromethyl group or a hydroxymethyl group, and * represents a bonding position with another structural unit.

Figure 0007309907000026
Figure 0007309907000026

Figure 0007309907000027
Figure 0007309907000027

Figure 0007309907000028
Figure 0007309907000028

-少なくとも2つのラクトン構造が単結合又は連結基によって連結している構造を有する構成単位(a2)-
少なくとも2つのラクトン構造が単結合又は連結基によって連結している構造は、2~4つのラクトン構造が単結合又は連結基によって連結している構造であることが好ましく、また、2つのラクトン構造が単結合又は連結基によって連結している構造であることがより好ましい。
連結基は、例えば、後述する式L-3中のMの連結基と挙げられた基と同じ基が挙げられる。
2つ以上のラクトン構造が単結合又は連結基によって連結している構造を有する構成単位(以下、「構成単位(a2)」ともいう。)は、例えば、下記式L-3で表される構成単位が挙げられる。
-Structural unit (a2) having a structure in which at least two lactone structures are linked by a single bond or a linking group-
A structure in which at least two lactone structures are linked by a single bond or a linking group is preferably a structure in which 2 to 4 lactone structures are linked by a single bond or a linking group. A structure in which they are linked by a single bond or a linking group is more preferable.
The linking group includes, for example, the same groups as the linking group for M2 in formula L-3 described later.
A structural unit having a structure in which two or more lactone structures are linked by a single bond or a linking group (hereinafter also referred to as "structural unit (a2)") is, for example, a structure represented by the following formula L-3 units.

式L-3中、Raは、上記式L-1のRaと同義であり、M及びMはそれぞれ独立に、単結合又は連結基を表し、Lc及びLcはそれぞれ独立に、ラクトン構造を有する基を表す。In formula L-3, Ra has the same definition as Ra in formula L-1 above, M 1 and M 2 each independently represent a single bond or a linking group, Lc 1 and Lc 2 each independently represent a lactone represents a group having a structure.

の連結基は、例えば、アルキレン基、シクロアルキレン基、-O-、-CO-、-COO-、-OCO-、及び、これらの2つ以上の基を組み合わせた基が挙げられる。
のアルキレン基は、例えば、炭素数1~10であることが好ましい。
のアルキレン基は、直鎖状でも分岐鎖状でもよく、例えば、メチレン基、エタン-1,1-ジイル基、エタン-1,2-ジイル基、プロパン-1,1-ジイル基、プロパン-1,3-ジイル基、プロパン-2,2-ジイル基、ペンタン-1,5-ジイル、ヘキサン-1,6-ジイル基などが挙げられる。
のシクロアルキレン基は、例えば、炭素数5~10であることが好ましい。
のシクロアルキレン基は、例えば、シクロペンチレン基、シクロヘキシレン基、シクロへプチレン基、シクロオクチレン基、シクロデシレン基などが挙げられる。
の連結基として、上記2つ以上の基を組み合わせた基は、例えば、アルキレン基と-COO-とを組み合わせた基、及び、-OCO-とアルキレン基とを組み合わせた基が好ましい。また、上記2つ以上の基を組み合わせた基は、メチレン基と-COO-基とを組み合わせた基、及び、-COO-基とメチレン基と組み合わせた基がより好ましい。
の連結基は、例えば、Mの連結基で挙げられた基と同じ基が挙げられる。
The linking group of M 1 includes, for example, an alkylene group, a cycloalkylene group, —O—, —CO—, —COO—, —OCO—, and groups in which two or more of these groups are combined.
The alkylene group for M 1 preferably has, for example, 1 to 10 carbon atoms.
The alkylene group of M 1 may be linear or branched, for example methylene group, ethane-1,1-diyl group, ethane-1,2-diyl group, propane-1,1-diyl group, propane -1,3-diyl group, propane-2,2-diyl group, pentane-1,5-diyl group, hexane-1,6-diyl group and the like.
The cycloalkylene group for M 1 preferably has, for example, 5 to 10 carbon atoms.
The cycloalkylene group of M1 includes, for example, a cyclopentylene group, a cyclohexylene group, a cycloheptylene group, a cyclooctylene group, a cyclodecylene group and the like.
As the linking group for M 1 , the group obtained by combining two or more groups described above is preferably, for example, a group obtained by combining an alkylene group and —COO— and a group obtained by combining —OCO— and an alkylene group. Further, the group obtained by combining two or more groups is more preferably a group obtained by combining a methylene group and a -COO- group, and a group obtained by combining a -COO- group and a methylene group.
Examples of the linking group for M2 include the same groups as the linking groups for M1 .

Lcが有するラクトン構造は、例えば、5~7員環ラクトン構造が好ましく、5~7員環ラクトン構造にビシクロ構造、スピロ構造を形成する形で他の環構造が縮環しているものが好ましい。上記ラクトン構造は、上記LC1-1~LC1-21のいずれかで表されるラクトン構造であることがより好ましい。更に好ましいラクトン構造としては、LC1-1、LC1-4、LC1-5、LC1-6、LC1-13、LC1-14及びLC1-17が挙げられる。
Lcが有するラクトン構造は、置換基を含んでいてもよい。Lc1が有するラクトン構造が含んでいてもよい置換基は、例えば、上述したラクトン構造の置換基(Rb2)と同じ置換基が挙げられる。
Lcが有するラクトン構造は、例えば、Lcが有するラクトン構造で挙げられたラクトン構造と同じ構造が挙げられる。
The lactone structure possessed by Lc 1 is, for example, preferably a 5- to 7-membered lactone structure, and the 5- to 7-membered lactone structure is condensed with another ring structure to form a bicyclo structure or a spiro structure. preferable. The lactone structure is more preferably a lactone structure represented by any one of LC1-1 to LC1-21. More preferred lactone structures include LC1-1, LC1-4, LC1-5, LC1-6, LC1-13, LC1-14 and LC1-17.
The lactone structure of Lc 1 may contain a substituent. Examples of the substituent that may be included in the lactone structure of Lc1 include the same substituents as the above-described substituent (Rb2) of the lactone structure.
The lactone structure possessed by Lc2 includes, for example, the same structure as the lactone structure exemplified for the lactone structure possessed by Lc1 .

構成単位(a2)は、上記式L-3で表される構成単位として、下記式L-4で表される構成単位であることが好ましい。 The structural unit (a2) is preferably a structural unit represented by the following formula L-4 as a structural unit represented by the above formula L-3.

式L-4中、Raは、上記式L-1のRaと同義であり、Mf及びMfはそれぞれ独立に、単結合又は連結基を表し、Rf、Rf及びRfはそれぞれ独立に、水素原子又はアルキル基を表し、MfとRfとは、互いに結合して環を形成していてもよく、Mfと、Rf又はRfとはそれぞれ、互いに結合して環を形成していてもよい。In formula L-4, Ra has the same definition as Ra in formula L-1 above, Mf 1 and Mf 2 each independently represent a single bond or a linking group, Rf 1 , Rf 2 and Rf 3 each independently represents a hydrogen atom or an alkyl group, Mf 1 and Rf 1 may be bonded to each other to form a ring, and Mf 2 and Rf 2 or Rf 3 are each bonded to each other to form a ring. may be formed.

Mfの連結基は、上記式L-3のMの連結基と同義である。
Mfの連結基は、上記式L-3のMの連結基と同義である。
Rfのアルキル基は、例えば、炭素数1~4のアルキル基が挙げられる。Rfの炭素数1~4のアルキル基は、メチル基又はエチル基が好ましく、メチル基がより好ましい。Rfのアルキル基は、置換基を有していてもよい。Rfのアルキル基が有していてもよい置換基は、例えば、ヒドロキシ基、メトキシ基及びエトキシ基などのアルコキシ基、シアノ基、フッ素原子などのハロゲン原子が挙げられる。
Rf及びRfのアルキル基は、Rfのアルキル基と同義である。
The connecting group for Mf 1 has the same meaning as the connecting group for M 1 in formula L-3 above.
The connecting group for Mf 2 has the same meaning as the connecting group for M 2 in formula L-3 above.
Examples of alkyl groups for Rf 1 include alkyl groups having 1 to 4 carbon atoms. The alkyl group having 1 to 4 carbon atoms of Rf 1 is preferably a methyl group or an ethyl group, more preferably a methyl group. The alkyl group of Rf 1 may have a substituent. Examples of substituents that the alkyl group of Rf 1 may have include alkoxy groups such as a hydroxy group, a methoxy group and an ethoxy group, a cyano group, and a halogen atom such as a fluorine atom.
The alkyl group of Rf2 and Rf3 is synonymous with the alkyl group of Rf1 .

MfとRfとは、互いに結合して環を形成していてもよい。Mf1とRf1が互いに結合して環を形成した構造は、例えば、上述したラクトン構造中、上述したLC1-13、LC1-14又はLC1-17で表されるラクトン構造が挙げられる。
Mfと、Rf又はRfとはそれぞれ、互いに結合して環を形成していてもよい。
Mf2とRf2が互いに結合して環を形成した構造は、例えば、上述したラクトン構造中、上述したLC1-7、LC1-8又はLC1-15で表されるラクトン構造が挙げられる。
MfとRfとが互いに結合して環を形成した構造は、例えば、上述したラクトン構造中、上述したLC1-3~LC1-6のいずれかで表されるラクトン構造が挙げられる。
以下に、構成単位(a2)の具体例を示すが、本発明はこれに限定されるものではない。*は他の構成単位との結合位置を表す。
Mf 1 and Rf 1 may combine with each other to form a ring. The structure in which Mf1 and Rf1 are bonded to each other to form a ring includes, for example, the lactone structure represented by LC1-13, LC1-14 or LC1-17 described above among the lactone structures described above.
Each of Mf 2 and Rf 2 or Rf 3 may combine with each other to form a ring.
The structure in which Mf2 and Rf2 are bound to each other to form a ring includes, for example, the lactone structure represented by LC1-7, LC1-8 or LC1-15 described above among the lactone structures described above.
Examples of the structure in which Mf 2 and Rf 3 are bonded together to form a ring include, among the lactone structures described above, the lactone structure represented by any one of LC1-3 to LC1-6 described above.
Specific examples of the structural unit (a2) are shown below, but the present invention is not limited thereto. * represents a bonding position with another structural unit.

Figure 0007309907000031
Figure 0007309907000031

少なくとも2つのラクトン構造を有する構成単位は、通常光学異性体が存在するが、いずれの光学異性体を用いてもよい。また、1種の光学異性体を単独で用いても、複数の光学異性体を混合して用いてもよい。1種の光学異性体を主に用いる場合、その光学純度(ee)が90%以上のものが好ましく、より好ましくは95%以上である。 Structural units having at least two lactone structures usually have optical isomers, and any optical isomers may be used. Moreover, one optical isomer may be used alone, or a plurality of optical isomers may be mixed and used. When one kind of optical isomer is mainly used, its optical purity (ee) is preferably 90% or more, more preferably 95% or more.

少なくとも2つのラクトン構造を有する構成単位の含有率は、樹脂(A)中の全構成単位に対し、10モル%~60モル%が好ましく、より好ましくは20モル%~50モル%、更に好ましくは30モル%~50モル%である。
本発明における効果を高めるために、少なくとも2つのラクトン構造を有する構成単位を2種以上併用することも可能である。少なくとも2つのラクトン構造を有する繰り返し単位を2種類以上含有する場合は、少なくとも2つのラクトン構造を有する構成単位の合計の含有率が上述の範囲となることが好ましい。
The content of structural units having at least two lactone structures is preferably 10 mol% to 60 mol%, more preferably 20 mol% to 50 mol%, still more preferably 30 mol % to 50 mol %.
In order to enhance the effects of the present invention, it is also possible to use two or more kinds of structural units having at least two lactone structures in combination. When two or more types of repeating units having at least two lactone structures are contained, the total content of structural units having at least two lactone structures is preferably within the above range.

樹脂(A)は、ラクトン構造、スルトン構造、及びカーボネート構造からなる群から選択される少なくとも1種を有する構成単位を、1種単独で含んでもよく、2種以上を併用して含んでもよい。 The resin (A) may contain a structural unit having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure, singly or in combination of two or more.

樹脂(A)に含まれるラクトン構造、スルトン構造、及びカーボネート構造からなる群から選択される少なくとも1種を有する構成単位の含有量(ラクトン構造、スルトン構造、及びカーボネート構造からなる群から選択される少なくとも1種を有する構成単位が複数存在する場合はその合計)は、樹脂(A)の全構成単位に対して、5モル%~70モル%であることが好ましく、10モル%~65モル%であることがより好ましく、20モル%~60モル%であることが更に好ましい。 The content of structural units having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure contained in the resin (A) (selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure When there are a plurality of structural units having at least one type, the total) is preferably 5 mol% to 70 mol%, and 10 mol% to 65 mol%, based on the total structural units of the resin (A). and more preferably 20 mol % to 60 mol %.

〔極性基を有する構成単位〕
樹脂(A)は、極性基を有する構成単位を有することが好ましい。
極性基としては、水酸基、シアノ基、及び、カルボキシ基等が挙げられる。
極性基を有する構成単位は、極性基で置換された脂環炭化水素構造を有する構成単位であることが好ましい。また、極性基を有する構成単位は、酸分解性基を有さないことが好ましい。極性基で置換された脂環炭化水素構造における、脂環炭化水素構造としては、アダマンチル基、又はノルボルニル基が好ましい。
[Structural Unit Having Polar Group]
Resin (A) preferably has a structural unit having a polar group.
Polar groups include hydroxyl, cyano, and carboxy groups.
A structural unit having a polar group is preferably a structural unit having an alicyclic hydrocarbon structure substituted with a polar group. Moreover, it is preferable that the structural unit having a polar group does not have an acid-decomposable group. Among the alicyclic hydrocarbon structures substituted with a polar group, the alicyclic hydrocarbon structure is preferably an adamantyl group or a norbornyl group.

以下に極性基を有する構成単位に相当するモノマーの具体例を挙げるが、本発明は、これらの具体例に限定されない。また、下記具体例は、メタクリル酸エステル化合物として記載しているが、アクリル酸エステル化合物であってもよい。 Specific examples of the monomer corresponding to the structural unit having a polar group are shown below, but the present invention is not limited to these specific examples. Moreover, although the following specific examples are described as methacrylic acid ester compounds, they may be acrylic acid ester compounds.

Figure 0007309907000032
Figure 0007309907000032

この他にも、極性基を有する構成単位の具体例としては、米国特許出願公開第2016/0070167号明細書の段落0415~0433に開示された構成単位を挙げることができる。
樹脂(A)は、極性基を有する構成単位を、1種単独で含んでもよく、2種以上を併用して含んでもよい。
極性基を有する構成単位の含有量は、樹脂(A)中の全構成単位に対して、5モル%~40モル%が好ましく、5~30モル%がより好ましく、10モル%~25モル%が更に好ましい。
In addition, specific examples of structural units having a polar group include structural units disclosed in paragraphs 0415 to 0433 of US Patent Application Publication No. 2016/0070167.
The resin (A) may contain one type of structural unit having a polar group alone, or may contain two or more types in combination.
The content of the structural unit having a polar group is preferably 5 mol% to 40 mol%, more preferably 5 to 30 mol%, and 10 mol% to 25 mol% with respect to all structural units in the resin (A). is more preferred.

〔酸分解性基及び極性基のいずれも有さない構成単位〕
樹脂(A)は、更に、酸分解性基及び極性基のいずれも有さない構成単位を有することができる。酸分解性基及び極性基のいずれも有さない構成単位は、脂環炭化水素構造を有することが好ましい。酸分解性基及び極性基のいずれも有さない構成単位としては、例えば、米国特許出願公開第2016/0026083号明細書の段落0236~0237に記載された構成単位が挙げられる。酸分解性基及び極性基のいずれも有さない構成単位に相当するモノマーの好ましい例を以下に示す。
[Structural unit having neither acid-decomposable group nor polar group]
The resin (A) can further have a structural unit having neither an acid-decomposable group nor a polar group. A constituent unit having neither an acid-decomposable group nor a polar group preferably has an alicyclic hydrocarbon structure. Structural units having neither an acid-decomposable group nor a polar group include, for example, structural units described in paragraphs 0236 to 0237 of US Patent Application Publication No. 2016/0026083. Preferred examples of monomers corresponding to structural units having neither an acid-decomposable group nor a polar group are shown below.

Figure 0007309907000033
Figure 0007309907000033

この他にも、酸分解性基及び極性基のいずれも有さない構成単位の具体例としては、米国特許出願公開第2016/0070167号明細書の段落0433に開示された構成単位を挙げることができる。
樹脂(A)は、酸分解性基及び極性基のいずれも有さない構成単位を、1種単独で含んでもよく、2種以上を併用して含んでもよい。
酸分解性基及び極性基のいずれも有さない構成単位の含有量は、樹脂(A)中の全構成単位に対して、5~40モル%が好ましく、5~30モル%がより好ましく、5~25モル%が更に好ましい。
In addition, specific examples of structural units having neither an acid-decomposable group nor a polar group include structural units disclosed in paragraph 0433 of US Patent Application Publication No. 2016/0070167. can.
The resin (A) may contain a structural unit having neither an acid-decomposable group nor a polar group, either singly or in combination of two or more.
The content of structural units having neither an acid-decomposable group nor a polar group is preferably 5 to 40 mol%, more preferably 5 to 30 mol%, based on the total structural units in the resin (A). 5 to 25 mol % is more preferred.

〔繰り返し単位(a3)〕
樹脂(A)は、更に、以下の繰り返し単位(a3)を有することができる。
繰り返し単位(a3)は、ホモポリマーとしたときのガラス転移温度が50℃以下であるモノマー(「モノマーa3」ともいう)を由来とする繰り返し単位である。
また、繰り返し単位(a3)は非酸分解性の繰り返し単位である。したがって、繰り返し単位(a3)は酸分解性基を有さない。
[Repeating unit (a3)]
Resin (A) can further have the following repeating unit (a3).
The repeating unit (a3) is a repeating unit derived from a monomer (also referred to as “monomer a3”) having a glass transition temperature of 50° C. or lower when homopolymerized.
Also, the repeating unit (a3) is a non-acid-decomposable repeating unit. Therefore, the repeating unit (a3) does not have an acid-decomposable group.

(ホモポリマーのガラス転移温度の測定方法)
ホモポリマーのガラス転移温度は、カタログ値又は文献値がある場合はその値を採り、無い場合には、示差走査熱量測定(DSC:Differential scanning calorimetry)法によって測定する。Tgの測定に供するホモポリマーの重量平均分子量(Mw)は18000とし、分散度(Mw/Mn)は1.7とする。DSC装置としては、ティー・エイ・インスツルメント・ジャパン(株)社製熱分析DSC示差走査熱量計Q1000型を用い、昇温速度は10℃/minで測定する。
なお、Tgの測定に供するホモポリマーは、対応するモノマーを用いて公知の方法で合成すればよく、例えば一般的な滴下重合法などで合成することができる。以下に一例を示す。
プロピレングリコールモノメチルエーテルアセテート(PGMEA)54質量部を窒素気流下、80℃に加熱した。この液を攪拌しながら、対応するモノマー21質量%、2,2’-アゾビスイソ酪酸ジメチル0.35質量%を含むPGMEA溶液125質量部を6時間かけて滴下した。滴下終了後、80℃で更に2時間攪拌した。反応液を放冷後、多量のメタノール/水(質量比9:1)で再沈殿、ろ過し、得られた固体を乾燥することでホモポリマー(Mw:18000、Mw/Mn:1.7)を得た。得られたホモポリマーをDSC測定に供した。DSC装置及び昇温速度は前述のとおりとした。
(Method for measuring glass transition temperature of homopolymer)
If there is a catalog value or literature value, the glass transition temperature of the homopolymer is taken, and if not, it is measured by a differential scanning calorimetry (DSC) method. The weight average molecular weight (Mw) of the homopolymer used for Tg measurement is 18,000, and the degree of dispersion (Mw/Mn) is 1.7. As a DSC device, a thermal analysis DSC differential scanning calorimeter Q1000 manufactured by TA Instruments Japan Co., Ltd. is used, and the temperature is measured at a rate of temperature increase of 10° C./min.
The homopolymer to be subjected to Tg measurement may be synthesized by a known method using corresponding monomers, for example, it can be synthesized by a general dropping polymerization method. An example is shown below.
54 parts by mass of propylene glycol monomethyl ether acetate (PGMEA) was heated to 80° C. under a nitrogen stream. While stirring this liquid, 125 parts by mass of a PGMEA solution containing 21% by mass of the corresponding monomer and 0.35% by mass of dimethyl 2,2'-azobisisobutyrate was added dropwise over 6 hours. After the dropwise addition was completed, the mixture was further stirred at 80° C. for 2 hours. After allowing the reaction solution to cool, it was reprecipitated with a large amount of methanol/water (mass ratio 9:1), filtered, and the obtained solid was dried to give a homopolymer (Mw: 18000, Mw/Mn: 1.7). got The resulting homopolymer was subjected to DSC measurement. The DSC apparatus and heating rate were as described above.

モノマーa3は、ホモポリマーとしたときのガラス転移温度(Tg)が50℃以下であれば特に限定されず、ドットパターンの解像性の向上、及びエッチング時に発生し得るレジストパターンの側壁におけるラフネスの抑制の観点から、ホモポリマーとしたときのTgが30℃以下であることが好ましい。モノマーa3をホモポリマーとしたときのTgの下限は特に限定されないが、-80℃以上であることが好ましく、より好ましくは-70℃以上であり、更に好ましくは-60℃以上であり、特に好ましくは-50℃以上である。モノマーa3をホモポリマーとしたときのTgの下限を上記範囲とすることで、加熱時のパターンの流動性が抑制され、ドットパターンの垂直性がより向上するため好ましい。 The monomer a3 is not particularly limited as long as it has a glass transition temperature (Tg) of 50° C. or less when converted to a homopolymer, and improves the resolution of the dot pattern and reduces the roughness on the side wall of the resist pattern that may occur during etching. From the viewpoint of suppression, the Tg of the homopolymer is preferably 30° C. or lower. The lower limit of Tg when the monomer a3 is a homopolymer is not particularly limited, but is preferably −80° C. or higher, more preferably −70° C. or higher, still more preferably −60° C. or higher, and particularly preferably. is -50°C or higher. By setting the lower limit of Tg in the above range when the monomer a3 is a homopolymer, the flowability of the pattern during heating is suppressed, and the perpendicularity of the dot pattern is further improved, which is preferable.

繰り返し単位(a3)としては、残留溶剤をより揮発しやすくできる点で、鎖中にヘテロ原子を含んでいてもよい、炭素数が2以上の非酸分解性アルキル基を有する繰り返し単位であることが好ましい。本明細書において「非酸分解性」とは、光酸発生剤が発生する酸により、脱離/分解反応が起こらない性質を有することを意味する。
つまり、「非酸分解性アルキル基」とは、より具体的には、光酸発生剤が発生する酸の作用により樹脂(A)から脱離しないアルキル基、又は、光酸発生剤が発生する酸の作用により分解しないアルキル基が挙げられる。
非酸分解性アルキル基は直鎖状及び分岐鎖状のいずれであってもよい。
以下、鎖中にヘテロ原子を含んでいてもよい、炭素数が2以上の非酸分解性アルキル基を有する繰り返し単位について説明する。
The repeating unit (a3) is a repeating unit having a non-acid-decomposable alkyl group having 2 or more carbon atoms, which may contain a heteroatom in the chain, in that the residual solvent can be more easily volatilized. is preferred. As used herein, the term "non-acid-degradable" means having a property of not undergoing a desorption/decomposition reaction by an acid generated by a photoacid generator.
That is, the "non-acid-decomposable alkyl group" more specifically means an alkyl group that does not detach from the resin (A) by the action of an acid generated by a photoacid generator, or a photoacid generator is generated. Alkyl groups that are not decomposed by the action of acids are exemplified.
The non-acid-decomposable alkyl group may be linear or branched.
The repeating unit having a non-acid-decomposable alkyl group with 2 or more carbon atoms, which may contain a heteroatom in the chain, is described below.

鎖中にヘテロ原子を含んでいてもよい、炭素数が2以上の非酸分解性アルキル基としては、特に限定されないが、例えば、炭素数が2~20のアルキル基、及び、鎖中にヘテロ原子を含有する炭素数2~20のアルキル基が挙げられる。
鎖中にヘテロ原子を含有する炭素数2~20のアルキル基としては、例えば、1つ又は2つ以上の-CH-が、-O-、-S-、-CO-、-NR-、又はこれらを2以上組み合わせた2価の有機基で置換されたアルキル基が挙げられる。上記Rは、水素原子、又は炭素数が1~6のアルキル基を表す。
鎖中にヘテロ原子を含んでいてもよい、炭素数が2以上の非酸分解性アルキル基としては、具体的には、メチル基、エチル基、プロピル基、ブチル基、ペンチル基、ヘキシル基、ヘプチル基、オクチル基、ノニル基、デシル基、ラウリル基、ステアリル基、イソブチル基、sec-ブチル基、1-エチルペンチル基、及び2-エチルヘキシル基、並びに、これらの1つ又は2つ以上の-CH-が-O-又は-O-CO-で置換された1価のアルキル基が挙げられる。
The non-acid-decomposable alkyl group having 2 or more carbon atoms, which may contain a heteroatom in the chain, is not particularly limited. Atom-containing alkyl groups having 2 to 20 carbon atoms are included.
Examples of alkyl groups having 2 to 20 carbon atoms containing heteroatoms in the chain include one or more —CH 2 —, —O—, —S—, —CO—, and —NR 6 —. , or an alkyl group substituted with a divalent organic group in which two or more of these are combined. R 6 above represents a hydrogen atom or an alkyl group having 1 to 6 carbon atoms.
Specific examples of the non-acid-decomposable alkyl group having 2 or more carbon atoms which may contain a heteroatom in the chain include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, heptyl group, octyl group, nonyl group, decyl group, lauryl group, stearyl group, isobutyl group, sec-butyl group, 1-ethylpentyl group, and 2-ethylhexyl group, and one or more of these - A monovalent alkyl group in which CH 2 — is substituted with —O— or —O—CO— is mentioned.

鎖中にヘテロ原子を含んでいてもよい、炭素数が2以上の非酸分解性アルキル基の炭素数としては、2以上16以下であることが好ましく、2以上10以下であることがより好ましく、2以上8以下であることが更に好ましい。炭素数が2以上の非酸分解性アルキル基の炭素数の下限は4以上であることが好ましい。
なお、炭素数が2以上の非酸分解性アルキル基は、置換基(例えば置換基T)を有していてもよい。
The carbon number of the non-acid-decomposable alkyl group having 2 or more carbon atoms, which may contain a heteroatom in the chain, is preferably 2 or more and 16 or less, more preferably 2 or more and 10 or less. , is more preferably 2 or more and 8 or less. The lower limit of the carbon number of the non-acid-decomposable alkyl group having 2 or more carbon atoms is preferably 4 or more.
In addition, the non-acid-decomposable alkyl group having 2 or more carbon atoms may have a substituent (for example, a substituent T).

繰り返し単位(a3)は、下記一般式(1-2)で表される繰り返し単位であることが好ましい。 The repeating unit (a3) is preferably a repeating unit represented by the following general formula (1-2).

Figure 0007309907000034
Figure 0007309907000034

一般式(1-2)中、Rは、水素原子、ハロゲン原子、アルキル基、又はシクロアルキル基を表す。Rは、鎖中にヘテロ原子を含んでいてもよい、炭素数が2以上の非酸分解性アルキル基を表す。In general formula (1-2), R 1 represents a hydrogen atom, a halogen atom, an alkyl group, or a cycloalkyl group. R 2 represents a non-acid-decomposable alkyl group having 2 or more carbon atoms, which may contain a heteroatom in the chain.

で表されるハロゲン原子としては、特に限定されないが、例えば、フッ素原子、塩素原子、臭素原子及びヨウ素原子等が挙げられる。
で表されるアルキル基としては、特に限定されないが、例えば、炭素数1~10のアルキル基が挙げられ、具体的には、メチル基、エチル基、及びtert-ブチル基等が挙げられる。なかでも、炭素数1~3のアルキル基が好ましく、メチル基がより好ましい。
で表されるシクロアルキル基としては、特に限定されないが、例えば、炭素数5~10のシクロアルキル基が挙げられ、より具体的にはシクロヘキシル基等が挙げられる。
としては、なかでも、水素原子又はメチル基が好ましい。
The halogen atom represented by R 1 is not particularly limited, but examples thereof include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom.
The alkyl group represented by R 1 is not particularly limited, but examples thereof include alkyl groups having 1 to 10 carbon atoms, and specific examples include methyl group, ethyl group, tert-butyl group, and the like. . Among them, an alkyl group having 1 to 3 carbon atoms is preferred, and a methyl group is more preferred.
The cycloalkyl group represented by R 1 is not particularly limited, but includes, for example, a cycloalkyl group having 5 to 10 carbon atoms, more specifically a cyclohexyl group and the like.
R 1 is preferably a hydrogen atom or a methyl group.

で表される鎖中にヘテロ原子を含んでいてもよい、炭素数が2以上の非酸分解性アルキル基の定義及び好適態様は、上述した通りである。The definition and preferred embodiments of the non-acid-decomposable alkyl group having 2 or more carbon atoms which may contain a heteroatom in the chain represented by R 2 are as described above.

また、繰り返し単位(a3)は、残留溶剤をより揮発しやすくできる点で、鎖中にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性アルキル基、又は、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基を有する繰り返し単位であってもよい。
以下、鎖中にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性アルキル基、又は、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基を有する繰り返し単位について説明する。
In addition, the repeating unit (a3) is a non-acid-decomposable alkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom in the chain, or a It may be a repeating unit having a non-acid-decomposable cycloalkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom.
Hereinafter, a non-acid-degradable alkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom in the chain, or a non-acid-degradable alkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom in the ring member A repeating unit having a cycloalkyl group will be described.

非酸分解性アルキル基としては、直鎖状及び分岐鎖状のいずれであってもよい。
非酸分解性アルキル基の炭素数は、2以上が好ましく、ホモポリマーのTgが50℃以下とする観点から、上記非酸分解性アルキル基の炭素数の上限は、例えば20以下であることが好ましい。
The non-acid-decomposable alkyl group may be linear or branched.
The number of carbon atoms in the non-acid-decomposable alkyl group is preferably 2 or more, and from the viewpoint that the Tg of the homopolymer is 50° C. or less, the upper limit of the carbon number in the non-acid-decomposable alkyl group is, for example, 20 or less. preferable.

鎖中にヘテロ原子を含んでいてもよい、非酸分解性アルキル基としては、特に限定されず、例えば、炭素数が2~20のアルキル基、及び、鎖中にヘテロ原子を含有する炭素数2~20のアルキル基が挙げられる。なお、上記アルキル基中の水素原子の少なくとも一つは、カルボキシ基又は水酸基で置換されている。
鎖中にヘテロ原子を含有する炭素数2~20のアルキル基としては、例えば、1つ又は2つ以上の-CH-が、-O-、-S-、-CO-、-NR-、又はこれらを2以上組み合わせた2価の有機基で置換されたアルキル基が挙げられる。上記Rは、水素原子、又は炭素数が1~6のアルキル基を表す。
The non-acid-decomposable alkyl group, which may contain a heteroatom in the chain, is not particularly limited. 2 to 20 alkyl groups are included. At least one hydrogen atom in the alkyl group is substituted with a carboxy group or a hydroxyl group.
Examples of alkyl groups having 2 to 20 carbon atoms containing heteroatoms in the chain include one or more —CH 2 —, —O—, —S—, —CO—, and —NR 6 —. , or an alkyl group substituted with a divalent organic group in which two or more of these are combined. R 6 above represents a hydrogen atom or an alkyl group having 1 to 6 carbon atoms.

鎖中にヘテロ原子を含んでいてもよい、非酸分解性アルキル基の炭素数としては、耐クラック性により優れる(クラックが発生しにくい)点で、2~16が好ましく、2~10がより好ましく、2~8が更に好ましい。
なお、非酸分解性アルキル基は、置換基(例えば置換基T)を有していてもよい。
鎖中にヘテロ原子を含有する、カルボキシ基を有する非酸分解性アルキル基を有する繰り返し単位の具体例としては例えば下記構造の繰り返し単位が挙げられる。
The number of carbon atoms in the non-acid-decomposable alkyl group, which may contain a heteroatom in the chain, is preferably 2 to 16, more preferably 2 to 10, in terms of better crack resistance (less crack generation). 2 to 8 are more preferred.
In addition, the non-acid-decomposable alkyl group may have a substituent (for example, a substituent T).
Specific examples of repeating units having a heteroatom-containing non-acid-decomposable alkyl group having a carboxy group include repeating units having the following structures.

Figure 0007309907000035
Figure 0007309907000035

非酸分解性シクロアルキル基の炭素数は、5以上が好ましく、ホモポリマーのTgが50℃以下とする観点から、上記非酸分解性シクロアルキル基の炭素数の上限は、例えば20以下であることが好ましく、16以下であることがより好ましく、10以下であることが更に好ましい。 The number of carbon atoms in the non-acid-decomposable cycloalkyl group is preferably 5 or more, and the upper limit of the carbon number in the non-acid-decomposable cycloalkyl group is, for example, 20 or less from the viewpoint that the Tg of the homopolymer is 50°C or less. is preferred, 16 or less is more preferred, and 10 or less is even more preferred.

環員にヘテロ原子を含んでいてもよい、非酸分解性シクロアルキル基としては、特に限定されず、例えば、炭素数が5~20のシクロアルキル基(より具体的にはシクロヘキシル基)、及び、環員にヘテロ原子を含有する炭素数5~20のシクロアルキル基が挙げられる。なお、上記シクロアルキル基中の水素原子の少なくとも一つは、カルボキシ基又は水酸基で置換されている。
環員にヘテロ原子を含有する炭素数5~20のシクロアルキル基としては、例えば、1つ又は2つ以上の-CH-が、-O-、-S-、-CO-、-NR-、又はこれらを2以上組み合わせた2価の有機基で置換されたシクロアルキル基が挙げられる。上記Rは、水素原子、又は炭素数が1~6のアルキル基を表す。
なお、非酸分解性シクロアルキル基は、置換基(例えば置換基T)を有していてもよい。
The non-acid-decomposable cycloalkyl group, which may contain a heteroatom as a ring member, is not particularly limited and includes, for example, a cycloalkyl group having 5 to 20 carbon atoms (more specifically, a cyclohexyl group), and , a cycloalkyl group having 5 to 20 carbon atoms containing a heteroatom as a ring member. At least one hydrogen atom in the cycloalkyl group is substituted with a carboxy group or a hydroxyl group.
Cycloalkyl groups having 5 to 20 carbon atoms containing a heteroatom in a ring member include, for example, one or more —CH 2 —, —O—, —S—, —CO—, —NR 6 -, or a cycloalkyl group substituted with a divalent organic group in which two or more of these are combined. R 6 above represents a hydrogen atom or an alkyl group having 1 to 6 carbon atoms.
In addition, the non-acid-decomposable cycloalkyl group may have a substituent (for example, a substituent T).

鎖中にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性アルキル基、又は、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基を有する繰り返し単位としては、本発明の効果により優れる点で、なかでも、下記一般式(1-3)で表される繰り返し単位が好ましい。 A non-acid-degradable alkyl group having a carboxy or hydroxyl group, which may contain a heteroatom in the chain, or a non-acid-degradable cyclo having a carboxy or hydroxyl group, which may contain a heteroatom in the ring member. As the repeating unit having an alkyl group, a repeating unit represented by the following general formula (1-3) is particularly preferable because the effect of the present invention is superior.

Figure 0007309907000036
Figure 0007309907000036

一般式(1-3)中、Rは、水素原子、ハロゲン原子、アルキル基、又はシクロアルキル基を表す。Rは、鎖中にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性アルキル基、鎖中にヘテロ原子を含んでいてもよい非酸分解性アルキル基に、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基が置換した基、又は、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基を表す。In general formula (1-3), R 3 represents a hydrogen atom, a halogen atom, an alkyl group, or a cycloalkyl group. R 4 is a non-acid-degradable alkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom in the chain, a non-acid-decomposable alkyl group which may contain a heteroatom in the chain, a ring member A group substituted by a non-acid-decomposable cycloalkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom, or a non-acid group having a carboxy group or a hydroxyl group, which may contain a heteroatom in a ring member represents a decomposable cycloalkyl group.

一般式(1-3)中、Rは、上述したRと同義であり、好ましい態様も同じである。In general formula (1-3), R 3 has the same definition as R 1 described above, and preferred embodiments are also the same.

で表される鎖中にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性アルキル基、鎖中にヘテロ原子を含んでいてもよい非酸分解性アルキル基に、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基が置換した基、又は、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基の定義及び好適態様は、上述した通りである。
なかでも、Rとしては、鎖中にヘテロ原子を含んでいてもよい非酸分解性アルキル基に、環員にヘテロ原子を含んでいてもよい、カルボキシ基若しくは水酸基を有する非酸分解性シクロアルキル基が置換した基、又は、環員にヘテロ原子を含んでいてもよい、カルボキシ基又は水酸基を有する非酸分解性シクロアルキル基が好ましい。この態様としては、例えば、下記構造の繰り返し単位などが挙げられる。
A non-acid-decomposable alkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom in the chain represented by R4 , a non-acid-decomposable alkyl group which may contain a heteroatom in the chain, A group substituted by a non-acid-degradable cycloalkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom in a ring member, or a carboxy group or a hydroxyl group, which may contain a heteroatom in a ring member The definition and preferred embodiments of the non-acid-decomposable cycloalkyl group are as described above.
Among them, R 4 is a non-acid-decomposable alkyl group which may contain a heteroatom in the chain and a non-acid-decomposable cycloalkyl group which may contain a heteroatom in a ring member and which has a carboxy group or a hydroxyl group. A group substituted with an alkyl group or a non-acid-decomposable cycloalkyl group having a carboxy group or a hydroxyl group, which may contain a heteroatom in a ring member, is preferred. Examples of this aspect include repeating units having the following structures.

Figure 0007309907000037
Figure 0007309907000037

モノマーa3としては、例えば、エチルアクリレート(-22℃)、n-プロピルアクリレート(-37℃)、イソプロピルアクリレート(-5℃)、n-ブチルアクリレート(-55℃)、n-ブチルメタクリレート(20℃)、n-へキシルアクリレート(-57℃)、n-ヘキシルメタクリレート(-5℃)、n-オクチルメタクリレート(-20℃)、2-エチルへキシルアクリレート(-70℃)、イソノニルアクリレート(-82℃)、ラウリルメタクリレート(-65℃)、2-ヒドロキシエチルアクリレート(-15℃)、2-ヒドロキシプロピルメタクリレート(26℃)、コハク酸1-[2-(メタクリロイルオキシ)エチル](9℃)、2-エチルへキシルメタクリレート(-10℃)、sec-ブチルアクリレート(-26℃)、メトキシポリエチレングリコールモノメタクリレート(n=2)(-20℃)、ヘキサデシルアクリレート(35℃)等が挙げられる。なお、括弧内は、ホモポリマーとしたときのTg(℃)を表す。 Examples of monomer a3 include ethyl acrylate (-22°C), n-propyl acrylate (-37°C), isopropyl acrylate (-5°C), n-butyl acrylate (-55°C), n-butyl methacrylate (20°C ), n-hexyl acrylate (-57°C), n-hexyl methacrylate (-5°C), n-octyl methacrylate (-20°C), 2-ethylhexyl acrylate (-70°C), isononyl acrylate (- 82°C), lauryl methacrylate (-65°C), 2-hydroxyethyl acrylate (-15°C), 2-hydroxypropyl methacrylate (26°C), 1-[2-(methacryloyloxy)ethyl succinate] (9°C) , 2-ethylhexyl methacrylate (-10°C), sec-butyl acrylate (-26°C), methoxypolyethylene glycol monomethacrylate (n = 2) (-20°C), hexadecyl acrylate (35°C), and the like. . The values in parentheses represent the Tg (°C) of a homopolymer.

なお、メトキシポリエチレングリコールモノメタクリレート(n=2)は下記構造の化合物である。 Methoxypolyethylene glycol monomethacrylate (n=2) is a compound having the following structure.

Figure 0007309907000038
Figure 0007309907000038

モノマーa3は、n-ブチルアクリレート、n-ヘキシルメタクリレート、n-オクチルメタクリレート、2-エチルヘキシルメタクリレ-ト、2-エチルヘキシルアクリレ-ト、ラウリルメタクリレート、ヘキサデシルアクリレート、2-ヒドロキシエチルアクリレート、及び下記MA-5で表される化合物であることが好ましい。 Monomer a3 is n-butyl acrylate, n-hexyl methacrylate, n-octyl methacrylate, 2-ethylhexyl methacrylate, 2-ethylhexyl acrylate, lauryl methacrylate, hexadecyl acrylate, 2-hydroxyethyl acrylate, and the following A compound represented by MA-5 is preferred.

Figure 0007309907000039
Figure 0007309907000039

樹脂(A)は、繰り返し単位(a3)を、1種のみで含んでもよく、2種以上含んでもよい。
樹脂(A)において、繰り返し単位(a3)の含有量(繰り返し単位(a3)が複数存在する場合はその合計)は、樹脂(A)の全繰り返し単位に対して、5モル%以上が好ましく、10モル%以上がより好ましく、50モル%以下が好ましく、40モル%以下がより好ましく、30モル%以下が更に好ましい。なかでも、樹脂(A)中における繰り返し単位(a3)の含有量(繰り返し単位(a3)が複数存在する場合はその合計)は、樹脂(A)の全繰り返し単位に対して5~50モル%が好ましく、5~40モル%がより好ましく、5~30モル%が更に好ましい。
The resin (A) may contain only one type of repeating unit (a3), or may contain two or more types of repeating units (a3).
In the resin (A), the content of the repeating unit (a3) (the total content of the repeating units (a3) when a plurality of repeating units (a3) are present) is preferably 5 mol% or more based on the total repeating units of the resin (A), 10 mol% or more is more preferable, 50 mol% or less is preferable, 40 mol% or less is more preferable, and 30 mol% or less is still more preferable. Among them, the content of the repeating unit (a3) in the resin (A) (the total when there are a plurality of repeating units (a3)) is 5 to 50 mol% with respect to the total repeating units of the resin (A). is preferred, 5 to 40 mol% is more preferred, and 5 to 30 mol% is even more preferred.

〔酸基を有する繰り返し単位〕
樹脂(A)は、酸基を有する繰り返し単位を有していることが好ましい。
酸基としては、pKaが13以下の酸基が好ましい。上記酸基の酸解離定数は、13以下が好ましく、3~13がより好ましく、5~10が更に好ましい。
樹脂(A)が、pKaが13以下の酸基を有する場合、樹脂(A)中における酸基の含有量は特に制限されないが、通常、0.2~6.0mmol/gである場合が多い。樹脂(A)中における酸基の含有量は、0.8~6.0mmol/gが好ましく、1.2~5.0mmol/gがより好ましく、1.6~4.0mmol/gが更に好ましい。酸基の含有量が上記範囲内であれば、現像が良好に進行し、形成されるパターン形状に優れ、解像性にも優れる。
酸基としては、例えば、カルボキシ基、水酸基、フェノール性水酸基、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール基)、スルホン酸基、及びスルホンアミド基から選ばれる少なくとも1種が好ましい。
また、上記ヘキサフルオロイソプロパノール基において、フッ素原子の1つ以上(好ましくは1~2つ)がフッ素原子以外の基で置換されてなる基も酸基として好ましい。このような基としては、例えば、-C(CF)(OH)-CF-を含む基が挙げられる。なお、上記-C(CF)(OH)-CF-を含む基は、-C(CF)(OH)-CF-を含む環基であってもよい。
酸基を有する繰り返し単位は、前述の酸分解性基を有する構成単位、並びに、前述のラクトン構造、スルトン構造、及びカーボネート構造よりなる群から選択される少なくとも1種を有する構成単位とは異なる繰り返し単位であることが好ましい。
[Repeating Unit Having Acid Group]
Resin (A) preferably has a repeating unit having an acid group.
As the acid group, an acid group having a pKa of 13 or less is preferable. The acid dissociation constant of the acid group is preferably 13 or less, more preferably 3-13, even more preferably 5-10.
When the resin (A) has an acid group with a pKa of 13 or less, the content of the acid group in the resin (A) is not particularly limited, but is usually 0.2 to 6.0 mmol/g. . The content of acid groups in the resin (A) is preferably 0.8 to 6.0 mmol/g, more preferably 1.2 to 5.0 mmol/g, even more preferably 1.6 to 4.0 mmol/g. . If the content of the acid group is within the above range, the development proceeds satisfactorily, the formed pattern shape is excellent, and the resolution is also excellent.
The acid group is preferably at least one selected from, for example, a carboxy group, a hydroxyl group, a phenolic hydroxyl group, a fluorinated alcohol group (preferably a hexafluoroisopropanol group), a sulfonic acid group, and a sulfonamide group.
In the above hexafluoroisopropanol group, a group in which one or more fluorine atoms (preferably 1 to 2) are substituted with a group other than a fluorine atom is also preferable as the acid group. Such groups include, for example, groups containing —C(CF 3 )(OH)—CF 2 —. The group containing -C(CF 3 )(OH)-CF 2 - may be a cyclic group containing -C(CF 3 )(OH)-CF 2 -.
The repeating unit having an acid group is a repeating unit different from the structural unit having an acid-decomposable group and the structural unit having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure. Units are preferred.

酸基を有する繰り返し単位は、フッ素原子又はヨウ素原子を有していてもよい。 A repeating unit having an acid group may have a fluorine atom or an iodine atom.

〔フェノール性水酸基を有する繰り返し単位(a4)〕
樹脂(A)は、フェノール性水酸基を有する繰り返し単位(a4)を有していてもよい。
樹脂(A)は、繰り返し単位(a4)を含有することにより、アルカリ現像時の溶解速度により優れ、かつ耐エッチング性に優れる。
[Repeating unit (a4) having a phenolic hydroxyl group]
Resin (A) may have a repeating unit (a4) having a phenolic hydroxyl group.
By containing the repeating unit (a4), the resin (A) is superior in dissolution rate during alkali development and in etching resistance.

フェノール性水酸基を有する繰り返し単位としては、特に限定されないが、ヒドロキシスチレン繰り返し単位、又は、ヒドロキシスチレン(メタ)アクリレート繰り返し単位が挙げられる。フェノール性水酸基を有する繰り返し単位としては、下記一般式(I)で表される繰り返し単位が好ましい。 The repeating unit having a phenolic hydroxyl group is not particularly limited, but includes a hydroxystyrene repeating unit or a hydroxystyrene (meth)acrylate repeating unit. As the repeating unit having a phenolic hydroxyl group, a repeating unit represented by the following general formula (I) is preferable.

Figure 0007309907000040
Figure 0007309907000040

式中、
41、R42及びR43は、各々独立に、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基又はアルコキシカルボニル基を表す。但し、R42はArと結合して環を形成していてもよく、その場合のR42は単結合又はアルキレン基を表す。
は、単結合、-COO-、又は-CONR64-を表し、R64は、水素原子又はアルキル基を表す。
は、単結合又は2価の連結基を表す。
Arは、(n+1)価の芳香族炭化水素基を表し、R42と結合して環を形成する場合には(n+2)価の芳香族炭化水素基を表す。
nは、1~5の整数を表す。
一般式(I)で表される繰り返し単位を高極性化する目的では、nが2以上の整数、又はXが-COO-、又は-CONR64-であることも好ましい。
During the ceremony,
R 41 , R 42 and R 43 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an alkoxycarbonyl group. However, R42 may combine with Ar4 to form a ring, in which case R42 represents a single bond or an alkylene group.
X 4 represents a single bond, -COO- or -CONR 64 -, and R 64 represents a hydrogen atom or an alkyl group.
L4 represents a single bond or a divalent linking group.
Ar 4 represents an (n+1)-valent aromatic hydrocarbon group, and when combined with R 42 to form a ring, represents an (n+2)-valent aromatic hydrocarbon group.
n represents an integer of 1 to 5;
For the purpose of increasing the polarity of the repeating unit represented by general formula (I), n is preferably an integer of 2 or more, or X 4 is -COO- or -CONR 64 -.

一般式(I)におけるR41、R42、及びR43で表されるアルキル基としては、置換基を有していてもよいメチル基、エチル基、プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、ヘキシル基、2-エチルヘキシル基、オクチル基、及びドデシル基等の炭素数20以下のアルキル基が好ましく、炭素数8以下のアルキル基がより好ましく、炭素数3以下のアルキル基が更に好ましい。The alkyl groups represented by R 41 , R 42 and R 43 in the general formula (I) include optionally substituted methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, An alkyl group having 20 or less carbon atoms such as a sec-butyl group, a hexyl group, a 2-ethylhexyl group, an octyl group, and a dodecyl group is preferable, an alkyl group having 8 or less carbon atoms is more preferable, and an alkyl group having 3 or less carbon atoms is preferable. More preferred.

一般式(I)におけるR41、R42、及びR43で表されるシクロアルキル基としては、単環でも、多環でもよい。置換基を有していてもよい、シクロプロピル基、シクロペンチル基、及びシクロヘキシル基等の炭素数3~8個で単環のシクロアルキル基が好ましい。
一般式(I)におけるR41、R42、及びR43で表されるハロゲン原子としては、フッ素原子、塩素原子、臭素原子及びヨウ素原子等が挙げられ、フッ素原子が好ましい。
一般式(I)におけるR41、R42、及びR43で表されるアルコキシカルボニル基に含まれるアルキル基としては、上記R41、R42、及びR43におけるアルキル基と同様のものが好ましい。
The cycloalkyl groups represented by R 41 , R 42 and R 43 in general formula (I) may be monocyclic or polycyclic. An optionally substituted monocyclic cycloalkyl group having 3 to 8 carbon atoms, such as a cyclopropyl group, a cyclopentyl group and a cyclohexyl group, is preferred.
The halogen atoms represented by R 41 , R 42 and R 43 in general formula (I) include fluorine, chlorine, bromine and iodine atoms, preferably fluorine.
As the alkyl group contained in the alkoxycarbonyl group represented by R 41 , R 42 and R 43 in general formula (I), the same alkyl groups as those described above for R 41 , R 42 and R 43 are preferred.

上記各基における好ましい置換基としては、例えば、アルキル基、シクロアルキル基、アリール基、アミノ基、アミド基、ウレイド基、ウレタン基、ヒドロキシル基、カルボキシル基、ハロゲン原子、アルコキシ基、チオエーテル基、アシル基、アシロキシ基、アルコキシカルボニル基、シアノ基、及びニトロ基等が挙げられ、置換基の炭素数は8以下が好ましい。 Preferred substituents for each of the above groups include, for example, an alkyl group, a cycloalkyl group, an aryl group, an amino group, an amido group, a ureido group, a urethane group, a hydroxyl group, a carboxyl group, a halogen atom, an alkoxy group, a thioether group, and an acyl group. group, acyloxy group, alkoxycarbonyl group, cyano group, nitro group, etc., and the number of carbon atoms in the substituent is preferably 8 or less.

Arは、(n+1)価の芳香族炭化水素基を表す。nが1である場合における2価の芳香族炭化水素基は、置換基を有していてもよく、例えば、フェニレン基、トリレン基、ナフチレン基、及びアントラセニレン基等の炭素数6~18のアリーレン基、又は、例えば、チオフェン、フラン、ピロール、ベンゾチオフェン、ベンゾフラン、ベンゾピロール、トリアジン、イミダゾール、ベンゾイミダゾール、トリアゾール、チアジアゾール、及びチアゾール等のヘテロ環を含む芳香族炭化水素基が好ましい。Ar 4 represents an (n+1)-valent aromatic hydrocarbon group. The divalent aromatic hydrocarbon group when n is 1 may have a substituent, for example, a phenylene group, a tolylene group, a naphthylene group, and an arylene group having 6 to 18 carbon atoms such as an anthracenylene group. Preferred are groups or aromatic hydrocarbon groups containing heterocycles such as, for example, thiophene, furan, pyrrole, benzothiophene, benzofuran, benzopyrrole, triazine, imidazole, benzimidazole, triazole, thiadiazole, and thiazole.

nが2以上の整数である場合における(n+1)価の芳香族炭化水素基の具体例としては、2価の芳香族炭化水素基の上記した具体例から、(n-1)個の任意の水素原子を除してなる基を好適に挙げることができる。
(n+1)価の芳香族炭化水素基は、更に置換基を有していてもよい。
Specific examples of the (n+1)-valent aromatic hydrocarbon group in the case where n is an integer of 2 or more include any (n-1) of the above specific examples of the divalent aromatic hydrocarbon group A group obtained by removing a hydrogen atom can be preferably mentioned.
The (n+1)-valent aromatic hydrocarbon group may further have a substituent.

上述したアルキル基、シクロアルキル基、アルコキシカルボニル基及び(n+1)価の芳香族炭化水素基が有し得る置換基としては、例えば、一般式(I)におけるR41、R42、及びR43で挙げたアルキル基;メトキシ基、エトキシ基、ヒドロキシエトキシ基、プロポキシ基、ヒドロキシプロポキシ基、及びブトキシ基等のアルコキシ基;フェニル基等のアリール基;等が挙げられる。
により表される-CONR64-(R64は、水素原子又はアルキル基を表す)におけるR64のアルキル基としては、置換基を有していてもよい、メチル基、エチル基、プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、ヘキシル基、2-エチルヘキシル基、オクチル基、及びドデシル基等の炭素数20以下のアルキル基が好ましく、炭素数8以下のアルキル基がより好ましい。
としては、単結合、-COO-、又は-CONH-が好ましく、単結合、又は-COO-がより好ましい。
Examples of substituents that the alkyl group, cycloalkyl group, alkoxycarbonyl group and (n+1)-valent aromatic hydrocarbon group described above may have include R 41 , R 42 and R 43 in general formula (I). alkoxy groups such as methoxy, ethoxy, hydroxyethoxy, propoxy, hydroxypropoxy, and butoxy; aryl groups such as phenyl;
The alkyl group for R 64 in —CONR 64 — (R 64 represents a hydrogen atom or an alkyl group) represented by X 4 is a methyl group, an ethyl group, or a propyl group, which may have a substituent. , isopropyl group, n-butyl group, sec-butyl group, hexyl group, 2-ethylhexyl group, octyl group, and dodecyl group. .
X 4 is preferably a single bond, -COO- or -CONH-, more preferably a single bond or -COO-.

としての2価の連結基としては、アルキレン基であることが好ましく、アルキレン基としては、置換基を有していてもよい、メチレン基、エチレン基、プロピレン基、ブチレン基、ヘキシレン基、及びオクチレン基等の炭素数1~8のアルキレン基が好ましい。
Arとしては、置換基を有していてもよい炭素数6~18の芳香族炭化水素基が好ましく、ベンゼン環基、ナフタレン環基、又はビフェニレン環基がより好ましい。なかでも、一般式(I)で表される繰り返し単位は、ヒドロキシスチレンに由来する繰り返し単位であることが好ましい。即ち、Arは、ベンゼン環基であることが好ましい。
The divalent linking group for L4 is preferably an alkylene group, and the alkylene group may be a methylene group, an ethylene group, a propylene group, a butylene group, a hexylene group, which may have a substituent, and an alkylene group having 1 to 8 carbon atoms such as an octylene group.
Ar 4 is preferably an optionally substituted aromatic hydrocarbon group having 6 to 18 carbon atoms, more preferably a benzene ring group, a naphthalene ring group or a biphenylene ring group. Among them, the repeating unit represented by formula (I) is preferably a repeating unit derived from hydroxystyrene. That is, Ar 4 is preferably a benzene ring group.

以下、フェノール性水酸基を有する繰り返し単位の具体例を示すが、本発明は、これに限定されるものではない。式中、aは1又は2を表す。 Specific examples of repeating units having a phenolic hydroxyl group are shown below, but the present invention is not limited thereto. In the formula, a represents 1 or 2.

Figure 0007309907000041
Figure 0007309907000041

樹脂(A)は、繰り返し単位(a4)を1種単独で有していてもよく、2種以上を併用して有していてもよい。
樹脂(A)において、繰り返し単位(a4)の含有量は、樹脂(A)中の全繰り返し単位に対して、40モル%以上が好ましく、50モル%以上がより好ましく、60モル%以上が更に好ましい。また、繰り返し単位(a4)の含有量は、樹脂(A)中の全繰り返し単位に対して、85モル%以下が好ましく、80モル%以下がより好ましい
The resin (A) may have one type of repeating unit (a4) alone, or may have two or more types in combination.
In the resin (A), the content of the repeating unit (a4) is preferably 40 mol% or more, more preferably 50 mol% or more, and further preferably 60 mol% or more, based on the total repeating units in the resin (A). preferable. In addition, the content of the repeating unit (a4) is preferably 85 mol% or less, more preferably 80 mol% or less, relative to all repeating units in the resin (A).

樹脂(A)は、上記の構成単位以外に、ドライエッチング耐性や標準現像液適性、基板密着性、レジストプロファイル、更にレジストの一般的な必要な特性である解像力、耐熱性、感度等を調節する目的で様々な構成単位を有することができる。このような構成単位としては、その他の単量体に相当する構成単位を挙げることができるが、これらに限定されない。 Resin (A), in addition to the above structural units, adjusts dry etching resistance, suitability for standard developer, substrate adhesion, resist profile, and generally required properties of resist such as resolution, heat resistance, and sensitivity. It can have different building blocks for different purposes. Examples of such structural units include, but are not limited to, structural units corresponding to other monomers.

その他の単量体としては、例えばアクリル酸エステル類、メタクリル酸エステル類、アクリルアミド類、メタクリルアミド類、アリル化合物、ビニルエーテル類、及びビニルエステル類等から選ばれる付加重合性不飽和結合を1個有する化合物等を挙げることができる。
その他にも、上記種々の構成単位に相当する単量体と共重合可能である付加重合性の不飽和化合物であれば、共重合されていてもよい。
樹脂(A)において、各構成単位の含有モル比は、種々の性能を調節するために適宜設定される。
Other monomers having one addition-polymerizable unsaturated bond selected from, for example, acrylic acid esters, methacrylic acid esters, acrylamides, methacrylamides, allyl compounds, vinyl ethers, vinyl esters, etc. compound etc. can be mentioned.
In addition, any addition-polymerizable unsaturated compound that can be copolymerized with the monomers corresponding to the various structural units described above may be copolymerized.
In the resin (A), the content molar ratio of each structural unit is appropriately set in order to adjust various performances.

本発明の感活性光線性又は感放射線性樹脂組成物が、フッ素アルゴン(ArF)レーザー露光用であるとき、ArFの透過性の観点から、樹脂(A)は実質的には芳香族基を有さないことが好ましい。より具体的には、樹脂(A)の全構成単位中、芳香族基を有する構成単位が全体の5モル%以下であることが好ましく、3モル%以下であることがより好ましく、理想的には0モル%、すなわち芳香族基を有する構成単位を有さないことが更に好ましい。また、樹脂(A)は単環又は多環の脂環炭化水素構造を有することが好ましい。 When the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is for fluorine argon (ArF) laser exposure, the resin (A) substantially has an aromatic group from the viewpoint of ArF permeability. preferably not. More specifically, in all structural units of the resin (A), the structural unit having an aromatic group is preferably 5 mol% or less, more preferably 3 mol% or less, ideally is 0 mol %, that is, it is more preferable not to have a constitutional unit having an aromatic group. Moreover, the resin (A) preferably has a monocyclic or polycyclic alicyclic hydrocarbon structure.

樹脂(A)は、構成単位のすべてが(メタ)アクリレート系構成単位で構成されることが好ましい。この場合、構成単位のすべてがメタクリレート系構成単位であるもの、構成単位のすべてがアクリレート系構成単位であるもの、構成単位のすべてがメタクリレート系構成単位とアクリレート系構成単位とによるもののいずれのものでも用いることができるが、アクリレート系構成単位が樹脂(A)の全構成単位に対して50モル%以下であることが好ましい。 The resin (A) is preferably composed of (meth)acrylate-based structural units in all structural units. In this case, all structural units are methacrylate structural units, all structural units are acrylate structural units, and all structural units are methacrylate structural units and acrylate structural units. Although it can be used, it is preferable that the acrylate-based structural unit accounts for 50 mol % or less of the total structural units of the resin (A).

本発明の感活性光線性又は感放射線性樹脂組成物が、フッ化クリプトン(KrF)露光用、電子線(EB)露光用又は極紫外線(EUV)露光用であるとき、樹脂(A)は芳香族炭化水素基を有する構成単位を含むことが好ましい。樹脂(A)がフェノール性水酸基を有する構成単位を含むことがより好ましい。
フェノール性水酸基を有する構成単位としては、例えば、上記の繰り返し単位(a4)を挙げることができる。
本発明の感活性光線性又は感放射線性樹脂組成物が、KrF露光用、EB露光用又はEUV露光用であるとき、樹脂(A)は、フェノール性水酸基の水素原子が酸の作用により分解し脱離する基(脱離基)で保護された構造を有することが好ましい。
樹脂(A)に含まれる芳香族炭化水素基を有する構成単位の含有量は、樹脂(A)中の全構成単位に対して、30モル%~100モル%が好ましく、40モル%~100モル%がより好ましく、50モル%~100モル%が更に好ましい。
When the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is for krypton fluoride (KrF) exposure, electron beam (EB) exposure or extreme ultraviolet (EUV) exposure, the resin (A) is aromatic It preferably contains a structural unit having a group hydrocarbon group. More preferably, the resin (A) contains a structural unit having a phenolic hydroxyl group.
Examples of the structural unit having a phenolic hydroxyl group include the repeating unit (a4) described above.
When the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is for KrF exposure, EB exposure or EUV exposure, the resin (A) is such that the hydrogen atoms of the phenolic hydroxyl groups are decomposed by the action of acid. It preferably has a structure protected by a leaving group (leaving group).
The content of the structural unit having an aromatic hydrocarbon group contained in the resin (A) is preferably 30 mol% to 100 mol%, preferably 40 mol% to 100 mol, based on the total structural units in the resin (A). %, more preferably 50 mol % to 100 mol %.

〔光酸発生基を有する繰り返し単位〕
樹脂(A)は、上記以外の繰り返し単位として、活性光線又は放射線の照射により酸を発生する基(「光酸発生基」ともいう)を有する繰り返し単位を有していてもよい。樹脂(A)が光酸発生基を有する繰り返し単位を有する場合、光酸発生基を有する繰り返し単位が、光酸発生剤に該当すると考えることができる。光酸発生基を有する繰り返し単位としては、例えば、下記一般式(4)で表される繰り返し単位が挙げられる。
[Repeating Unit Having Photoacid-Generating Group]
The resin (A) may have, as a repeating unit other than the above, a repeating unit having a group that generates an acid upon exposure to actinic rays or radiation (also referred to as a "photoacid-generating group"). When the resin (A) has a repeating unit having a photoacid-generating group, it can be considered that the repeating unit having a photoacid-generating group corresponds to the photoacid generator. Examples of repeating units having a photoacid-generating group include repeating units represented by the following general formula (4).

Figure 0007309907000042
Figure 0007309907000042

41は、水素原子又はメチル基を表す。L41は、単結合、又は2価の連結基を表す。L42は、2価の連結基を表す。R40は、活性光線又は放射線の照射により分解して側鎖に酸を発生させる構造部位を表す。
光酸発生基を有する繰り返し単位を以下に例示する。
R41 represents a hydrogen atom or a methyl group. L41 represents a single bond or a divalent linking group. L42 represents a divalent linking group. R40 represents a structural site that is decomposed by exposure to actinic rays or radiation to generate an acid in the side chain.
Examples of repeating units having a photoacid-generating group are shown below.

Figure 0007309907000043
Figure 0007309907000043

そのほか、一般式(4)で表される繰り返し単位の具体例としては、例えば、特開2014-041327号公報の段落[0094]~[0105]に記載された繰り返し単位、及び国際公開第2018/193954号公報の段落[0094]に記載された繰り返し単位が挙げられる。 In addition, specific examples of the repeating unit represented by the general formula (4) include, for example, repeating units described in paragraphs [0094] to [0105] of JP-A-2014-041327, and International Publication No. 2018/ Repeating units described in paragraph [0094] of 193954 are exemplified.

樹脂(A)は光酸発生基を有する繰り返し単位を有していなくてもよいが、樹脂(A)が光酸発生基を有する繰り返し単位を有する場合、光酸発生基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対して、1モル%以上であることが好ましく、2モル%以上であることがより好ましい。また、光酸発生基を有する繰り返し単位の含有量の上限は、20モル%以下であることが好ましく、10モル%以下であることがより好ましく、5モル%以下であることが更に好ましい。 The resin (A) may not have a repeating unit having a photoacid-generating group, but when the resin (A) has a repeating unit having a photoacid-generating group, it contains a repeating unit having a photoacid-generating group. The amount is preferably 1 mol % or more, more preferably 2 mol % or more, based on all repeating units in the resin (A). Moreover, the upper limit of the content of the repeating unit having a photoacid-generating group is preferably 20 mol % or less, more preferably 10 mol % or less, and even more preferably 5 mol % or less.

樹脂(A)の重量平均分子量は、1,000~200,000が好ましく、2,000~20,000がより好ましく、3,000~15,000が更に好ましく、3,000~11,000が特に好ましい。
分散度(Mw/Mn)は、1.0~3.0であることが好ましく、1.0~2.6がより好ましく、1.0~2.0が更に好ましく、1.1~2.0が特に好ましい。
The weight average molecular weight of the resin (A) is preferably 1,000 to 200,000, more preferably 2,000 to 20,000, still more preferably 3,000 to 15,000, and 3,000 to 11,000. Especially preferred.
The dispersity (Mw/Mn) is preferably 1.0 to 3.0, more preferably 1.0 to 2.6, still more preferably 1.0 to 2.0, and 1.1 to 2.0. 0 is particularly preferred.

樹脂(A)の具体例としては、例えば、実施例で使用されている樹脂A-1~A-16、A-21~A-36等が挙げられるが、これに限定されない。 Specific examples of resin (A) include, but are not limited to, resins A-1 to A-16 and A-21 to A-36 used in Examples.

樹脂(A)は、1種単独で使用してもよいし、2種以上を併用してもよい。
樹脂(A)の含有量は、本発明の感活性光線性又は感放射線性樹脂組成物の全固形分に対し、20質量%以上が好ましく、40質量%以上がより好ましく、60質量%以上が更に好ましく、80質量%以上が特に好ましい。上限は特に制限されないが、99.5質量%以下が好ましく、99質量%以下がより好ましく、97質量%以下が更に好ましい。
Resin (A) may be used individually by 1 type, and may use 2 or more types together.
The content of the resin (A) is preferably 20% by mass or more, more preferably 40% by mass or more, and 60% by mass or more, based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition of the present invention. More preferably, 80% by mass or more is particularly preferable. Although the upper limit is not particularly limited, it is preferably 99.5% by mass or less, more preferably 99% by mass or less, and even more preferably 97% by mass or less.

〔フェノール性水酸基を有するアルカリ可溶性樹脂〕
本発明の感活性光線性又は感放射線性樹脂組成物が後述する架橋剤(G)を含有する場合、本発明の感活性光線性又は感放射線性樹脂組成物は、フェノール性水酸基を有するアルカリ可溶性樹脂(以下、「樹脂(C)」ともいう)を含有することが好ましい。樹脂(C)は、フェノール性水酸基を有する構成単位を有することが好ましい。
この場合、典型的には、ネガ型パターンが好適に形成される。
架橋剤(G)は、樹脂(C)に担持された形態であってもよい。
なお、樹脂(C)のうち、酸の作用により極性が増大する樹脂に該当するものは、酸の作用により極性が増大する樹脂として扱う。また、その場合、本発明の感活性光線性又は感放射線性樹脂組成物は、樹脂(C)として酸の作用により極性が増大する樹脂を含んでも良く、また、酸の作用により極性が増大する樹脂以外の樹脂(C)と、酸の作用により極性が増大する樹脂とを少なくとも含むこともできる。
樹脂(C)は、上述した酸分解性基を含有していてもよい。
樹脂(C)が有するフェノール性水酸基を有する構成単位としては、特に限定されないが、上記の繰り返し単位(a4)であることが好ましい。
[Alkali-soluble resin having phenolic hydroxyl group]
When the actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains a cross-linking agent (G) described later, the actinic ray-sensitive or radiation-sensitive resin composition of the present invention has an alkali-soluble It is preferable to contain a resin (hereinafter also referred to as "resin (C)"). Resin (C) preferably has a structural unit having a phenolic hydroxyl group.
In this case, typically a negative pattern is preferably formed.
The cross-linking agent (G) may be in the form supported by the resin (C).
Among the resins (C), resins whose polarity is increased by the action of acid are treated as resins whose polarity is increased by the action of acid. In that case, the actinic ray-sensitive or radiation-sensitive resin composition of the present invention may contain, as the resin (C), a resin whose polarity is increased by the action of an acid, or the polarity of which is increased by the action of an acid. At least a resin (C) other than a resin and a resin whose polarity is increased by the action of an acid may be included.
Resin (C) may contain the acid-decomposable group described above.
The structural unit having a phenolic hydroxyl group contained in the resin (C) is not particularly limited, but is preferably the repeating unit (a4) described above.

樹脂(C)は、1種単独で使用してもよいし、2種以上を併用してもよい。
本発明の感活性光線性又は感放射線性樹脂組成物の全固形分中の樹脂(C)の含有量は、30質量%以上であることが好ましく、40質量%以上であることがより好ましく、50質量%以上であることが更に好ましい。上限は特に制限されないが、99質量%以下であることが好ましく、90質量%以下であることがより好ましく、85質量%以下であることが更に好ましい。
樹脂(C)としては、米国特許出願公開第2016/0282720号明細書の段落0142~0347に開示された樹脂を好適に用いることができる。
Resin (C) may be used individually by 1 type, and may use 2 or more types together.
The content of the resin (C) in the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is preferably 30% by mass or more, more preferably 40% by mass or more, It is more preferably 50% by mass or more. Although the upper limit is not particularly limited, it is preferably 99% by mass or less, more preferably 90% by mass or less, and even more preferably 85% by mass or less.
Resins disclosed in paragraphs 0142 to 0347 of US Patent Application Publication No. 2016/0282720 can be suitably used as the resin (C).

〔疎水性樹脂〕
本発明の感活性光線性又は感放射線性樹脂組成物は、疎水性樹脂(「疎水性樹脂(E)」ともいう。)を含有することも好ましい。
本発明の感活性光線性又は感放射線性樹脂組成物は、酸の作用により極性が増大する樹脂以外の疎水性樹脂(E)と、酸の作用により極性が増大する樹脂とを少なくとも含むことが好ましい。
本発明の感活性光線性又は感放射線性樹脂組成物が、疎水性樹脂(E)を含有することにより、感活性光線性又は感放射線性膜の表面における静的/動的な接触角を制御することができる。これにより、現像特性の改善、アウトガスの抑制、液浸露光における液浸液追随性の向上、及び液浸欠陥の低減等が可能となる。
疎水性樹脂(E)は、レジスト膜の表面に偏在するように設計されることが好ましいが、界面活性剤とは異なり、必ずしも分子内に親水基を有する必要はなく、極性/非極性物質を均一に混合することに寄与しなくてもよい。
また、本発明において、フッ素原子を有する樹脂は、疎水性樹脂及び後述する含フッ素樹脂として扱うものとする。また、上記酸分解性基を有する構成単位を有する樹脂は、フッ素原子を有していないことが好ましい。
[Hydrophobic resin]
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention preferably contains a hydrophobic resin (also referred to as "hydrophobic resin (E)").
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention may contain at least a hydrophobic resin (E) other than a resin whose polarity increases under the action of an acid, and a resin whose polarity increases under the action of an acid. preferable.
By containing the hydrophobic resin (E) in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention, the static/dynamic contact angle on the surface of the actinic ray-sensitive or radiation-sensitive film is controlled. can do. This makes it possible to improve development characteristics, suppress outgassing, improve immersion liquid followability in immersion exposure, reduce immersion defects, and the like.
The hydrophobic resin (E) is preferably designed so as to be unevenly distributed on the surface of the resist film. It does not have to contribute to uniform mixing.
Further, in the present invention, resins having fluorine atoms are treated as hydrophobic resins and fluorine-containing resins described later. Moreover, it is preferable that the resin having a constitutional unit having an acid-decomposable group does not have a fluorine atom.

疎水性樹脂(E)は、膜表層への偏在化の観点から、“フッ素原子”、“ケイ素原子”、及び“樹脂の側鎖部分に含有されたCH部分構造”からなる群から選択される少なくとも1種を有する構成単位を含む樹脂であることが好ましい。
疎水性樹脂(E)が、フッ素原子又はケイ素原子を含む場合、疎水性樹脂(E)における上記フッ素原子又はケイ素原子は、樹脂の主鎖中に含まれていてもよく、側鎖中に含まれていてもよい。
The hydrophobic resin (E) is selected from the group consisting of "fluorine atom", "silicon atom", and " CH3 partial structure contained in the side chain portion of the resin" from the viewpoint of uneven distribution on the film surface layer. It is preferable that the resin contains a structural unit having at least one kind of
When the hydrophobic resin (E) contains a fluorine atom or silicon atom, the fluorine atom or silicon atom in the hydrophobic resin (E) may be contained in the main chain of the resin, or may be contained in the side chain. It may be

疎水性樹脂(E)は、下記(x)~(z)の群から選ばれる基を少なくとも1つを有することが好ましい。
(x)酸基
(y)アルカリ現像液の作用により分解してアルカリ現像液に対する溶解度が増大する基(以下、極性変換基ともいう。)
(z)酸の作用により分解する基
The hydrophobic resin (E) preferably has at least one group selected from the group (x) to (z) below.
(x) an acid group; (y) a group that decomposes under the action of an alkaline developer to increase its solubility in the alkaline developer (hereinafter also referred to as a polarity conversion group);
(z) a group that decomposes under the action of an acid

酸基(x)としては、フェノール性水酸基、カルボン酸基、フッ素化アルコール基、スルホン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及びトリス(アルキルスルホニル)メチレン基等が挙げられる。
酸基としては、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール)、スルホンイミド基、又はビス(アルキルカルボニル)メチレン基が好ましい。
The acid group (x) includes phenolic hydroxyl group, carboxylic acid group, fluorinated alcohol group, sulfonic acid group, sulfonamide group, sulfonylimide group, (alkylsulfonyl)(alkylcarbonyl)methylene group, (alkylsulfonyl)(alkyl carbonyl)imide group, bis(alkylcarbonyl)methylene group, bis(alkylcarbonyl)imide group, bis(alkylsulfonyl)methylene group, bis(alkylsulfonyl)imide group, tris(alkylcarbonyl)methylene group, and tris(alkylsulfonyl) ) methylene group and the like.
Preferred acid groups are fluorinated alcohol groups (preferably hexafluoroisopropanol), sulfonimide groups, or bis(alkylcarbonyl)methylene groups.

アルカリ現像液の作用により分解してアルカリ現像液に対する溶解度が増大する基(y)としては、例えば、ラクトン基、カルボン酸エステル基(-COO-)、酸無水物基(-C(O)OC(O)-)、酸イミド基(-NHCONH-)、カルボン酸チオエステル基(-COS-)、炭酸エステル基(-OC(O)O-)、硫酸エステル基(-OSOO-)、及びスルホン酸エステル基(-SOO-)などが挙げられ、ラクトン基又はカルボン酸エステル基(-COO-)が好ましい。
これらの基を含んだ構成単位は、樹脂の主鎖にこれらの基が直接結合している構成単位であり、例えば、アクリル酸エステル及びメタクリル酸エステルによる構成単位等が挙げられる。この構成単位は、これらの基が連結基を介して樹脂の主鎖に結合していてもよい。あるいは、この構成単位は、これらの基を有する重合開始剤又は連鎖移動剤を重合時に用いて、樹脂の末端に導入されていてもよい。
ラクトン基を有する構成単位としては、例えば、先に樹脂(A)の項で説明したラクトン構造を有する構成単位と同様のものが挙げられる。
Examples of the group (y) that decomposes under the action of an alkaline developer to increase the solubility in the alkaline developer include a lactone group, a carboxylic acid ester group (—COO—), an acid anhydride group (—C(O)OC (O)-), an acid imide group (-NHCONH-), a carboxylic acid thioester group (-COS-), a carbonate group (-OC(O)O-), a sulfate group (-OSO 2 O-), and A sulfonic acid ester group (--SO 2 O--) and the like can be mentioned, and a lactone group or a carboxylic acid ester group (--COO--) is preferred.
Structural units containing these groups are structural units in which these groups are directly bonded to the main chain of the resin, and examples thereof include structural units of acrylic acid esters and methacrylic acid esters. In this structural unit, these groups may be bonded to the main chain of the resin via a linking group. Alternatively, this structural unit may be introduced at the end of the resin using a polymerization initiator or chain transfer agent having these groups during polymerization.
Examples of structural units having a lactone group include those similar to the structural units having a lactone structure described above in the section of resin (A).

アルカリ現像液の作用により分解してアルカリ現像液に対する溶解度が増大する基(y)を有する構成単位の含有量は、疎水性樹脂(E)中の全構成単位を基準として、1~100モル%が好ましく、3~98モル%がより好ましく、5~95モル%が更に好ましい。 The content of the structural unit having a group (y) that decomposes under the action of an alkaline developer to increase the solubility in the alkaline developer is 1 to 100 mol% based on all structural units in the hydrophobic resin (E). is preferred, 3 to 98 mol% is more preferred, and 5 to 95 mol% is even more preferred.

疎水性樹脂(E)における、酸の作用により分解する基(z)を有する構成単位は、樹脂(A)で挙げた酸分解性基を有する構成単位と同様のものが挙げられる。酸の作用により分解する基(z)を有する構成単位は、フッ素原子及びケイ素原子の少なくともいずれかを有していてもよい。酸の作用により分解する基(z)を有する構成単位の含有量は、樹脂(E)中の全構成単位に対して、1モル%~80モル%が好ましく、10モル%~80モル%がより好ましく、20モル%~60モル%が更に好ましい。 Examples of the structural unit having a group (z) decomposable by the action of an acid in the hydrophobic resin (E) are the same as the structural units having an acid-decomposable group exemplified for the resin (A). A structural unit having a group (z) decomposable under the action of an acid may have at least one of a fluorine atom and a silicon atom. The content of the structural unit having a group (z) decomposable by the action of an acid is preferably 1 mol% to 80 mol%, more preferably 10 mol% to 80 mol%, based on the total structural units in the resin (E). More preferably, 20 mol % to 60 mol % is even more preferable.

疎水性樹脂(E)は、更に、上述した構成単位とは別の構成単位を有していてもよい。 The hydrophobic resin (E) may further have structural units other than the structural units described above.

フッ素原子を含む構成単位は、疎水性樹脂(E)に含まれる全構成単位に対して、10モル%~100モル%が好ましく、30モル%~100モル%がより好ましい。また、ケイ素原子を含む構成単位は、疎水性樹脂(E)に含まれる全構成単位に対して、10モル%~100モル%が好ましく、20モル%~100モル%がより好ましい。 The structural unit containing a fluorine atom is preferably 10 mol % to 100 mol %, more preferably 30 mol % to 100 mol %, relative to all structural units contained in the hydrophobic resin (E). Also, the structural unit containing a silicon atom is preferably 10 mol % to 100 mol %, more preferably 20 mol % to 100 mol %, based on the total structural units contained in the hydrophobic resin (E).

一方、特に疎水性樹脂(E)が側鎖部分にCH部分構造を含む場合においては、疎水性樹脂(E)が、フッ素原子及びケイ素原子を実質的に含有しない形態も好ましい。また、疎水性樹脂(E)は、炭素原子、酸素原子、水素原子、窒素原子及び硫黄原子から選ばれる原子のみによって構成された構成単位のみで実質的に構成されることが好ましい。On the other hand, especially when the hydrophobic resin (E) contains a CH 3 partial structure in the side chain portion, it is also preferable that the hydrophobic resin (E) does not substantially contain fluorine atoms and silicon atoms. Moreover, it is preferable that the hydrophobic resin (E) is substantially composed only of structural units composed only of atoms selected from carbon atoms, oxygen atoms, hydrogen atoms, nitrogen atoms and sulfur atoms.

疎水性樹脂(E)の標準ポリスチレン換算の重量平均分子量は、1,000~100,000が好ましく、1,000~50,000がより好ましい。 The weight average molecular weight of the hydrophobic resin (E) in terms of standard polystyrene is preferably 1,000 to 100,000, more preferably 1,000 to 50,000.

疎水性樹脂(E)に含まれる残存モノマー及びオリゴマー成分の合計含有量は、0.01質量%~5質量%が好ましく、0.01質量%~3質量%がより好ましい。また、分散度(Mw/Mn)は、1~5の範囲が好ましく、より好ましくは1~3の範囲である。 The total content of residual monomers and oligomer components contained in the hydrophobic resin (E) is preferably 0.01% by mass to 5% by mass, more preferably 0.01% by mass to 3% by mass. Further, the dispersity (Mw/Mn) is preferably in the range of 1-5, more preferably in the range of 1-3.

疎水性樹脂(E)としては、公知の樹脂を、単独又はそれらの混合物として適宜に選択して使用することができる。例えば、米国特許出願公開第2015/0168830号明細書の段落0451~0704、米国特許出願公開第2016/0274458号明細書の段落0340~0356に開示された公知の樹脂を疎水性樹脂(E)として好適に使用できる。また、米国特許出願公開第2016/0237190号明細書の段落0177~0258に開示された構成単位も、疎水性樹脂(E)を構成する構成単位として好ましい。 As the hydrophobic resin (E), known resins can be appropriately selected and used either singly or as a mixture thereof. For example, known resins disclosed in paragraphs 0451 to 0704 of US Patent Application Publication No. 2015/0168830 and paragraphs 0340 to 0356 of US Patent Application Publication No. 2016/0274458 are used as the hydrophobic resin (E) It can be used preferably. Further, structural units disclosed in paragraphs 0177 to 0258 of US Patent Application Publication No. 2016/0237190 are also preferable as structural units constituting the hydrophobic resin (E).

-含フッ素樹脂-
疎水性樹脂(E)は、フッ素原子を含む樹脂(「含フッ素樹脂」ともいう。)であることが好ましい。
疎水性樹脂(E)がフッ素原子を含む場合、フッ素原子を有する部分構造として、フッ素原子を有するアルキル基、フッ素原子を有するシクロアルキル基、又はフッ素原子を有するアリール基を有する樹脂であることが好ましい。
- Fluorine-containing resin -
The hydrophobic resin (E) is preferably a resin containing fluorine atoms (also referred to as "fluorine-containing resin").
When the hydrophobic resin (E) contains a fluorine atom, the partial structure having a fluorine atom may be a resin having an alkyl group having a fluorine atom, a cycloalkyl group having a fluorine atom, or an aryl group having a fluorine atom. preferable.

フッ素原子を有するアルキル基は、少なくとも1つの水素原子がフッ素原子で置換された直鎖状又は分岐鎖状のアルキル基であり、炭素数1~10が好ましく、炭素数1~4がより好ましい。
フッ素原子を有するシクロアルキル基は、少なくとも1つの水素原子がフッ素原子で置換された単環又は多環のシクロアルキル基である。
フッ素原子を有するアリール基としては、フェニル基、及び、ナフチル基等のアリール基の少なくとも1つの水素原子がフッ素原子で置換されたものが挙げられる。
The alkyl group having a fluorine atom is a linear or branched alkyl group in which at least one hydrogen atom is substituted with a fluorine atom, and preferably has 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms.
A cycloalkyl group having a fluorine atom is a monocyclic or polycyclic cycloalkyl group in which at least one hydrogen atom is substituted with a fluorine atom.
Aryl groups having a fluorine atom include those in which at least one hydrogen atom of an aryl group such as a phenyl group and a naphthyl group is substituted with a fluorine atom.

フッ素原子を有するアルキル基、フッ素原子を有するシクロアルキル基、及びフッ素原子を有するアリール基として、式(F2)~(F4)で表される基が好ましい。 As the alkyl group having a fluorine atom, the cycloalkyl group having a fluorine atom, and the aryl group having a fluorine atom, groups represented by formulas (F2) to (F4) are preferable.

式(F2)~(F4)中、
57~R68は、それぞれ独立に、水素原子、フッ素原子又はアルキル基(直鎖状若しくは分岐鎖状)を表す。但し、R57~R61の少なくとも1つ、R62~R64の少なくとも1つ、及びR65~R68の少なくとも1つは、それぞれ独立に、フッ素原子又は少なくとも1つの水素原子がフッ素原子で置換されたアルキル基を表す。
57~R61及びR65~R67は、全てがフッ素原子であることが好ましい。R62、R63及びR68は、少なくとも1つの水素原子がフッ素原子で置換されたアルキル基(好ましくは炭素数1~4)であることが好ましく、炭素数1~4のパーフルオロアルキル基であることがより好ましい。R62とR63は、互いに連結して環を形成してもよい。
In formulas (F2) to (F4),
R 57 to R 68 each independently represent a hydrogen atom, a fluorine atom or an alkyl group (linear or branched). provided that at least one of R 57 to R 61 , at least one of R 62 to R 64 , and at least one of R 65 to R 68 are each independently a fluorine atom or at least one hydrogen atom is a fluorine atom; represents a substituted alkyl group.
All of R 57 to R 61 and R 65 to R 67 are preferably fluorine atoms. R 62 , R 63 and R 68 are preferably alkyl groups (preferably having 1 to 4 carbon atoms) in which at least one hydrogen atom is substituted with a fluorine atom, and are perfluoroalkyl groups having 1 to 4 carbon atoms. It is more preferable to have R62 and R63 may be linked together to form a ring.

中でも、本発明の効果がより優れる点で、含フッ素樹脂は、アルカリ分解性を有することが好ましい。
含フッ素樹脂がアルカリ分解性を有するとは、pH10の緩衝液2mLとTHF8mLとの混合液に含フッ素樹脂100mgを添加して、40℃にて静置し、10分後に含フッ素樹脂中の分解性基の総量の30mol%以上が加水分解することをいう。なお、分解率は、NMR分析による原料と分解物の比から算出できる。
Above all, the fluorine-containing resin preferably has alkali-decomposability from the viewpoint that the effects of the present invention are more excellent.
The fluororesin having alkaline decomposability means that 100 mg of the fluororesin is added to a mixed solution of 2 mL of a pH 10 buffer solution and 8 mL of THF, left to stand at 40° C., and after 10 minutes decomposition in the fluororesin It means that 30 mol % or more of the total amount of the functional groups is hydrolyzed. Note that the decomposition rate can be calculated from the ratio of the raw material to the decomposition product obtained by NMR analysis.

含フッ素樹脂は、焦点深度の許容度、パターン直線性、現像特性の改善、アウトガスの抑制、液浸露光における液浸液追随性の向上及び液浸欠陥の低減の観点から、式Xで表される構成単位を有することが好ましい。
また、本発明の感活性光線性又は感放射線性樹脂組成物は、焦点深度の許容度、パターン直線性、現像特性の改善、アウトガスの抑制、液浸露光における液浸液追随性の向上及び液浸欠陥の低減の観点から、式Xで表される構成単位を有する含フッ素樹脂を更に含むことが好ましい。
The fluorine-containing resin is represented by the formula X from the viewpoint of latitude of depth of focus, pattern linearity, improvement of development characteristics, suppression of outgassing, improvement of immersion liquid followability in immersion exposure, and reduction of immersion defects. It is preferable to have a structural unit with
Further, the actinic ray-sensitive or radiation-sensitive resin composition of the present invention has improved latitude in depth of focus, pattern linearity, development characteristics, suppression of outgassing, improvement in immersion liquid followability in immersion exposure, and From the viewpoint of reducing impregnation defects, it is preferable to further include a fluorine-containing resin having a structural unit represented by formula X.

Figure 0007309907000045
Figure 0007309907000045

式X中、Zは、ハロゲン原子、R11OCH-で表される基、又は、R12OC(=O)CH-で表される基を表し、R11及びR12はそれぞれ独立に、置換基を表し、Xは、酸素原子、又は、硫黄原子を表す。Lは、(n+1)価の連結基を表し、R10は、アルカリ水溶液の作用により分解してアルカリ水溶液中での含フッ素樹脂の溶解度が増大する基を有する基を表し、nは正の整数を表し、nが2以上である場合、複数のR10は、互いに同一であっても、異なっていてもよい。In formula X, Z represents a halogen atom, a group represented by R 11 OCH 2 —, or a group represented by R 12 OC(=O)CH 2 —, and R 11 and R 12 each independently , represents a substituent, and X represents an oxygen atom or a sulfur atom. L represents a (n+1)-valent linking group, R 10 represents a group having a group that decomposes under the action of an alkaline aqueous solution to increase the solubility of the fluororesin in the alkaline aqueous solution, and n is a positive integer. and when n is 2 or more, a plurality of R 10 may be the same or different.

Zのハロゲン原子としては、例えば、フッ素原子、塩素原子、臭素原子、及び、ヨウ素原子が挙げられ、フッ素原子が好ましい。
11及びR12としての置換基は、例えば、アルキル基(好ましくは炭素数1~4)、シクロアルキル基(好ましくは炭素数6~10)、及び、アリール基(好ましくは炭素数6~10)が挙げられる。また、R11及びR12としての置換基は、更に置換基を有していてもよく、このような更なる置換基としては、アルキル基(好ましくは炭素数1~4)、ハロゲン原子、水酸基、アルコキシ基(好ましくは炭素数1~4)、及び、カルボキシ基が挙げられる。
Lとしての連結基は、2価又は3価の連結基が好ましく(換言すれば、nが1又は2であることが好ましく)、2価の連結基がより好ましい(換言すれば、nが1であることが好ましい)。Lとしての連結基は、脂肪族基、芳香族基及びそれらの組み合わせからなる群より選ばれる連結基であることが好ましい。
例えば、nが1であり、Lとしての連結基が2価の連結基である場合、2価の脂肪族基としては、アルキレン基、アルケニレン基、アルキニレン基、又はポリアルキレンオキシ基が挙げられる。中でも、アルキレン基又はアルケニレン基が好ましく、アルキレン基がより好ましい。
2価の脂肪族基は、鎖状構造であっても環状構造であってもよいが、環状構造よりも鎖状構造の方が好ましく、分岐を有する鎖状構造よりも直鎖状構造の方が好ましい。2価の脂肪族基は、置換基を有していてもよく、置換基としては、ハロゲン原子(フッ素原子、塩素原子、臭素原子、ヨウ素原子)、水酸基、カルボキシル基、アミノ基、シアノ基、アリール基、アルコキシ基、アリールオキシ基、アシル基、アルコキシカルボニル基、アリールオキシカルボニル基、アシルオキシ基、モノアルキルアミノ基、ジアルキルアミノ基、アリールアミノ基、及び、ジアリールアミノ基が挙げられる。
2価の芳香族基としては、アリーレン基が挙げられる。中でも、フェニレン基、及び、ナフチレン基が好ましい。
2価の芳香族基は、置換基を有していてもよく、上記2価の脂肪族基における置換基の例に加えて、アルキル基が挙げられる。
また、Lとしては、上述した式LC1-1~式LC1-21又は式SL1-1~式SL-3で表される構造から任意の位置の水素原子を2個除いた2価の基であってもよい。
nが2以上である場合、(n+1)価の連結基の具体例としては、上記した2価の連結基の具体例から、任意の(n-1)個の水素原子を除してなる基が挙げられる。
Lの具体例として、例えば、以下の連結基が挙げられる。
The halogen atom for Z includes, for example, a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom, with a fluorine atom being preferred.
Substituents for R 11 and R 12 include, for example, an alkyl group (preferably having 1 to 4 carbon atoms), a cycloalkyl group (preferably having 6 to 10 carbon atoms), and an aryl group (preferably having 6 to 10 carbon atoms). ). In addition, the substituents as R 11 and R 12 may further have a substituent, and examples of such further substituents include an alkyl group (preferably having 1 to 4 carbon atoms), a halogen atom, and a hydroxyl group. , an alkoxy group (preferably having 1 to 4 carbon atoms), and a carboxy group.
The linking group for L is preferably a divalent or trivalent linking group (in other words, n is preferably 1 or 2), more preferably a divalent linking group (in other words, n is 1 is preferred). The linking group for L is preferably a linking group selected from the group consisting of aliphatic groups, aromatic groups and combinations thereof.
For example, when n is 1 and the linking group for L is a divalent linking group, the divalent aliphatic group includes an alkylene group, an alkenylene group, an alkynylene group, or a polyalkyleneoxy group. Among them, an alkylene group or an alkenylene group is preferable, and an alkylene group is more preferable.
The divalent aliphatic group may have a chain structure or a cyclic structure, but a chain structure is preferable to a cyclic structure, and a linear structure is preferable to a branched chain structure. is preferred. The divalent aliphatic group may have a substituent, and the substituents include halogen atoms (fluorine atom, chlorine atom, bromine atom, iodine atom), hydroxyl group, carboxyl group, amino group, cyano group, An aryl group, an alkoxy group, an aryloxy group, an acyl group, an alkoxycarbonyl group, an aryloxycarbonyl group, an acyloxy group, a monoalkylamino group, a dialkylamino group, an arylamino group, and a diarylamino group.
An arylene group is mentioned as a divalent aromatic group. Among them, a phenylene group and a naphthylene group are preferred.
The divalent aromatic group may have a substituent, and examples of substituents for the divalent aliphatic group include alkyl groups.
Further, L is a divalent group obtained by removing two hydrogen atoms at arbitrary positions from the structures represented by the above formulas LC1-1 to LC1-21 or SL1-1 to SL-3. may
When n is 2 or more, specific examples of the (n+1)-valent linking group include a group obtained by removing any (n-1) hydrogen atoms from the specific examples of the divalent linking group described above. is mentioned.
Specific examples of L include the following linking groups.

Figure 0007309907000046
Figure 0007309907000046

なお、これらの連結基は、上記したように、置換基を更に有していてもよい。 These linking groups may further have a substituent as described above.

10としては、下記式Wで表される基が好ましい。
-Y-R20 式W
R 10 is preferably a group represented by formula W below.
-YR 20 Formula W

上記式W中、Yは、アルカリ水溶液の作用により分解してアルカリ水溶液中での溶解度が増大する基を表す。R20は、電子求引性基を表す。In the above formula W, Y represents a group that decomposes under the action of an alkaline aqueous solution to increase its solubility in the alkaline aqueous solution. R20 represents an electron-withdrawing group.

Yとしては、カルボン酸エステル基(-COO-又はOCO-)、酸無水物基(-C(O)OC(O)-)、酸イミド基(-NHCONH-)、カルボン酸チオエステル基(-COS-)、炭酸エステル基(-OC(O)O-)、硫酸エステル基(-OSOO-)、及び、スルホン酸エステル基(-SOO-)が挙げられ、カルボン酸エステル基が好ましい。Y is a carboxylic acid ester group (-COO- or OCO-), an acid anhydride group (-C(O)OC(O)-), an acid imide group (-NHCONH-), a carboxylic acid thioester group (-COS -), a carbonate group (-OC(O)O-), a sulfate group (-OSO 2 O-), and a sulfonate group (-SO 2 O-), with the carboxylate group being preferred. .

上記電子求引性基としては、下記式EWで示す部分構造が好ましい。式EWにおける*は式W中の基Yに直結している結合手を表す。 As the electron-withdrawing group, a partial structure represented by the following formula EW is preferable. * in the formula EW represents a bond directly linked to the group Y in the formula W;

Figure 0007309907000047
Figure 0007309907000047

式EW中、
ewは-C(Rew1)(Rew2)-で表される連結基の繰り返し数であり、0又は1の整数を表す。newが0の場合は単結合を表し、直接Yew1が結合していることを示す。
ew1は、ハロゲン原子、シアノ基、ニトロ基、後述の-C(Rf1)(Rf2)-Rf3で表されるハロ(シクロ)アルキル基、ハロアリール基、オキシ基、カルボニル基、スルホニル基、スルフィニル基、及びこれらの組み合わせが挙げられる。(但し、Yew1がハロゲン原子、シアノ基又はニトロ基である場合、newは1である。)
ew1及びRew2は、それぞれ独立して任意の基を表し、例えば、水素原子、アルキル基(好ましくは炭素数1~8)、シクロアルキル基(好ましくは炭素数3~10)又はアリール基(好ましくは炭素数6~10)を表す。
ew1、Rew2及びYew1の少なくとも2つが互いに連結して環を形成していてもよい。
なお、「ハロ(シクロ)アルキル基」とは、少なくとも一部がハロゲン化したアルキル基及びシクロアルキル基を表し、「ハロアリール基」とは、少なくとも一部がハロゲン化したアリール基を表す。
In the formula EW,
n ew is the repeating number of the linking group represented by —C(R ew1 )(R ew2 )— and represents an integer of 0 or 1; When new is 0, it represents a single bond, indicating that Yew1 is directly bonded.
Y ew1 is a halogen atom, a cyano group, a nitro group, a halo(cyclo)alkyl group represented by —C(R f1 )(R f2 )—R f3 described later, a haloaryl group, an oxy group, a carbonyl group, a sulfonyl group , sulfinyl groups, and combinations thereof. (However, when Yew1 is a halogen atom, a cyano group or a nitro group, new is 1.)
R ew1 and R ew2 each independently represent an arbitrary group, for example, a hydrogen atom, an alkyl group (preferably having 1 to 8 carbon atoms), a cycloalkyl group (preferably having 3 to 10 carbon atoms) or an aryl group ( It preferably represents 6 to 10 carbon atoms).
At least two of R ew1 , R ew2 and Y ew1 may be linked together to form a ring.
The term "halo(cyclo)alkyl group" refers to an at least partially halogenated alkyl group and cycloalkyl group, and the term "haloaryl group" refers to an at least partially halogenated aryl group.

ew1としては、ハロゲン原子、-C(Rf1)(Rf2)-Rf3で表されるハロ(シクロ)アルキル基、又はハロアリール基が好ましい。Y ew1 is preferably a halogen atom, a halo(cyclo)alkyl group represented by —C(R f1 )(R f2 )—R f3 , or a haloaryl group.

f1は、ハロゲン原子、パーハロアルキル基、パーハロシクロアルキル基、又はパーハロアリール基を表し、フッ素原子、パーフルオロアルキル基又はパーフルオロシクロアルキル基が好ましく、フッ素原子又はトリフルオロメチル基がより好ましい。
f2及びRf3は、それぞれ独立して、水素原子、ハロゲン原子又は有機基を表し、Rf2とRf3とが連結して環を形成してもよい。有機基としては、アルキル基、シクロアルキル基、及び、アルコキシ基が挙げられ、これらはハロゲン原子(好ましくはフッ素原子)で置換されていてもよい。Rf2及びRf3は、(ハロ)アルキル基又は(ハロ)シクロアルキル基が好ましい。Rf2はRf1と同様の基を表すか、又はRf3と連結して環を形成していることがより好ましい。
f2とRf3とが連結して形成する環としては、(ハロ)シクロアルキル環が挙げられる。
R f1 represents a halogen atom, a perhaloalkyl group, a perhalocycloalkyl group or a perhaloaryl group, preferably a fluorine atom, a perfluoroalkyl group or a perfluorocycloalkyl group, more preferably a fluorine atom or a trifluoromethyl group; preferable.
R f2 and R f3 each independently represent a hydrogen atom, a halogen atom or an organic group, and R f2 and R f3 may combine to form a ring. Organic groups include alkyl groups, cycloalkyl groups, and alkoxy groups, which may be substituted with halogen atoms (preferably fluorine atoms). R f2 and R f3 are preferably (halo)alkyl groups or (halo)cycloalkyl groups. R f2 more preferably represents the same group as R f1 or is linked to R f3 to form a ring.
The ring formed by connecting R f2 and R f3 includes a (halo)cycloalkyl ring.

f1~Rf3における(ハロ)アルキル基としては、直鎖状及び分岐鎖状のいずれでもよく、直鎖状(ハロ)アルキル基としては、炭素数1~30が好ましく、1~20がより好ましい。The (halo)alkyl group for R f1 to R f3 may be either linear or branched, and the linear (halo)alkyl group preferably has 1 to 30 carbon atoms, more preferably 1 to 20 carbon atoms. preferable.

f1~Rf3における、又は、Rf2とRf3とが連結して形成する環における(ハロ)シクロアルキル基としては、単環型でもよく、多環型でもよい。多環型の場合、(ハロ)シクロアルキル基は有橋式であってもよい。即ち、この場合、(ハロ)シクロアルキル基は橋かけ構造を有していてもよい。
これら(ハロ)シクロアルキル基としては、例えば、下式により表されるもの、及び、これらがハロゲン化した基が挙げられる。なお、シクロアルキル基中の炭素原子の一部が、酸素原子等のヘテロ原子によって置換されていてもよい。
The (halo)cycloalkyl group in R f1 to R f3 or in the ring formed by connecting R f2 and R f3 may be monocyclic or polycyclic. If polycyclic, the (halo)cycloalkyl group may be bridged. That is, in this case, the (halo)cycloalkyl group may have a bridged structure.
These (halo)cycloalkyl groups include, for example, those represented by the following formulas and groups obtained by halogenating these. Some of the carbon atoms in the cycloalkyl group may be substituted with heteroatoms such as oxygen atoms.

Figure 0007309907000048
Figure 0007309907000048

f2及びRf3における、又は、Rf2とRf3とが連結して形成する環における(ハロ)シクロアルキル基としては、-C(n)(2n-2)Hで表されるフルオロシクロアルキル基が好ましい。ここで炭素数nは特に限定されないが、5~13のものが好ましく、6がより好ましい。The (halo)cycloalkyl group in R f2 and R f3 or in the ring formed by linking R f2 and R f3 is a fluorocycloalkyl group represented by —C (n) F (2n-2) H Alkyl groups are preferred. Although the number of carbon atoms n is not particularly limited, it is preferably 5 to 13, more preferably 6.

ew1における、又は、Rf1における(パー)ハロアリール基としては、-C(n)(n-1)で表されるパーフルオロアリール基が挙げられる。ここで炭素数nは特に限定されないが、5~13が好ましく、6がより好ましい。The (per)haloaryl group for Y ew1 or R f1 includes a perfluoroaryl group represented by —C (n) F (n-1) . Although the number of carbon atoms n is not particularly limited, 5 to 13 is preferable, and 6 is more preferable.

ew1、Rew2及びYew1の少なくとも2つが互いに連結して形成してもよい環としては、シクロアルキル基又はヘテロ環基が好ましい。A cycloalkyl group or a heterocyclic group is preferable as the ring which may be formed by combining at least two of Rew1 , Rew2 and Yew1 .

上記式EWで示す部分構造を構成する各基及び各環は、更に置換基を有していてもよい。 Each group and each ring constituting the partial structure represented by formula EW may further have a substituent.

上記式W中、R20は、ハロゲン原子、シアノ基及びニトロ基からなる群から選択される1個以上で置換されたアルキル基であることが好ましく、ハロゲン原子で置換されたアルキル基(ハロアルキル基)であることがより好ましく、フルオロアルキル基であることが更に好ましい。ハロゲン原子、シアノ基及びニトロ基からなる群から選択される1個以上で置換されたアルキル基は炭素数が1~10であることが好ましく、1~5であることがより好ましい。
より具体的には、R20は、-C(R’)(R’f1)(R’f2)又は-C(R’)(R’)(R’f1)で表される原子団であることが好ましい。R’及びR’は、それぞれ独立に、水素原子、又は、電子求引性基で置換されていない(好ましくは無置換の)アルキル基を表す。R’f1及びR’f2は、それぞれ独立に、ハロゲン原子、シアノ基、ニトロ基、又は、パーフルオロアルキル基を表す。
R’及びR’としてのアルキル基は、直鎖状でも分岐鎖状でもよく、炭素数1~6が好ましい。
R’f1及びR’f2してのパーフルオロアルキル基は、直鎖状でも分岐鎖状でもよく、炭素数1~6が好ましい。
20の好ましい具体例としては、-CF、-C、-C、-C、-CF(CF、-CF(CF)C、-CFCF(CF、-C(CF、-C11、-C13、-C15、-C17、-CHCF、-CH、-CH、-CH(CF、-CH(CF)C、-CHCF(CF、及び、-CHCNが挙げられる。中でも、-CF、-C、-C、-C、-CHCF、-CH、-CH、-CH(CF、又は、-CHCNが好ましく、-CHCF、-CH、-CH、-CH(CF、又は、-CHCNがより好ましく、-CH、-CH(CF、又は、-CHCNが更に好ましく、-CH、又は、-CH(CFが特に好ましい。
In formula W above, R 20 is preferably an alkyl group substituted with one or more selected from the group consisting of a halogen atom, a cyano group and a nitro group, and an alkyl group substituted with a halogen atom (haloalkyl group ), more preferably a fluoroalkyl group. The alkyl group substituted with one or more selected from the group consisting of halogen atoms, cyano groups and nitro groups preferably has 1 to 10 carbon atoms, more preferably 1 to 5 carbon atoms.
More specifically, R 20 is an atom represented by —C(R′ 1 )(R′ f1 )(R′ f2 ) or —C(R′ 1 )(R′ 2 )(R′ f1 ) Groups are preferred. R' 1 and R' 2 each independently represent a hydrogen atom or an alkyl group not substituted with an electron-withdrawing group (preferably unsubstituted). R' f1 and R' f2 each independently represent a halogen atom, a cyano group, a nitro group, or a perfluoroalkyl group.
The alkyl groups for R' 1 and R' 2 may be linear or branched, and preferably have 1 to 6 carbon atoms.
The perfluoroalkyl groups for R' f1 and R' f2 may be linear or branched, and preferably have 1 to 6 carbon atoms.
Preferred specific examples of R 20 include -CF 3 , -C 2 F 5 , -C 3 F 7 , -C 4 F 9 , -CF(CF 3 ) 2 , -CF(CF 3 )C 2 F 5 , -CF2CF ( CF3 ) 2 , -C ( CF3 ) 3 , -C5F11 , -C6F13 , -C7F15 , -C8F17 , -CH2CF3 , -CH 2C2F5 , -CH2C3F7 , -CH ( CF3 ) 2 , -CH( CF3 ) C2F5 , -CH2CF ( CF3 ) 2 , and -CH2CN are mentioned. Among others, -CF 3 , -C 2 F 5 , -C 3 F 7 , -C 4 F 9 , -CH 2 CF 3 , -CH 2 C 2 F 5 , -CH 2 C 3 F 7 , -CH(CF 3 ) 2 or -CH 2 CN is preferred, and -CH 2 CF 3 , -CH 2 C 2 F 5 , -CH 2 C 3 F 7 , -CH(CF 3 ) 2 or -CH 2 CN is -CH 2 C 2 F 5 , -CH(CF 3 ) 2 or -CH 2 CN is more preferred, and -CH 2 C 2 F 5 or -CH(CF 3 ) 2 is particularly preferred.

式Xで表される構成単位としては、下記式X-1又は式X-2で表される構成単位が好ましく、式X-1で表される構成単位がより好ましい。 As the structural unit represented by formula X, a structural unit represented by formula X-1 or X-2 below is preferable, and a structural unit represented by formula X-1 is more preferable.

式X-1中、R20は、電子求引性基を表し、Lは、2価の連結基を表し、Xは、酸素原子又は硫黄原子を表し、Zはハロゲン原子を表す。
式X-2中、R20は、電子求引性基を表し、Lは、2価の連結基を表し、Xは、酸素原子又は硫黄原子を表し、Zはハロゲン原子を表す。
In Formula X-1, R 20 represents an electron-withdrawing group, L 2 represents a divalent linking group, X 2 represents an oxygen atom or a sulfur atom, and Z 2 represents a halogen atom.
In formula X-2, R 20 represents an electron-withdrawing group, L 3 represents a divalent linking group, X 3 represents an oxygen atom or a sulfur atom, and Z 3 represents a halogen atom.

及びLとしての2価の連結基の具体例及び好ましい例は、上記式Xの2価の連結基としてのLにおいて説明したものと同様である。
及びRとしての電子求引性基は、上記式EWで示す部分構造であることが好ましく、具体例及び好ましい例も上述の通りであるが、ハロ(シクロ)アルキル基がより好ましい。
Specific examples and preferred examples of the divalent linking group for L 2 and L 3 are the same as those described for L as the divalent linking group in formula X above.
The electron-withdrawing group as R 2 and R 3 is preferably a partial structure represented by the above formula EW, and specific examples and preferred examples are as described above, but halo(cyclo)alkyl groups are more preferred.

上記式X-1においては、LとRとが互いに結合して環を形成することはなく、上記式X-2においては、LとRとが互いに結合して環を形成することはない。In the above formula X-1, L 2 and R 2 are not bound together to form a ring, and in the above formula X-2, L 3 and R 3 are bound together to form a ring never.

及びXとしては、酸素原子が好ましい。
及びZとしては、フッ素原子又は塩素原子が好ましく、フッ素原子がより好ましい。
X 2 and X 3 are preferably oxygen atoms.
Z 2 and Z 3 are preferably a fluorine atom or a chlorine atom, more preferably a fluorine atom.

また、式Xで表される構成単位としては、式X-3で表される構成単位も好ましい。 As the structural unit represented by formula X, a structural unit represented by formula X-3 is also preferable.

式X-3中、R20は電子求引性基を表し、R21は、水素原子、アルキル基、又は、アリール基を表し、Lは、2価の連結基を表し、Xは、酸素原子又は硫黄原子を表し、mは、0又は1を表す。In formula X-3, R 20 represents an electron-withdrawing group, R 21 represents a hydrogen atom, an alkyl group, or an aryl group, L 4 represents a divalent linking group, and X 4 is represents an oxygen atom or a sulfur atom; m represents 0 or 1;

としての2価の連結基の具体例及び好ましい例は、式Xの2価の連結基としてのLにおいて説明したものと同様である。
としての電子求引性基は、上記式EWで示す部分構造であることが好ましく、具体例及び好ましい例も上述の通りであるが、ハロ(シクロ)アルキル基であることがより好ましい。
Specific examples and preferred examples of the divalent linking group for L 4 are the same as those described for L as the divalent linking group in Formula X.
The electron-withdrawing group as R 4 is preferably a partial structure represented by the above formula EW, and specific examples and preferred examples are as described above, but a halo(cyclo)alkyl group is more preferable.

なお、上記式X-3においては、LとRとが互いに結合して環を形成することはない。
としては、酸素原子が好ましい。
In formula X-3 above, L 4 and R 4 do not combine to form a ring.
X4 is preferably an oxygen atom.

また、式Xで表される構成単位としては、式Y-1で表される構成単位又は式Y-2で表される構成単位も好ましい Further, as the structural unit represented by formula X, a structural unit represented by formula Y-1 or a structural unit represented by formula Y-2 is also preferable.

式Y-1及び式Y-2中、Zは、ハロゲン原子、R11OCH-で表される基、又は、R12OC(=O)CH-で表される基を表し、R11及びR12はそれぞれ独立に、置換基を表し、R20は電子求引性基を表す。In Formula Y-1 and Formula Y-2, Z represents a halogen atom, a group represented by R 11 OCH 2 —, or a group represented by R 12 OC(=O)CH 2 —, and R 11 and R 12 each independently represent a substituent, and R 20 represents an electron-withdrawing group.

20としての電子求引性基は、上記式EWで示す部分構造であることが好ましく、具体例及び好ましい例も上述の通りであるが、ハロ(シクロ)アルキル基であることがより好ましい。The electron-withdrawing group as R 20 is preferably a partial structure represented by the above formula EW, and specific examples and preferred examples are as described above, but a halo(cyclo)alkyl group is more preferable.

Zとしての、ハロゲン原子、R11OCH-で表される基、及び、R12OC(=O)CH-で表される基の具体例及び好ましい例は、上記式1において説明したものと同様である。Specific and preferred examples of the halogen atom, the group represented by R 11 OCH 2 —, and the group represented by R 12 OC(=O)CH 2 — as Z are those described in formula 1 above. is similar to

式Xで表される構成単位の含有量は、含フッ素樹脂の全構成単位に対し、10モル%~100モル%が好ましく、20モル%~100モル%がより好ましく、30モル%~100モル%が更に好ましい。 The content of the structural unit represented by formula X is preferably 10 mol% to 100 mol%, more preferably 20 mol% to 100 mol%, and 30 mol% to 100 mol%, based on the total structural units of the fluorine-containing resin. % is more preferred.

疎水性樹脂(E)を構成する構成単位の好ましい例を以下に示す。
疎水性樹脂(E)としては、例えば、下記構成単位を任意に組合せた樹脂、又は、実施例で使用されている樹脂E-1~E-15等が好ましく挙げられるが、これらに限定されない。
Preferred examples of structural units constituting the hydrophobic resin (E) are shown below.
Examples of the hydrophobic resin (E) include, but are not limited to, resins in which the following structural units are arbitrarily combined, or resins E-1 to E-15 used in Examples.

Figure 0007309907000052
Figure 0007309907000052

Figure 0007309907000053
Figure 0007309907000053

疎水性樹脂(E)は、1種単独で使用してもよいし、2種以上を併用してもよい。
表面エネルギーが異なる2種以上の疎水性樹脂(E)を混合して使用することが、液浸露光における液浸液追随性と現像特性の両立の観点から好ましい。
疎水性樹脂(E)の組成物中の含有量は、本発明の感活性光線性又は感放射線性樹脂組成物の全固形分に対し、0.01質量%~10質量%が好ましく、0.05質量%~8質量%がより好ましい。
The hydrophobic resin (E) may be used singly or in combination of two or more.
It is preferable to use a mixture of two or more types of hydrophobic resins (E) having different surface energies from the viewpoint of compatibility between immersion liquid followability and development characteristics in immersion exposure.
The content of the hydrophobic resin (E) in the composition is preferably 0.01% by mass to 10% by mass, based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition of the present invention. 05% by mass to 8% by mass is more preferable.

<(B)光酸発生剤>
本発明の組成物は、光酸発生剤(以下、「光酸発生剤(B)」ともいう)を含む。
光酸発生剤は、活性光線又は放射線の照射により酸を発生する化合物である。
光酸発生剤は、イオン性化合物又は極性の高い化合物であることが好ましく、イオン性化合物であることが好ましい。
光酸発生剤としては、活性光線又は放射線の照射により有機酸を発生する化合物が好ましい。例えば、スルホニウム塩化合物、ヨードニウム塩化合物、ジアゾニウム塩化合物、ホスホニウム塩化合物、イミドスルホネート化合物、オキシムスルホネート化合物、ジアゾジスルホン化合物、ジスルホン化合物、及びo-ニトロベンジルスルホネート化合物を挙げることができる。
<(B) Photoacid generator>
The composition of the present invention contains a photoacid generator (hereinafter also referred to as "photoacid generator (B)").
A photoacid generator is a compound that generates an acid upon exposure to actinic rays or radiation.
The photoacid generator is preferably an ionic compound or a highly polar compound, preferably an ionic compound.
As the photoacid generator, a compound that generates an organic acid upon exposure to actinic rays or radiation is preferred. Examples include sulfonium salt compounds, iodonium salt compounds, diazonium salt compounds, phosphonium salt compounds, imidosulfonate compounds, oximesulfonate compounds, diazodisulfone compounds, disulfone compounds, and o-nitrobenzylsulfonate compounds.

光酸発生剤としては、活性光線又は放射線の照射により酸を発生する公知の化合物を、単独又はそれらの混合物として適宜選択して使用することができる。例えば、米国特許出願公開第2016/0070167号明細書の段落0125~0319、米国特許出願公開第2015/0004544号明細書の段落0086~0094、米国特許出願公開第2016/0237190号明細書の段落0323~0402に開示された公知の化合物を光酸発生剤(B)として好適に使用できる。 As the photoacid generator, a known compound that generates an acid upon exposure to actinic rays or radiation can be appropriately selected and used either singly or as a mixture thereof. For example, paragraphs 0125-0319 of US Patent Application Publication No. 2016/0070167, paragraphs 0086-0094 of US Patent Application Publication No. 2015/0004544, paragraph 0323 of US Patent Application Publication No. 2016/0237190. 0402 can be suitably used as the photoacid generator (B).

〔式ZI、ZII及びZIIIで表される化合物〕
光酸発生剤(B)の好適な態様としては、例えば、下記式ZI、ZII及びZIIIで表される化合物が挙げられる。
[Compounds Represented by Formulas ZI, ZII and ZIII]
Suitable examples of the photoacid generator (B) include compounds represented by the following formulas ZI, ZII and ZIII.

Figure 0007309907000054
Figure 0007309907000054

上記式ZIにおいて、
201、R202及びR203はそれぞれ独立に、有機基を表す。
201、R202及びR203としての有機基の炭素数は、好ましくは1~30であり、より好ましくは1~20である。
また、R201~R203のうち2つが結合して環構造を形成してもよく、環内に酸素原子、硫黄原子、エステル結合、アミド結合、又はカルボニル基を含んでいてもよい。R201~R203のうちの2つが結合して形成する基としては、アルキレン基(例えば、ブチレン基、ペンチレン基)及び-CH-CH-O-CH-CH-を挙げることができる。
-は、アニオンを表す。
In formula ZI above,
R 201 , R 202 and R 203 each independently represent an organic group.
The number of carbon atoms in the organic groups as R 201 , R 202 and R 203 is preferably 1-30, more preferably 1-20.
Also, two of R 201 to R 203 may combine to form a ring structure, and the ring may contain an oxygen atom, a sulfur atom, an ester bond, an amide bond, or a carbonyl group. Examples of the group formed by combining two of R 201 to R 203 include an alkylene group (eg, a butylene group and a pentylene group) and —CH 2 —CH 2 —O—CH 2 —CH 2 —. can.
Z represents an anion.

〔式ZIで表される化合物におけるカチオン〕
式ZIにおけるカチオンの好適な態様としては、後述する化合物(ZI-1)、(ZI-2)、(ZI-3)及び(ZI-4)における対応する基を挙げることができる。
なお、光酸発生剤は、式ZIで表される構造を複数有する化合物であってもよい。例えば、式ZIで表される化合物のR201~R203の少なくとも1つと、式ZIで表されるもうひとつの化合物のR201~R203の少なくとも一つとが、単結合又は連結基を介して結合した構造を有する化合物であってもよい。
[Cation in Compound Represented by Formula ZI]
Preferred embodiments of the cation in formula ZI include corresponding groups in compounds (ZI-1), (ZI-2), (ZI-3) and (ZI-4) described below.
The photoacid generator may be a compound having a plurality of structures represented by Formula ZI. For example, at least one of R 201 to R 203 of the compound represented by formula ZI and at least one of R 201 to R 203 of another compound represented by formula ZI are connected via a single bond or a linking group. It may be a compound having a bound structure.

-化合物ZI-1-
まず、化合物(ZI-1)について説明する。
化合物(ZI-1)は、上記式ZIのR201~R203の少なくとも1つがアリール基である、アリールスルホニウム化合物、すなわち、アリールスルホニウムをカチオンとする化合物である。
アリールスルホニウム化合物は、R201~R203の全てがアリール基でもよいし、R201~R203の一部がアリール基であり、残りがアルキル基又はシクロアルキル基であってもよい。
アリールスルホニウム化合物としては、例えば、トリアリールスルホニウム化合物、ジアリールアルキルスルホニウム化合物、アリールジアルキルスルホニウム化合物、ジアリールシクロアルキルスルホニウム化合物、及びアリールジシクロアルキルスルホニウム化合物を挙げることができる。
-Compound ZI-1-
First, compound (ZI-1) will be described.
Compound (ZI-1) is an arylsulfonium compound in which at least one of R 201 to R 203 in formula ZI is an aryl group, that is, a compound having an arylsulfonium as a cation.
In the arylsulfonium compound, all of R 201 to R 203 may be aryl groups, or part of R 201 to R 203 may be aryl groups and the rest may be alkyl groups or cycloalkyl groups.
Arylsulfonium compounds include, for example, triarylsulfonium compounds, diarylalkylsulfonium compounds, aryldialkylsulfonium compounds, diarylcycloalkylsulfonium compounds, and aryldicycloalkylsulfonium compounds.

アリールスルホニウム化合物のアリール基としてはフェニル基、又はナフチル基が好ましく、フェニル基がより好ましい。アリール基は、酸素原子、窒素原子、又は硫黄原子等を有する複素環構造を有するアリール基であってもよい。複素環構造としては、ピロール残基、フラン残基、チオフェン残基、インドール残基、ベンゾフラン残基、及びベンゾチオフェン残基等が挙げられる。アリールスルホニウム化合物が2つ以上のアリール基を有する場合に、2つ以上あるアリール基は同一であっても異なっていてもよい。
アリールスルホニウム化合物が必要に応じて有しているアルキル基又はシクロアルキル基は、炭素数1~15の直鎖アルキル基、炭素数3~15の分岐アルキル基、又は炭素数3~15のシクロアルキル基が好ましく、例えば、メチル基、エチル基、プロピル基、n-ブチル基、sec-ブチル基、t-ブチル基、シクロプロピル基、シクロブチル基、及びシクロヘキシル基等を挙げることができる。
The aryl group of the arylsulfonium compound is preferably a phenyl group or a naphthyl group, more preferably a phenyl group. The aryl group may be an aryl group having a heterocyclic structure having an oxygen atom, a nitrogen atom, a sulfur atom, or the like. Heterocyclic structures include pyrrole residues, furan residues, thiophene residues, indole residues, benzofuran residues, benzothiophene residues, and the like. When the arylsulfonium compound has two or more aryl groups, the two or more aryl groups may be the same or different.
The alkyl group or cycloalkyl group optionally possessed by the arylsulfonium compound is a linear alkyl group having 1 to 15 carbon atoms, a branched alkyl group having 3 to 15 carbon atoms, or a cycloalkyl group having 3 to 15 carbon atoms. Groups such as methyl, ethyl, propyl, n-butyl, sec-butyl, t-butyl, cyclopropyl, cyclobutyl, and cyclohexyl groups are preferred.

201~R203のアリール基、アルキル基、及びシクロアルキル基はそれぞれ独立に、アルキル基(例えば炭素数1~15)、シクロアルキル基(例えば炭素数3~15)、アリール基(例えば炭素数6~14)、アルコキシ基(例えば炭素数1~15)、ハロゲン原子、水酸基、又はフェニルチオ基を置換基として有してもよい。The aryl group, alkyl group, and cycloalkyl group of R 201 to R 203 are each independently an alkyl group (eg, 1 to 15 carbon atoms), a cycloalkyl group (eg, 3 to 15 carbon atoms), an aryl group (eg, 6 to 14), an alkoxy group (eg, having 1 to 15 carbon atoms), a halogen atom, a hydroxyl group, or a phenylthio group as a substituent.

-化合物ZI-2-
次に、化合物(ZI-2)について説明する。
化合物(ZI-2)は、式ZIにおけるR201~R203がそれぞれ独立に、芳香環を有さない有機基である化合物である。ここで芳香環とは、ヘテロ原子を含有する芳香族環も包含する。
201~R203としての芳香環を有さない有機基は、好ましくは炭素数1~30であり、より好ましくは炭素数1~20である。
201~R203はそれぞれ独立に、好ましくはアルキル基、シクロアルキル基、アリル基、又はビニル基であり、より好ましくは直鎖又は分岐の2-オキソアルキル基、2-オキソシクロアルキル基、又はアルコキシカルボニルメチル基、更に好ましくは直鎖又は分岐2-オキソアルキル基である。
-Compound ZI-2-
Next, compound (ZI-2) will be described.
Compound (ZI-2) is a compound in which R 201 to R 203 in formula ZI are each independently an organic group having no aromatic ring. Here, the aromatic ring also includes an aromatic ring containing a heteroatom.
The organic group having no aromatic ring as R 201 to R 203 preferably has 1 to 30 carbon atoms, more preferably 1 to 20 carbon atoms.
R 201 to R 203 are each independently preferably an alkyl group, a cycloalkyl group, an allyl group, or a vinyl group, and more preferably a linear or branched 2-oxoalkyl group, 2-oxocycloalkyl group, or An alkoxycarbonylmethyl group, more preferably a linear or branched 2-oxoalkyl group.

201~R203のアルキル基及びシクロアルキル基としては、好ましくは、炭素数1~10の直鎖アルキル基又は炭素数3~10の分岐アルキル基(例えば、メチル基、エチル基、プロピル基、ブチル基、及びペンチル基)、ならびに炭素数3~10のシクロアルキル基(例えばシクロペンチル基、シクロヘキシル基、及びノルボルニル基)を挙げることができる。
201~R203は、ハロゲン原子、アルコキシ基(例えば炭素数1~5)、水酸基、シアノ基、又はニトロ基によって更に置換されていてもよい。
The alkyl group and cycloalkyl group represented by R 201 to R 203 are preferably a linear alkyl group having 1 to 10 carbon atoms or a branched alkyl group having 3 to 10 carbon atoms (eg, methyl group, ethyl group, propyl group, butyl group, and pentyl group), and cycloalkyl groups having 3 to 10 carbon atoms (eg, cyclopentyl group, cyclohexyl group, and norbornyl group).
R 201 to R 203 may be further substituted with a halogen atom, an alkoxy group (eg, 1-5 carbon atoms), a hydroxyl group, a cyano group, or a nitro group.

-化合物ZI-3-
次に、化合物(ZI-3)について説明する。
化合物(ZI-3)は、下記式ZI-3で表され、フェナシルスルホニウム塩構造を有する化合物である。
-Compound ZI-3-
Next, compound (ZI-3) will be described.
Compound (ZI-3) is represented by the following formula ZI-3 and has a phenacylsulfonium salt structure.

式ZI-3中、R1c~R5cはそれぞれ独立に、水素原子、アルキル基、シクロアルキル基、アリール基、アルコキシ基、アリールオキシ基、アルコキシカルボニル基、アルキルカルボニルオキシ基、シクロアルキルカルボニルオキシ基、ハロゲン原子、水酸基、ニトロ基、アルキルチオ基又はアリールチオ基を表し、R6c及びR7cはそれぞれ独立に、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基又はアリール基を表し、R及びRはそれぞれ独立に、アルキル基、シクロアルキル基、2-オキソアルキル基、2-オキソシクロアルキル基、アルコキシカルボニルアルキル基、アリル基又はビニル基を表す。In Formula ZI-3, R 1c to R 5c each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an alkoxy group, an aryloxy group, an alkoxycarbonyl group, an alkylcarbonyloxy group, a cycloalkylcarbonyloxy group. , a halogen atom, a hydroxyl group, a nitro group, an alkylthio group or an arylthio group, R 6c and R 7c each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an aryl group, and R x and R y each independently represent an alkyl group, a cycloalkyl group, a 2-oxoalkyl group, a 2-oxocycloalkyl group, an alkoxycarbonylalkyl group, an allyl group or a vinyl group.

1c~R5c中のいずれか2つ以上、R5cとR6c、R6cとR7c、R5cとR、及びRとRは、それぞれ結合して環構造を形成してもよく、この環構造はそれぞれ独立に、酸素原子、硫黄原子、ケトン基、エステル結合、又はアミド結合を含んでいてもよい。
上記環構造としては、芳香族若しくは非芳香族の炭化水素環、芳香族若しくは非芳香族の複素環、及びこれらの環が2つ以上組み合わされてなる多環縮合環を挙げることができる。環構造としては、3員環~10員環を挙げることができ、4員環~8員環が好ましく、5員環又は6員環がより好ましい。
Any two or more of R 1c to R 5c , R 5c and R 6c , R 6c and R 7c , R 5c and R x , and R x and R y may each combine to form a ring structure. Each of these ring structures may independently contain an oxygen atom, a sulfur atom, a ketone group, an ester bond, or an amide bond.
Examples of the ring structure include aromatic or non-aromatic hydrocarbon rings, aromatic or non-aromatic heterocyclic rings, and polycyclic condensed rings in which two or more of these rings are combined. The ring structure includes a 3- to 10-membered ring, preferably a 4- to 8-membered ring, and more preferably a 5- or 6-membered ring.

1c~R5c中のいずれか2つ以上、R6cとR7c、及びRとRが結合して形成する基としては、ブチレン基、及びペンチレン基等を挙げることができる。
5cとR6c、及びR5cとRが結合して形成する基としては、単結合又はアルキレン基であることが好ましい。アルキレン基としては、メチレン基、及びエチレン基等を挙げることができる。
Zcは、アニオンを表す。
Examples of groups formed by bonding two or more of R 1c to R 5c , R 6c and R 7c , and R x and R y include a butylene group and a pentylene group.
The group formed by combining R 5c and R 6c and R 5c and R x is preferably a single bond or an alkylene group. Examples of the alkylene group include a methylene group and an ethylene group.
Zc- represents an anion.

-化合物ZI-4-
次に、化合物(ZI-4)について説明する。
化合物(ZI-4)は、下記式ZI-4で表される。
-Compound ZI-4-
Next, compound (ZI-4) will be described.
Compound (ZI-4) is represented by the following formula ZI-4.

式ZI-4中、lは0~2の整数を表し、rは0~8の整数を表し、R13は水素原子、フッ素原子、水酸基、アルキル基、シクロアルキル基、アルコキシ基、アルコキシカルボニル基、又はシクロアルキル基を有する基を表し、これらの基は置換基を有してもよく、R14はそれぞれ独立に、水酸基、アルキル基、シクロアルキル基、アルコキシ基、アルコキシカルボニル基、アルキルカルボニル基、アルキルスルホニル基、シクロアルキルスルホニル基、又はシクロアルキル基を有する基を表し、これらの基は置換基を有してもよく、R15はそれぞれ独立に、アルキル基、シクロアルキル基又はナフチル基を表し、これらの基は置換基を有してもよく、2つのR15が互いに結合して環を形成してもよい。
2つのR15が互いに結合して環を形成するとき、環骨格内に、酸素原子、又は窒素原子などのヘテロ原子を含んでもよい。一態様において、2つのR15がアルキレン基であり、互いに結合して環構造を形成することが好ましい。
は、アニオンを表す。
In formula ZI-4, l represents an integer of 0 to 2, r represents an integer of 0 to 8, R 13 is a hydrogen atom, a fluorine atom, a hydroxyl group, an alkyl group, a cycloalkyl group, an alkoxy group, an alkoxycarbonyl group , or represents a group having a cycloalkyl group, these groups may have a substituent, and R 14 each independently represents a hydroxyl group, an alkyl group, a cycloalkyl group, an alkoxy group, an alkoxycarbonyl group, an alkylcarbonyl group , an alkylsulfonyl group, a cycloalkylsulfonyl group, or a group having a cycloalkyl group, these groups may have substituents, and each R 15 independently represents an alkyl group, a cycloalkyl group, or a naphthyl group. These groups may have substituents, and two R 15 may combine with each other to form a ring.
When two R 15 are combined to form a ring, the ring skeleton may contain a heteroatom such as an oxygen atom or a nitrogen atom. In one aspect, two R 15 are alkylene groups, preferably joined together to form a ring structure.
Z represents an anion.

式ZI-4において、R13、R14及びR15のアルキル基は、直鎖状若しくは分岐状であり、炭素原子数1~10のものが好ましく、メチル基、エチル基、n-ブチル基、又はt-ブチル基等がより好ましい。In Formula ZI-4, the alkyl groups of R 13 , R 14 and R 15 are linear or branched and preferably have 1 to 10 carbon atoms, and are methyl, ethyl, n-butyl, or t-butyl group and the like are more preferable.

〔式ZII又は式ZIIIで表される化合物におけるカチオン〕
次に、式ZII、及びZIIIについて説明する。
式ZII、及びZIII中、R204~R207は、各々独立に、アリール基、アルキル基又はシクロアルキル基を表す。
204~R207のアリール基としてはフェニル基、又はナフチル基が好ましく、フェニル基がより好ましい。R204~R207のアリール基は、酸素原子、窒素原子、又は硫黄原子等を有する複素環構造を有するアリール基であってもよい。複素環構造を有するアリール基の骨格としては、例えば、ピロール、フラン、チオフェン、インドール、ベンゾフラン、及びベンゾチオフェン等を挙げることができる。
204~R207のアルキル基及びシクロアルキル基としては、好ましくは、炭素数1~10の直鎖アルキル基又は炭素数3~10の分岐アルキル基(例えば、メチル基、エチル基、プロピル基、ブチル基、及びペンチル基)、炭素数3~10のシクロアルキル基(例えばシクロペンチル基、シクロヘキシル基、及びノルボルニル基)を挙げることができる。
[Cation in Compound Represented by Formula ZII or Formula ZIII]
Formulas ZII and ZIII will now be described.
In Formulas ZII and ZIII, R 204 to R 207 each independently represent an aryl group, an alkyl group or a cycloalkyl group.
The aryl group represented by R 204 to R 207 is preferably a phenyl group or a naphthyl group, more preferably a phenyl group. The aryl group of R 204 to R 207 may be an aryl group having a heterocyclic structure containing an oxygen atom, a nitrogen atom, a sulfur atom, or the like. Examples of skeletons of aryl groups having a heterocyclic structure include pyrrole, furan, thiophene, indole, benzofuran, and benzothiophene.
The alkyl group and cycloalkyl group represented by R 204 to R 207 are preferably a linear alkyl group having 1 to 10 carbon atoms or a branched alkyl group having 3 to 10 carbon atoms (eg, methyl group, ethyl group, propyl group, butyl group, and pentyl group), and cycloalkyl groups having 3 to 10 carbon atoms (eg, cyclopentyl group, cyclohexyl group, and norbornyl group).

204~R207のアリール基、アルキル基、及びシクロアルキル基は、各々独立に置換基を有していてもよい。R204~R207のアリール基、アルキル基、及びシクロアルキル基が有していてもよい置換基としては、例えば、アルキル基(例えば炭素数1~15)、シクロアルキル基(例えば炭素数3~15)、アリール基(例えば炭素数6~15)、アルコキシ基(例えば炭素数1~15)、ハロゲン原子、水酸基、及びフェニルチオ基等を挙げることができる。
は、アニオンを表す。
The aryl group, alkyl group and cycloalkyl group of R 204 to R 207 may each independently have a substituent. Examples of substituents that the aryl group, alkyl group and cycloalkyl group of R 204 to R 207 may have include an alkyl group (eg, 1 to 15 carbon atoms) and a cycloalkyl group (eg, 3 to 3 carbon atoms). 15), aryl groups (eg, 6 to 15 carbon atoms), alkoxy groups (eg, 1 to 15 carbon atoms), halogen atoms, hydroxyl groups, and phenylthio groups.
Z represents an anion.

〔式ZI~式ZIIIで表される化合物におけるアニオン〕
式ZIにおけるZ-、式ZIIにおけるZ-、式ZI-3におけるZc、及び式ZI-4におけるZ-としては、下記式An-1で表されるアニオンが好ましい。
[Anions in compounds represented by formulas ZI to ZIII]
Z in Formula ZI, Z in Formula ZII, Zc in Formula ZI-3, and Z in Formula ZI-4 are preferably anions represented by Formula An-1 below.

式An-1中、pfは0~10の整数を表し、qfは0~10の整数を表し、rfは1~3の整数を表し、Xfはそれぞれ独立に、フッ素原子、又は、少なくとも一つのフッ素原子で置換されたアルキル基を表し、rfが2以上の整数である場合、複数の-C(Xf)-は、それぞれ同一でも異なっていてもよく、R及びRはそれぞれ独立に、水素原子、フッ素原子、アルキル基、又は、少なくとも一つのフッ素原子で置換されたアルキル基を表し、pfが2以上の整数である場合、複数の-CR4f5f-は、それぞれ同一でも異なっていてもよく、Lは、2価の連結基を表し、qfが2以上の整数である場合、複数のLは、それぞれ同一でも異なっていてもよく、Wは、環状構造を含む有機基を表す。In formula An-1, pf represents an integer of 0 to 10, qf represents an integer of 0 to 10, rf represents an integer of 1 to 3, and each Xf independently represents a fluorine atom or at least one represents an alkyl group substituted with a fluorine atom, and when rf is an integer of 2 or more, the plurality of —C(Xf) 2 — may be the same or different, and R 4 and R 5 each independently , represents a hydrogen atom, a fluorine atom, an alkyl group, or an alkyl group substituted with at least one fluorine atom, and when pf is an integer of 2 or more, a plurality of —CR 4f R 5f — may be the same or different L f represents a divalent linking group, and when qf is an integer of 2 or more, a plurality of L f may be the same or different, and W is an organic represents a group.

Xfは、フッ素原子、又は少なくとも1つのフッ素原子で置換されたアルキル基を表す。このアルキル基の炭素数は、1~10が好ましく、1~4がより好ましい。また、少なくとも1つのフッ素原子で置換されたアルキル基は、パーフルオロアルキル基が好ましい。
Xfは、好ましくは、フッ素原子又は炭素数1~4のパーフルオロアルキル基である。Xfは、フッ素原子又はCFであることがより好ましい。特に、双方のXfがフッ素原子であることが好ましい。
Xf represents a fluorine atom or an alkyl group substituted with at least one fluorine atom. The number of carbon atoms in this alkyl group is preferably 1-10, more preferably 1-4. Also, the alkyl group substituted with at least one fluorine atom is preferably a perfluoroalkyl group.
Xf is preferably a fluorine atom or a C 1-4 perfluoroalkyl group. Xf is more preferably a fluorine atom or CF3 . In particular, both Xf are preferably fluorine atoms.

4f及びR5fはそれぞれ独立に、水素原子、フッ素原子、アルキル基、又は少なくとも一つのフッ素原子で置換されたアルキル基を表す。複数存在する場合のR4f及びR5fは、それぞれ同一でも異なっていてもよい。
4f及びR5fとしてのアルキル基は、置換基を有していてもよく、炭素数1~4が好ましい。R4f及びR5fは、好ましくは水素原子である。
少なくとも一つのフッ素原子で置換されたアルキル基の具体例及び好適な態様は、式An-1中のXfの具体例及び好適な態様と同じである。
R4f and R5f each independently represent a hydrogen atom, a fluorine atom, an alkyl group, or an alkyl group substituted with at least one fluorine atom. R 4f and R 5f when there are more than one may be the same or different.
The alkyl groups for R 4f and R 5f may have a substituent and preferably have 1 to 4 carbon atoms. R 4f and R 5f are preferably hydrogen atoms.
Specific examples and preferred aspects of the alkyl group substituted with at least one fluorine atom are the same as the specific examples and preferred aspects of Xf in Formula An-1.

は、2価の連結基を表し、複数存在する場合のLは、それぞれ同一でも異なっていてもよい。
2価の連結基としては、例えば、-COO-(-C(=O)-O-)、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-S-、-SO-、-SO-、アルキレン基(好ましくは炭素数1~6)、シクロアルキレン基(好ましくは炭素数3~15)、アルケニレン基(好ましくは炭素数2~6)及びこれらの複数を組み合わせた2価の連結基などが挙げられる。これらの中でも、-COO-、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-SO-、-COO-アルキレン基-、-OCO-アルキレン基-、-CONH-アルキレン基-又は-NHCO-アルキレン基-が好ましく、-COO-、-OCO-、-CONH-、-SO-、-COO-アルキレン基-又は-OCO-アルキレン基-がより好ましい。
L f represents a divalent linking group, and when there are a plurality of L f 's , they may be the same or different.
Examples of divalent linking groups include -COO-(-C(=O)-O-), -OCO-, -CONH-, -NHCO-, -CO-, -O-, -S-, - SO—, —SO 2 —, an alkylene group (preferably having 1 to 6 carbon atoms), a cycloalkylene group (preferably having 3 to 15 carbon atoms), an alkenylene group (preferably having 2 to 6 carbon atoms), and a combination of a plurality of these and a divalent linking group. Among these, -COO-, -OCO-, -CONH-, -NHCO-, -CO-, -O-, -SO 2 -, -COO-alkylene group-, -OCO-alkylene group-, -CONH- An alkylene group- or -NHCO-alkylene group- is preferred, and -COO-, -OCO-, -CONH-, -SO 2 -, -COO-alkylene group- or -OCO-alkylene group- is more preferred.

Wは、環状構造を含む有機基を表す。これらの中でも、環状の有機基であることが好ましい。
環状の有機基としては、例えば、脂環基、アリール基、及び複素環基が挙げられる。
脂環基は、単環式であってもよく、多環式であってもよい。単環式の脂環基としては、例えば、シクロペンチル基、シクロヘキシル基、及びシクロオクチル基などの単環のシクロアルキル基が挙げられる。多環式の脂環基としては、例えば、ノルボルニル基、トリシクロデカニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基などの多環のシクロアルキル基が挙げられる。中でも、ノルボルニル基、トリシクロデカニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基などの炭素数7以上のかさ高い構造を有する脂環基が好ましい。
W represents an organic group containing a cyclic structure. Among these, a cyclic organic group is preferable.
Cyclic organic groups include, for example, alicyclic groups, aryl groups, and heterocyclic groups.
Alicyclic groups may be monocyclic or polycyclic. Monocyclic alicyclic groups include, for example, monocyclic cycloalkyl groups such as cyclopentyl, cyclohexyl, and cyclooctyl groups. Examples of polycyclic alicyclic groups include polycyclic cycloalkyl groups such as norbornyl, tricyclodecanyl, tetracyclodecanyl, tetracyclododecanyl, and adamantyl groups. Among them, alicyclic groups having a bulky structure with 7 or more carbon atoms, such as norbornyl, tricyclodecanyl, tetracyclodecanyl, tetracyclododecanyl, and adamantyl groups, are preferred.

アリール基は、単環式であってもよく、多環式であってもよい。このアリール基としては、例えば、フェニル基、ナフチル基、フェナントリル基及びアントリル基が挙げられる。
複素環基は、単環式であってもよく、多環式であってもよい。多環式の方がより酸の拡散を抑制可能である。また、複素環基は、芳香族性を有していてもよいし、芳香族性を有していなくてもよい。芳香族性を有している複素環としては、例えば、フラン環、チオフェン環、ベンゾフラン環、ベンゾチオフェン環、ジベンゾフラン環、ジベンゾチオフェン環、及びピリジン環が挙げられる。芳香族性を有していない複素環としては、例えば、テトラヒドロピラン環、ラクトン環、スルトン環及びデカヒドロイソキノリン環が挙げられる。ラクトン環及びスルトン環の例としては、上述の樹脂において例示したラクトン構造及びスルトン構造が挙げられる。複素環基における複素環としては、フラン環、チオフェン環、ピリジン環、又はデカヒドロイソキノリン環が特に好ましい。
Aryl groups may be monocyclic or polycyclic. The aryl group includes, for example, phenyl group, naphthyl group, phenanthryl group and anthryl group.
A heterocyclic group may be monocyclic or polycyclic. The polycyclic type can further suppress acid diffusion. Moreover, the heterocyclic group may or may not have aromaticity. Heterocyclic rings having aromaticity include, for example, furan ring, thiophene ring, benzofuran ring, benzothiophene ring, dibenzofuran ring, dibenzothiophene ring, and pyridine ring. Non-aromatic heterocycles include, for example, tetrahydropyran, lactone, sultone and decahydroisoquinoline rings. Examples of the lactone ring and sultone ring include the lactone structure and sultone structure exemplified in the resins described above. The heterocyclic ring in the heterocyclic group is particularly preferably a furan ring, a thiophene ring, a pyridine ring, or a decahydroisoquinoline ring.

上記環状の有機基は、置換基を有していてもよい。この置換基としては、例えば、アルキル基(直鎖、分岐のいずれであってもよく、炭素数1~12が好ましい)、シクロアルキル基(単環、多環、スピロ環のいずれであってもよく、炭素数3~20が好ましい)、アリール基(炭素数6~14が好ましい)、水酸基、アルコキシ基、エステル基、アミド基、ウレタン基、ウレイド基、チオエーテル基、スルホンアミド基、及びスルホン酸エステル基が挙げられる。なお、環状の有機基を構成する炭素(環形成に寄与する炭素)はカルボニル炭素であってもよい。 The cyclic organic group may have a substituent. Examples of this substituent include alkyl groups (either linear or branched, preferably having 1 to 12 carbon atoms), cycloalkyl groups (monocyclic, polycyclic or spirocyclic). preferably 3 to 20 carbon atoms), aryl groups (preferably 6 to 14 carbon atoms), hydroxyl groups, alkoxy groups, ester groups, amide groups, urethane groups, ureido groups, thioether groups, sulfonamide groups, and sulfonic acids Ester groups are mentioned. In addition, carbonyl carbon may be sufficient as carbon (carbon which contributes to ring formation) which comprises a cyclic|annular organic group.

式An-1で表されるアニオンとしては、SO -CF-CH-OCO-(L)q’-W、SO -CF-CHF-CH-OCO-(L)q’-W、SO -CF-COO-(L)q’-W、SO -CF-CF-CH-CH-(Lqf-W、SO -CF-CH(CF)-OCO-(L)q’-Wが好ましいものとして挙げられる。ここで、L、qf及びWは、式An-1と同様である。q’は、0~10の整数を表す。Examples of anions represented by Formula An-1 include SO 3 —CF 2 —CH 2 —OCO-(L f )q′-W, SO 3 —CF 2 —CHF—CH 2 —OCO-(L f ) q′-W, SO 3 —CF 2 —COO—(L f ) q′-W, SO 3 —CF 2 —CF 2 —CH 2 —CH 2 —(L f ) qf —W, SO 3 - -CF 2 -CH(CF 3 )-OCO-(L f )q'-W is preferred. Here, L f , qf and W are the same as in formula An-1. q' represents an integer from 0 to 10;

一態様において、式ZIにおけるZ-、式ZIIにおけるZ-、式ZI-3におけるZc、及び式ZI-4におけるZ-としては、下記の式4で表されるアニオンも好ましい。In one embodiment, Z in formula ZI, Z in formula ZII, Zc in formula ZI-3, and Z in formula ZI-4 are also preferably anions represented by formula 4 below.

式4中、XB1及びXB2はそれぞれ独立に、水素原子、又はフッ素原子を有さない1価の有機基を表す。XB1及びXB2は、水素原子であることが好ましい。
B3及びXB4はそれぞれ独立に、水素原子、又は1価の有機基を表す。XB3及びXB4の少なくとも一方がフッ素原子又はフッ素原子を有する1価の有機基であることが好ましく、XB3及びXB4の両方がフッ素原子又はフッ素原子を有する1価の有機基であることがより好ましい。XB3及びXB4の両方が、フッ素で置換されたアルキル基であることが更に好ましい。
、qf及びWは、式3と同様である。
In Formula 4, X B1 and X B2 each independently represent a hydrogen atom or a monovalent organic group having no fluorine atom. X B1 and X B2 are preferably hydrogen atoms.
X B3 and X B4 each independently represent a hydrogen atom or a monovalent organic group. At least one of X B3 and X B4 is preferably a fluorine atom or a monovalent organic group having a fluorine atom, and both X B3 and X B4 are a fluorine atom or a monovalent organic group having a fluorine atom is more preferred. More preferably, both X B3 and X B4 are fluorine-substituted alkyl groups.
L f , qf and W are the same as in Equation 3.

式ZIにおけるZ、式ZIIにおけるZ、式ZI-3におけるZc、及び式ZI-4におけるZとしては、下記式5で表されるアニオンが好ましい。Z in Formula ZI , Z − in Formula ZII, Zc − in Formula ZI-3, and Z in Formula ZI-4 are preferably anions represented by Formula 5 below.

式5において、Xaはそれぞれ独立に、フッ素原子、又は、少なくとも一つのフッ素原子で置換されたアルキル基を表し、Xbはそれぞれ独立に、水素原子又はフッ素原子を有さない有機基を表す。rf、pf、qf、R4f、R5f、L及びWの定義及び好ましい態様は、式3と同様である。In Formula 5, each Xa independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom, and each Xb independently represents a hydrogen atom or an organic group having no fluorine atom. Definitions and preferred embodiments of rf, pf, qf, R 4f , R 5f , L f and W are the same as in Formula 3.

式ZIにおけるZ、式ZIIにおけるZ、式ZI-3におけるZc、及び式ZI-4におけるZは、ベンゼンスルホン酸アニオンであってもよく、分岐アルキル基又はシクロアルキル基によって置換されたベンゼンスルホン酸アニオンであることが好ましい。Z in Formula ZI, Z in Formula ZII, Zc in Formula ZI-3, and Z in Formula ZI-4 may be a benzenesulfonate anion, substituted by a branched alkyl group or a cycloalkyl group. It is preferably a benzenesulfonate anion.

式ZIにおけるZ、式ZIIにおけるZ、式ZI-3におけるZc、及び式ZI-4におけるZとしては、下記の式SA1で表される芳香族スルホン酸アニオンも好ましい。Z in Formula ZI, Z in Formula ZII, Zc in Formula ZI-3, and Z in Formula ZI-4 are also preferably aromatic sulfonate anions represented by Formula SA1 below.

Figure 0007309907000060
Figure 0007309907000060

式SA1中、Arは、アリール基を表し、スルホン酸アニオン及び-(D-R)以外の置換基を更に有していてもよい。更に有しても良い置換基としては、フッ素原子、水酸基などが挙げられる。In Formula SA1, Ar represents an aryl group and may further have a substituent other than the sulfonate anion and --(DR B ). Substituents which may be further included include a fluorine atom and a hydroxyl group.

nは、0以上の整数を表す。nは、好ましくは1~4であり、より好ましくは2~3であり、特に好ましくは3である。 n represents an integer of 0 or more. n is preferably 1-4, more preferably 2-3, and particularly preferably 3.

Dは、単結合又は2価の連結基を表す。この2価の連結基としては、エーテル基、チオエーテル基、カルボニル基、スルホキシド基、スルホン基、スルホン酸エステル基、エステル基、及び、これらの2種以上の組み合わせからなる基等を挙げることができる。 D represents a single bond or a divalent linking group. Examples of the divalent linking group include an ether group, a thioether group, a carbonyl group, a sulfoxide group, a sulfone group, a sulfonate ester group, an ester group, and a group consisting of a combination of two or more thereof. .

は、炭化水素基を表す。 RB represents a hydrocarbon group.

好ましくは、Dは単結合であり、Rは脂肪族炭化水素構造である。Rは、イソプロピル基又はシクロヘキシル基がより好ましい。Preferably D is a single bond and RB is an aliphatic hydrocarbon structure. RB is more preferably an isopropyl group or a cyclohexyl group.

式ZIにおけるスルホニウムカチオン、及び式ZIIにおけるスルホニウムカチオン又はヨードニウムカチオンの好ましい例を以下に示す。 Preferred examples of sulfonium cations in formula ZI and sulfonium or iodonium cations in formula ZII are shown below.

Figure 0007309907000061
Figure 0007309907000061

式ZI、式ZIIにおけるアニオンZ-、式ZI-3におけるZc、及び式ZI-4におけるZ-の好ましい例を以下に示す。Preferable examples of the anion Z in Formula ZI and Formula ZII, Zc in Formula ZI-3, and Z in Formula ZI-4 are shown below.

Figure 0007309907000062
Figure 0007309907000062

上記のカチオン及びアニオンを任意に組みわせて光酸発生剤として使用することができる。
中でも、上記光酸発生剤が、カチオン及びアニオンを含むイオン性化合物であり、上記アニオンが上記式An-1、下記式An-2及び下記式An-3のいずれかで表わされるイオンを含むことが好ましい。
Any combination of the above cations and anions can be used as the photoacid generator.
Among them, the photoacid generator is an ionic compound containing a cation and an anion, and the anion contains an ion represented by any one of the above formula An-1, the following formula An-2 and the following formula An-3. is preferred.

式An-2及び式An-3中、Rfaはそれぞれ独立に、フッ素原子を有する一価の有機基を表し、複数のRfaは互いに結合して環を形成してもよい。 In Formula An-2 and Formula An-3, each Rfa independently represents a monovalent organic group having a fluorine atom, and multiple Rfa's may combine with each other to form a ring.

Rfaは、少なくとも1つのフッ素原子で置換されたアルキル基であることが好ましい。このアルキル基の炭素数は、1~10が好ましく、1~4がより好ましい。また、少なくとも1つのフッ素原子で置換されたアルキル基は、パーフルオロアルキル基であることが好ましい。
また、複数のRfaは互いに結合して環を形成していることが好ましい。
Rfa is preferably an alkyl group substituted with at least one fluorine atom. The number of carbon atoms in this alkyl group is preferably 1-10, more preferably 1-4. Also, the alkyl group substituted with at least one fluorine atom is preferably a perfluoroalkyl group.
Moreover, it is preferable that a plurality of Rfa's are bonded to each other to form a ring.

また、光酸発生剤として、実施例で使用されている化合物PAG-1~PAG-37等も好ましく挙げられるが、これに限定されない。 As the photoacid generator, compounds PAG-1 to PAG-37 used in the examples are also preferable, but not limited to these.

活性光線性又は放射線の照射により上記光酸発生剤から発生する酸の酸解離定数pKaは、特に限定されないが、1.1未満であることが好ましく、-1.0未満であることが、より好ましい。
活性光線性又は放射線の照射により上記光酸発生剤から発生する酸の酸解離定数pKaの下限値は、特に限定されないが、通常-10である。
The acid dissociation constant pKa of the acid generated from the photoacid generator by actinic rays or radiation irradiation is not particularly limited, but is preferably less than 1.1, more preferably less than -1.0. preferable.
The lower limit of the acid dissociation constant pKa of the acid generated from the photoacid generator by actinic rays or radiation irradiation is not particularly limited, but is usually -10.

酸解離定数pKaとは、水溶液中での酸解離定数pKaのことを表し、例えば、化学便覧(II)(改訂4版、1993年、日本化学会編、丸善株式会社)に定義される。酸解離定数pKaの値が低いほど酸強度が大きいことを示す。水溶液中での酸解離定数pKaは、具体的には、無限希釈水溶液を用い、25℃での酸解離定数を測定することにより実測できる。あるいは、下記ソフトウェアパッケージ1を用いて、ハメットの置換基定数及び公知文献値のデータベースに基づいた値を、計算により求めることもできる。本明細書中に記載したpKaの値は、全て、このソフトウェアパッケージを用いて計算により求めた値を示す。 The acid dissociation constant pKa means the acid dissociation constant pKa in an aqueous solution, and is defined, for example, in Kagaku Binran (II) (revised 4th edition, 1993, edited by The Chemical Society of Japan, Maruzen Co., Ltd.). A lower acid dissociation constant pKa indicates a higher acid strength. Specifically, the acid dissociation constant pKa in an aqueous solution can be measured by measuring the acid dissociation constant at 25° C. using an infinitely diluted aqueous solution. Alternatively, a value based on a database of Hammett's substituent constants and known literature values can be obtained by calculation using Software Package 1 described below. All pKa values described herein are calculated using this software package.

ソフトウェアパッケージ1: Advanced Chemistry Development (ACD/Labs) Software V8.14 for Solaris (1994-2007 ACD/Labs)。 Software Package 1: Advanced Chemistry Development (ACD/Labs) Software V8.14 for Solaris (1994-2007 ACD/Labs).

光酸発生剤は、低分子化合物の形態であってもよく、重合体の一部に組み込まれた形態であってもよい。また、低分子化合物の形態と重合体の一部に組み込まれた形態を併用してもよい。
光酸発生剤は、低分子化合物の形態であることが好ましい。
光酸発生剤が、低分子化合物の形態である場合、分子量は3,000以下が好ましく、2,000以下がより好ましく、1,000以下が更に好ましい。
光酸発生剤が、重合体の一部に組み込まれた形態である場合、上述した樹脂(A)の一部に組み込まれてもよく、樹脂(A)とは異なる樹脂に組み込まれてもよい。
光酸発生剤は、1種単独で使用してもよいし、2種以上を併用してもよい。
光酸発生剤の組成物中の含有量(複数種存在する場合はその合計)は、組成物の全固形分を基準として、0.1質量%~35質量%が好ましく、0.5質量%~25質量%がより好ましく、2質量%~20質量%が更に好ましく、2.5質量%~20質量%が特に好ましい。
光酸発生剤として、上記式ZI-3又は式ZI-4で表される化合物を含む場合、組成物中に含まれる光酸発生剤の含有量(複数種存在する場合はその合計)は、組成物の全固形分を基準として、5質量%~35質量%が好ましく、7質量%~30質量%がより好ましい。
The photoacid generator may be in the form of a low-molecular-weight compound, or may be in the form of being incorporated into a part of the polymer. Moreover, the form of a low-molecular-weight compound and the form incorporated into a part of a polymer may be used in combination.
The photoacid generator is preferably in the form of a low molecular weight compound.
When the photoacid generator is in the form of a low-molecular-weight compound, the molecular weight is preferably 3,000 or less, more preferably 2,000 or less, even more preferably 1,000 or less.
When the photoacid generator is in the form of being incorporated into part of the polymer, it may be incorporated into part of the resin (A) described above, or may be incorporated into a resin different from the resin (A). .
A photo-acid generator may be used individually by 1 type, and may use 2 or more types together.
The content of the photoacid generator in the composition (the total if multiple types are present) is preferably 0.1% by mass to 35% by mass, preferably 0.5% by mass, based on the total solid content of the composition. ~25% by mass is more preferable, 2% by mass to 20% by mass is even more preferable, and 2.5% by mass to 20% by mass is particularly preferable.
When the compound represented by the above formula ZI-3 or ZI-4 is included as a photoacid generator, the content of the photoacid generator contained in the composition (the total when multiple types are present) is 5% to 35% by weight is preferred, and 7% to 30% by weight is more preferred, based on the total solids content of the composition.

本発明の組成物が含有する光酸発生剤は、下記化合物(I)及び化合物(II)の少なくとも1種であってもよい。化合物(I)及び化合物(II)を特定光酸発生剤とも呼ぶ。 The photoacid generator contained in the composition of the present invention may be at least one of compound (I) and compound (II) below. Compound (I) and compound (II) are also referred to as specific photoacid generators.

<化合物(I)>
化合物(I)は、1つ以上の下記構造部位X及び1つ以上の下記構造部位Yを有する化合物であって、活性光線又は放射線の照射によって、下記構造部位Xに由来する下記第1の酸性部位と下記構造部位Yに由来する下記第2の酸性部位とを含む酸を発生する化合物である。
構造部位X:アニオン部位A とカチオン部位M とからなり、且つ活性光線又は放射線の照射によってHAで表される第1の酸性部位を形成する構造部位
構造部位Y:アニオン部位A とカチオン部位M とからなり、且つ活性光線又は放射線の照射によってHAで表される第2の酸性部位を形成する構造部位
但し、化合物(I)は、下記条件Iを満たす。
<Compound (I)>
Compound (I) is a compound having one or more structural moieties X shown below and one or more structural moieties Y shown below, wherein the first acidic It is a compound that generates an acid containing a site and a second acidic site described below derived from the structural site Y described below.
Structural site X: Structural site consisting of an anionic site A 1 and a cation site M 1 + and forming a first acidic site represented by HA 1 upon exposure to actinic rays or radiation Structural site Y: Anionic site A A structural moiety consisting of 2 and a cationic moiety M 2 + and forming a second acidic site represented by HA 2 upon exposure to actinic rays or radiation provided that compound (I) satisfies condition I below.

条件I:上記化合物(I)において上記構造部位X中の上記カチオン部位M 及び上記構造部位Y中の上記カチオン部位M をHに置き換えてなる化合物PIが、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a1と、上記構造部位Y中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a2を有し、且つ、上記酸解離定数a1よりも上記酸解離定数a2の方が大きい。Condition I: A compound PI obtained by replacing the cation site M 1 + in the structural site X and the cation site M 2 + in the structural site Y in the compound (I) with H + in the structural site X and an acid dissociation constant a1 derived from the acidic site represented by HA 1 obtained by replacing the cation site M 1 + with H + , and replacing the cation site M 2 + in the structural site Y with H + It has an acid dissociation constant a2 derived from the acidic site represented by HA2 , and the acid dissociation constant a2 is greater than the acid dissociation constant a1.

以下において、条件Iをより具体的に説明する。
化合物(I)が、例えば、上記構造部位Xに由来する上記第1の酸性部位を1つと、上記構造部位Yに由来する上記第2の酸性部位を1つ有する酸を発生する化合物である場合、化合物PIは「HAとHAを有する化合物」に該当する。
このような化合物PIの酸解離定数a1及び酸解離定数a2とは、より具体的に説明すると、化合物PIの酸解離定数を求めた場合において、化合物PIが「A とHAを有する化合物」となる際のpKaが酸解離定数a1であり、上記「A とHAを有する化合物」が「A とA を有する化合物」となる際のpKaが酸解離定数a2である。
Condition I will be described in more detail below.
When the compound (I) is, for example, an acid-generating compound having one first acidic site derived from the structural site X and one second acidic site derived from the structural site Y , compound PI corresponds to "a compound having HA 1 and HA 2 ".
More specifically, the acid dissociation constant a1 and the acid dissociation constant a2 of the compound PI are such that when the acid dissociation constant of the compound PI is determined, the compound PI is "a compound having A 1 - and HA 2 is the acid dissociation constant a1, and the pKa when the above "compound having A 1 - and HA 2 " becomes "the compound having A 1 - and A 2 - " is the acid dissociation constant a2 be.

また、化合物(I)が、例えば、上記構造部位Xに由来する上記第1の酸性部位を2つと、上記構造部位Yに由来する上記第2の酸性部位を1つ有する酸を発生する化合物である場合、化合物PIは「2つのHAと1つのHAとを有する化合物」に該当する。
このような化合物PIの酸解離定数を求めた場合、化合物PIが「1つのA と1つのHAと1つのHAとを有する化合物」となる際の酸解離定数、及び「1つのA と1つのHAと1つのHAとを有する化合物」が「2つのA と1つのHAとを有する化合物」となる際の酸解離定数が、上述の酸解離定数a1に該当する。また、「2つのA と1つのHAとを有する化合物」が「2つのA とA を有する化合物」となる際の酸解離定数が酸解離定数a2に該当する。つまり、このような化合物PIの場合、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数を複数有する場合、複数の酸解離定数a1のうち最も大きい値よりも、酸解離定数a2の値の方が大きい。なお、化合物PIが「1つのA と1つのHAと1つのHAとを有する化合物」となる際の酸解離定数をaaとし、「1つのA と1つのHAと1つのHAとを有する化合物」が「2つのA と1つのHAとを有する化合物」となる際の酸解離定数をabとしたとき、aa及びabの関係は、aa<abを満たす。
Further, the compound (I) is, for example, a compound that generates an acid having two first acidic sites derived from the structural site X and one second acidic site derived from the structural site Y. In some cases, compound PI is a "compound with two HA 1 and one HA 2 ".
When the acid dissociation constant of such compound PI is obtained, the acid dissociation constant when compound PI becomes "a compound having one A 1 - , one HA 1 and one HA 2 ", and "one The acid dissociation constant when the "compound having A 1 - , one HA 1 and one HA 2 " becomes "the compound having two A 1 - and one HA 2 " is the acid dissociation constant a1 correspond to Also, the acid dissociation constant when "a compound having two A 1 - and one HA 2 - " becomes "a compound having two A 1 - and A 2 - " corresponds to the acid dissociation constant a2. That is, in the case of such a compound PI, when it has a plurality of acid dissociation constants derived from the acidic site represented by HA 1 obtained by replacing the cation site M 1 + in the structural site X with H + , a plurality of The value of the acid dissociation constant a2 is larger than the largest value among the acid dissociation constants a1. The acid dissociation constant when the compound PI becomes "a compound having one A 1 - , one HA 1 and one HA 2 " is aa, and "one A 1 - and one HA 1 and 1 The relationship between aa and ab satisfies aa<ab, where ab is the acid dissociation constant when a compound having two HA2 's becomes a compound having two A1- and one HA2 . .

酸解離定数a1及び酸解離定数a2は、上述した酸解離定数の測定方法により求められる。
上記化合物PIとは、化合物(I)に活性光線又は放射線を照射した場合に、発生する酸に該当する。
化合物(I)が2つ以上の構造部位Xを有する場合、構造部位Xは、各々同一であっても異なっていてもよい。また、2つ以上の上記A 、及び2つ以上の上記M は、各々同一であっても異なっていてもよい。
また、化合物(I)中、上記A 及び上記A 、並びに、上記M 及び上記M は、各々同一であっても異なっていてもよいが、上記A 及び上記A は、各々異なっているのが好ましい。
The acid dissociation constant a1 and the acid dissociation constant a2 are determined by the method for measuring the acid dissociation constant described above.
The above compound PI corresponds to an acid generated when compound (I) is irradiated with actinic rays or radiation.
When compound (I) has two or more structural moieties X, each structural moiety X may be the same or different. Two or more of A 1 and two or more of M 1 + may be the same or different.
In compound (I), A 1 and A 2 , and M 1 + and M 2 + may be the same or different, but A 1 and A 2 − may be the same or different. Each A 2 - is preferably different.

形成されるパターンのLWR性能がより優れる点で、上記化合物PIにおいて、酸解離定数a1(酸解離定数a1が複数存在する場合はその最大値)と酸解離定数a2との差は、0.1以上が好ましく、0.5以上がより好ましく、1.0以上が更に好ましい。なお、酸解離定数a1(酸解離定数a1が複数存在する場合はその最大値)と酸解離定数a2との差の上限値は特に制限されないが、例えば、16以下である。 The difference between the acid dissociation constant a1 (the maximum value when there are multiple acid dissociation constants a1) and the acid dissociation constant a2 is 0.1 in the above compound PI in that the LWR performance of the formed pattern is superior. 0.5 or more is more preferable, and 1.0 or more is still more preferable. The upper limit of the difference between the acid dissociation constant a1 (the maximum value if there are a plurality of acid dissociation constants a1) and the acid dissociation constant a2 is not particularly limited, but is, for example, 16 or less.

また、形成されるパターンのLWR性能がより優れる点で、上記化合物PIにおいて、酸解離定数a2は、例えば、20以下であり、15以下が好ましい。なお、酸解離定数a2の下限値としては、-4.0以上が好ましい。 In addition, in the compound PI, the acid dissociation constant a2 is, for example, 20 or less, preferably 15 or less, from the viewpoint that the LWR performance of the formed pattern is more excellent. The lower limit of the acid dissociation constant a2 is preferably -4.0 or more.

また、形成されるパターンのLWR性能がより優れる点で、上記化合物PIにおいて、酸解離定数a1は、2.0以下が好ましく、0以下がより好ましい。なお、酸解離定数a1の下限値としては、-20.0以上が好ましい。 In addition, in the compound PI, the acid dissociation constant a1 is preferably 2.0 or less, more preferably 0 or less, from the viewpoint that the LWR performance of the pattern to be formed is more excellent. The lower limit of the acid dissociation constant a1 is preferably −20.0 or more.

アニオン部位A 及びアニオン部位A は、負電荷を帯びた原子又は原子団を含む構造部位であり、例えば、以下に示す式(AA-1)~(AA-3)及び式(BB-1)~(BB-6)からなる群から選ばれる構造部位が挙げられる。アニオン部位A としては、酸解離定数の小さい酸性部位を形成し得るものが好ましく、なかでも、式(AA-1)~(AA-3)のいずれかであるのが好ましい。また、アニオン部位A としては、アニオン部位A よりも酸解離定数の大きい酸性部位を形成し得るものが好ましく、式(BB-1)~(BB-6)のいずれかから選ばれるのが好ましい。なお、以下の式(AA-1)~(AA-3)及び式(BB-1)~(BB-6)中、*は、結合位置を表す。Rは各々独立に1価の有機基を表す。Rで表される1価の有機基としては、シアノ基、トリフルオロメチル基、及びメタンスルホニル基等が挙げられる。The anion site A 1 - and the anion site A 2 - are structural sites containing negatively charged atoms or atomic groups, for example, formulas (AA-1) to (AA-3) and formula (BB -1) to (BB-6). The anion site A 1 - is preferably one capable of forming an acidic site with a small acid dissociation constant, and among these, any one of formulas (AA-1) to (AA-3) is preferred. The anion site A 2 - is preferably one capable of forming an acidic site having a larger acid dissociation constant than the anion site A 1 - , and is selected from any of formulas (BB-1) to (BB-6). is preferred. In formulas (AA-1) to (AA-3) and formulas (BB-1) to (BB-6) below, * represents a bonding position. Each RA independently represents a monovalent organic group. The monovalent organic group represented by RA includes a cyano group, a trifluoromethyl group, a methanesulfonyl group, and the like.

Figure 0007309907000064
Figure 0007309907000064

また、カチオン部位M 及びカチオン部位M は、正電荷を帯びた原子又は原子団を含む構造部位であり、例えば、電荷が1価の有機カチオンが挙げられる。なお、有機カチオンとしては特に制限されないが、前述の式ZI、式ZIIで表されるカチオンが好適に使用される。Moreover, the cation site M 1 + and the cation site M 2 + are structural sites containing positively charged atoms or atomic groups, and examples thereof include monovalent organic cations. Although the organic cation is not particularly limited, cations represented by formulas ZI and ZII described above are preferably used.

化合物(I)の具体的な構造としては特に制限されないが、例えば、後述する式(Ia-1)~式(Ia-5)で表される化合物が挙げられる。
以下において、まず、式(Ia-1)で表される化合物について述べる。式(Ia-1)で表される化合物は以下のとおりである。
The specific structure of compound (I) is not particularly limited, but examples thereof include compounds represented by formulas (Ia-1) to (Ia-5) described below.
First, the compound represented by Formula (Ia-1) will be described below. The compounds represented by formula (Ia-1) are as follows.

11 11 -L-A12 12 (Ia-1)M 11 + A 11 - - L 1 - A 12 - M 12 + (Ia-1)

化合物(Ia-1)は、活性光線又は放射線の照射によって、HA11-L-A12Hで表される酸を発生する。Compound (Ia-1) generates an acid represented by HA 11 -L 1 -A 12 H upon exposure to actinic rays or radiation.

式(Ia-1)中、M11 及びM12 は、各々独立に、有機カチオンを表す。
11 及びA12 は、各々独立に、1価のアニオン性官能基を表す。
は、2価の連結基を表す。
11 及びM12 は、各々同一であっても異なっていてもよい。
11 及びA12 は、各々同一であっても異なっていてもよいが、互いに異なっているのが好ましい。
但し、上記式(Ia-1)において、M11 及びM12 で表される有機カチオンをHに置き換えてなる化合物PIa(HA11-L-A12H)において、A12Hで表される酸性部位に由来する酸解離定数a2は、HA11で表される酸性部位に由来する酸解離定数a1よりも大きい。なお、酸解離定数a1と酸解離定数a2の好適値については、上述した通りである。また、化合物PIaと、活性光線又は放射線の照射によって式(Ia-1)で表される化合物から発生する酸は同じである。
また、M11 、M12 、A11 、A12 、及びLの少なくとも1つが、置換基として、酸分解性基を有していてもよい。
In formula (Ia-1), M 11 + and M 12 + each independently represent an organic cation.
A 11 - and A 12 - each independently represent a monovalent anionic functional group.
L 1 represents a divalent linking group.
Each of M 11 + and M 12 + may be the same or different.
A 11 - and A 12 - may be the same or different, but are preferably different from each other.
However, in the compound PIa (HA 11 -L 1 -A 12 H) obtained by replacing the organic cations represented by M 11 + and M 12 + with H + in the above formula (Ia-1), A 12 H The acid dissociation constant a2 derived from the acidic site represented is greater than the acid dissociation constant a1 derived from the acidic site represented by HA11 . The preferred values of the acid dissociation constant a1 and the acid dissociation constant a2 are as described above. Further, the compound PIa and the acid generated from the compound represented by the formula (Ia-1) upon exposure to actinic rays or radiation are the same.
At least one of M 11 + , M 12 + , A 11 , A 12 , and L 1 may have an acid-decomposable group as a substituent.

式(Ia-1)中、M 及びM で表される有機カチオンについては、特に制限されないが、前述の式ZI又は式ZIIで表されるカチオンが好適に使用される。In formula (Ia-1), the organic cations represented by M 1 + and M 2 + are not particularly limited, but cations represented by formula ZI or ZII are preferably used.

11 で表される1価のアニオン性官能基とは、上述したアニオン部位A を含む1価の基を意図する。また、A12 で表される1価のアニオン性官能基とは、上述したアニオン部位A を含む1価の基を意図する。
11 及びA12 で表される1価のアニオン性官能基としては、上述した式(AA-1)~(AA-3)及び式(BB-1)~(BB-6)のいずれかのアニオン部位を含む1価のアニオン性官能基であるのが好ましく、式(AX-1)~(AX-3)、及び式(BX-1)~(BX-7)からなる群から選ばれる1価のアニオン性官能基であるのがより好ましい。A11 で表される1価のアニオン性官能基としては、なかでも、式(AX-1)~(AX-3)のいずれかで表される1価のアニオン性官能基であるのが好ましい。また、A12 で表される1価のアニオン性官能基としては、なかでも、式(BX-1)~(BX-7)のいずれかで表される1価のアニオン性官能基が好ましく、式(BX-1)~(BX-6)のいずれかで表される1価のアニオン性官能基がより好ましい。
The monovalent anionic functional group represented by A 11 - intends a monovalent group containing the above-described anionic site A 1 - . Further, the monovalent anionic functional group represented by A 12 - is intended to be a monovalent group containing the above-described anion site A 2 - .
The monovalent anionic functional groups represented by A 11 - and A 12 - include any of the above formulas (AA-1) to (AA-3) and formulas (BB-1) to (BB-6). It is preferably a monovalent anionic functional group containing an anion site, selected from the group consisting of formulas (AX-1) to (AX-3) and formulas (BX-1) to (BX-7) is more preferably a monovalent anionic functional group. Among the monovalent anionic functional groups represented by A 11 - , monovalent anionic functional groups represented by any one of formulas (AX-1) to (AX-3) are preferred. preferable. Further, as the monovalent anionic functional group represented by A 12 - , a monovalent anionic functional group represented by any one of the formulas (BX-1) to (BX-7) is preferable. , a monovalent anionic functional group represented by any one of the formulas (BX-1) to (BX-6) is more preferred.

Figure 0007309907000065
Figure 0007309907000065

式(AX-2)~(AX-3)中、RA1及びRA2は、各々独立に、1価の有機基を表す。式(AX-1)~(AX-3)中、*は、結合位置を表す。In formulas (AX-2) to (AX-3), R A1 and R A2 each independently represent a monovalent organic group. In formulas (AX-1) to (AX-3), * represents a bonding position.

A1で表される1価の有機基としては、シアノ基、トリフルオロメチル基、及びメタンスルホニル基等が挙げられる。The monovalent organic group represented by R A1 includes a cyano group, a trifluoromethyl group, a methanesulfonyl group, and the like.

A2で表される1価の有機基としては、直鎖状、分岐鎖状、若しくは環状のアルキル基、又はアリール基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましく、1~6が更に好ましい。
上記アルキル基は、置換基を有していてもよい。置換基としては、フッ素原子又はシアノ基が好ましく、フッ素原子がより好ましい。上記アルキル基が置換基としてフッ素原子を有する場合、パーフルオロアルキル基であってもよい。
The monovalent organic group represented by RA2 is preferably a linear, branched or cyclic alkyl group or aryl group.
The number of carbon atoms in the alkyl group is preferably 1-15, more preferably 1-10, even more preferably 1-6.
The above alkyl group may have a substituent. The substituent is preferably a fluorine atom or a cyano group, more preferably a fluorine atom. When the alkyl group has a fluorine atom as a substituent, it may be a perfluoroalkyl group.

上記アリール基としては、フェニル基又はナフチル基が好ましく、フェニル基がより好ましい。
上記アリール基は、置換基を有していてもよい。置換基としては、フッ素原子、ヨウ素原子、パーフルオロアルキル基(例えば、炭素数1~10が好ましく、炭素数1~6がより好ましい。)、又はシアノ基が好ましく、フッ素原子、ヨウ素原子、又はパーフルオロアルキル基がより好ましい。
The aryl group is preferably a phenyl group or a naphthyl group, more preferably a phenyl group.
The aryl group may have a substituent. The substituent is preferably a fluorine atom, an iodine atom, a perfluoroalkyl group (eg, preferably having 1 to 10 carbon atoms, more preferably having 1 to 6 carbon atoms), or a cyano group, a fluorine atom, an iodine atom, or A perfluoroalkyl group is more preferred.

式(BX-1)~(BX-4)及び式(BX-6)中、Rは、1価の有機基を表す。式(BX-1)~(BX-7)中、*は、結合位置を表す。
で表される1価の有機基としては、直鎖状、分岐鎖状、若しくは環状のアルキル基、又はアリール基が好ましい。
上記アルキル基の炭素数は1~15が好ましく、1~10がより好ましく、1~6が更に好ましい。
上記アルキル基は、置換基を有していてもよい。置換基として特に制限されないが、置換基としては、フッ素原子又はシアノ基が好ましく、フッ素原子がより好ましい。上記アルキル基が置換基としてフッ素原子を有する場合、パーフルオロアルキル基であってもよい。
なお、アルキル基において結合位置となる炭素原子(例えば、式(BX-1)及び(BX-4)の場合、アルキル基中の式中に明示される-CO-と直接結合する炭素原子が該当し、式(BX-2)及び(BX-3)の場合、アルキル基中の式中に明示される-SO-と直接結合する炭素原子が該当し、式(BX-6)の場合、アルキル基中の式中に明示されるNと直接結合する炭素原子が該当する。)が置換基を有する場合、フッ素原子又はシアノ基以外の置換基であるのも好ましい。
また、上記アルキル基は、アルキル基を構成する少なくとも1つのメチレン基(-CH-)がカルボニル基(-C(=O)-)に置き換わってもよい。
In formulas (BX-1) to (BX-4) and formula (BX-6), R 2 B represents a monovalent organic group. In formulas (BX-1) to (BX-7), * represents a bonding position.
The monovalent organic group represented by RB is preferably a linear, branched or cyclic alkyl group or aryl group.
The number of carbon atoms in the alkyl group is preferably 1-15, more preferably 1-10, even more preferably 1-6.
The above alkyl group may have a substituent. Although the substituent is not particularly limited, the substituent is preferably a fluorine atom or a cyano group, more preferably a fluorine atom. When the alkyl group has a fluorine atom as a substituent, it may be a perfluoroalkyl group.
In addition, the carbon atom that is the bonding position in the alkyl group (for example, in the case of formulas (BX-1) and (BX-4), the carbon atom directly bonded to -CO- indicated in the formula in the alkyl group is applicable. However, in the case of formulas (BX-2) and (BX-3), the carbon atom directly bonded to -SO 2 - specified in the formula in the alkyl group corresponds, and in the case of formula (BX-6), In the alkyl group, the carbon atom directly bonded to N-- in the formula.) has a substituent, it is preferably a substituent other than a fluorine atom or a cyano group.
In the above alkyl group, at least one methylene group ( --CH.sub.2-- ) constituting the alkyl group may be replaced with a carbonyl group (--C(=O)--).

上記アリール基としては、フェニル基又はナフチル基が好ましく、フェニル基がより好ましい。
上記アリール基は、置換基を有していてもよい。置換基としては、フッ素原子、ヨウ素原子、パーフルオロアルキル基(例えば、炭素数1~10が好ましく、炭素数1~6がより好ましい。)、シアノ基、アルキル基(例えば、炭素数1~10が好ましく、炭素数1~6がより好ましい。)、アルコキシ基(例えば、炭素数1~10が好ましく、炭素数1~6がより好ましい。)、又はアルコキシカルボニル基(例えば、炭素数2~10が好ましく、炭素数2~6がより好ましい。)が好ましく、フッ素原子、ヨウ素原子、パーフルオロアルキル基、アルキル基、アルコキシ基、又はアルコキシカルボニル基がより好ましい。
The aryl group is preferably a phenyl group or a naphthyl group, more preferably a phenyl group.
The aryl group may have a substituent. Examples of substituents include a fluorine atom, an iodine atom, a perfluoroalkyl group (eg, preferably having 1 to 10 carbon atoms, more preferably 1 to 6 carbon atoms), a cyano group, an alkyl group (eg, 1 to 10 carbon atoms). is preferred, and more preferably 1 to 6 carbon atoms.), an alkoxy group (e.g., preferably 1 to 10 carbon atoms, more preferably 1 to 6 carbon atoms.), or an alkoxycarbonyl group (e.g., 2 to 10 carbon atoms are preferred, and those having 2 to 6 carbon atoms are more preferred.), and more preferred is a fluorine atom, an iodine atom, a perfluoroalkyl group, an alkyl group, an alkoxy group, or an alkoxycarbonyl group.

式(Ia-1)中、Lで表される2価の連結基としては特に制限されず、-CO-、-NR-、-CO-、-O-、-S-、-SO-、-SO-、アルキレン基(好ましくは炭素数1~6。直鎖状でも分岐鎖状でもよい)、シクロアルキレン基(好ましくは炭素数3~15)、アルケニレン基(好ましくは炭素数2~6)、2価の脂肪族複素環基(少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環が好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。)、2価の芳香族複素環基(少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環が好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。)、2価の芳香族炭化水素環基(6~10員環が好ましく、6員環が更に好ましい。)、及びこれらの複数を組み合わせた2価の連結基が挙げられる。上記Rは、水素原子又は1価の有機基が挙げられる。1価の有機基としては特に制限されないが、例えば、アルキル基(好ましくは炭素数1~6)が好ましい。
また、上記アルキレン基、上記シクロアルキレン基、上記アルケニレン基、上記2価の脂肪族複素環基、2価の芳香族複素環基、及び2価の芳香族炭化水素環基は、置換基を有していてもよい。置換基としては、例えば、ハロゲン原子(好ましくはフッ素原子)が挙げられる。
In formula (Ia-1), the divalent linking group represented by L 1 is not particularly limited, and includes -CO-, -NR-, -CO-, -O-, -S-, -SO-, —SO 2 —, an alkylene group (preferably having 1 to 6 carbon atoms, which may be linear or branched), a cycloalkylene group (preferably having 3 to 15 carbon atoms), an alkenylene group (preferably having 2 to 6 carbon atoms), ), a divalent aliphatic heterocyclic group (preferably a 5- to 10-membered ring having at least one N atom, O atom, S atom, or Se atom in the ring structure, more preferably a 5- to 7-membered ring, 5 ~ 6-membered ring is more preferable.), a divalent aromatic heterocyclic group (at least one N atom, O atom, S atom, or Se atom in the ring structure is preferably a 5- to 10-membered ring, 5- A 7-membered ring is more preferred, and a 5- to 6-membered ring is even more preferred.), a divalent aromatic hydrocarbon ring group (preferably a 6- to 10-membered ring, more preferably a 6-membered ring.), and a plurality of these A combined divalent linking group is included. The above R includes a hydrogen atom or a monovalent organic group. Although the monovalent organic group is not particularly limited, for example, an alkyl group (preferably having 1 to 6 carbon atoms) is preferable.
Further, the alkylene group, the cycloalkylene group, the alkenylene group, the divalent aliphatic heterocyclic group, the divalent aromatic heterocyclic group, and the divalent aromatic hydrocarbon ring group have a substituent. You may have Substituents include, for example, halogen atoms (preferably fluorine atoms).

で表される2価の連結基としては、なかでも式(L1)で表される2価の連結基であるのが好ましい。Among them, the divalent linking group represented by L1 is preferably a divalent linking group represented by formula (L1).

Figure 0007309907000066
Figure 0007309907000066

式(L1)中、L111は、単結合又は2価の連結基を表す。
111で表される2価の連結基としては特に制限されず、例えば、-CO-、-NH-、-O-、-SO-、-SO-、置換基を有していてもよいアルキレン基(好ましくは炭素数1~6。直鎖状及び分岐鎖状のいずれでもよい)、置換基を有していてもよいシクロアルキレン基(好ましくは炭素数3~15)、置換基を有していてもよいアリール基(好ましくは炭素数6~10)、及びこれらの複数を組み合わせた2価の連結基が挙げられる。置換基としては特に制限されず、例えば、ハロゲン原子等が挙げられる。
pは、0~3の整数を表し、1~3の整数を表すのが好ましい。
vは、0又は1の整数を表す。
Xfは、各々独立に、フッ素原子、又は少なくとも1つのフッ素原子で置換されたアルキル基を表す。このアルキル基の炭素数は、1~10が好ましく、1~4がより好ましい。また、少なくとも1つのフッ素原子で置換されたアルキル基としては、パーフルオロアルキル基が好ましい。
Xfは、各々独立に、水素原子、置換基としてフッ素原子を有していてもよいアルキル基、又はフッ素原子を表す。このアルキル基の炭素数は、1~10が好ましく、1~4がより好ましい。Xfとしては、なかでも、フッ素原子、又は少なくとも1つのフッ素原子で置換されたアルキル基を表すのが好ましく、フッ素原子、又はパーフルオロアルキル基がより好ましい。
なかでも、Xf及びXfとしては、各々独立に、フッ素原子又は炭素数1~4のパーフルオロアルキル基であることが好ましく、フッ素原子又はCFであることがより好ましい。特に、Xf及びXfが、いずれもフッ素原子であることが更に好ましい。
*は結合位置を表す。
式(Ia-1)中のLが式(L1)で表される2価の連結基を表す場合、式(L1)中のL111側の結合手(*)が、式(Ia-1)中のA12 と結合するのが好ましい。
In formula (L1), L 111 represents a single bond or a divalent linking group.
The divalent linking group represented by L 111 is not particularly limited, and may be, for example, —CO—, —NH—, —O—, —SO—, —SO 2 —, or have a substituent. An alkylene group (preferably having 1 to 6 carbon atoms, which may be linear or branched), an optionally substituted cycloalkylene group (preferably having 3 to 15 carbon atoms), having a substituent an aryl group (preferably having 6 to 10 carbon atoms) which may be substituted, and a divalent linking group combining a plurality of these groups. The substituent is not particularly limited, and examples thereof include halogen atoms.
p represents an integer of 0-3, preferably an integer of 1-3.
v represents an integer of 0 or 1;
Each Xf 1 independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom. The number of carbon atoms in this alkyl group is preferably 1-10, more preferably 1-4. A perfluoroalkyl group is preferable as the alkyl group substituted with at least one fluorine atom.
Each Xf2 independently represents a hydrogen atom, an alkyl group optionally having a fluorine atom as a substituent, or a fluorine atom. The number of carbon atoms in this alkyl group is preferably 1-10, more preferably 1-4. Xf2 preferably represents a fluorine atom or an alkyl group substituted with at least one fluorine atom, more preferably a fluorine atom or a perfluoroalkyl group.
Among them, Xf 1 and Xf 2 are each independently preferably a fluorine atom or a perfluoroalkyl group having 1 to 4 carbon atoms, more preferably a fluorine atom or CF 3 . In particular, both Xf 1 and Xf 2 are more preferably fluorine atoms.
* represents a binding position.
When L 1 in formula (Ia-1) represents a divalent linking group represented by formula (L1), the bond (*) on the L 111 side in formula (L1) is represented by formula (Ia-1 ) is preferred to bind to A 12 in

次に、式(Ia-2)~(Ia-4)について説明する。 Next, Formulas (Ia-2) to (Ia-4) will be described.

Figure 0007309907000067
Figure 0007309907000067

式(Ia-2)中、A21a 及びA21b は、各々独立に、1価のアニオン性官能基を表す。ここで、A21a 及びA21b で表される1価のアニオン性官能基とは、上述したアニオン部位A を含む1価の基を意図する。A21a 及びA21b で表される1価のアニオン性官能基としては特に制限されないが、例えば、上述の式(AX-1)~(AX-3)からなる群から選ばれる1価のアニオン性官能基等が挙げられる。
22 は、2価のアニオン性官能基を表す。ここで、A22 で表される2価のアニオン性官能基とは、上述したアニオン部位A を含む2価の基を意図する。A22 で表される2価のアニオン性官能基としては、例えば、以下に示す式(BX-8)~(BX-11)で表される2価のアニオン性官能基等が挙げられる。式(BX-8)~(BX-11)中、*は、結合位置を表す
In formula (Ia-2), A 21a - and A 21b - each independently represent a monovalent anionic functional group. Here, the monovalent anionic functional groups represented by A 21a - and A 21b - are meant to be monovalent groups containing the above-described anionic site A 1 - . The monovalent anionic functional groups represented by A 21a - and A 21b - are not particularly limited. Examples include anionic functional groups.
A 22 - represents a divalent anionic functional group. Here, the divalent anionic functional group represented by A 22 - intends a divalent group containing the above-described anion site A 2 - . Examples of the divalent anionic functional group represented by A 22 - include divalent anionic functional groups represented by formulas (BX-8) to (BX-11) shown below. In formulas (BX-8) to (BX-11), * represents a bonding position

Figure 0007309907000068
Figure 0007309907000068

21a 、M21b 、及びM22 は、各々独立に、有機カチオンを表す。M21a 、M21b 、及びM22 で表される有機カチオンとしては、上述のM と同義であり、好適態様も同じである。
21及びL22は、各々独立に、2価の有機基を表す。
M 21a + , M 21b + , and M 22 + each independently represent an organic cation. The organic cations represented by M 21a + , M 21b + , and M 22 + are synonymous with M 1 + described above, and the preferred embodiments are also the same.
L21 and L22 each independently represent a divalent organic group.

また、上記式(Ia-2)において、M21a 、M21b 、及びM22 で表される有機カチオンをHに置き換えてなる化合物PIa-2において、A22Hで表される酸性部位に由来する酸解離定数a2は、A21aHに由来する酸解離定数a1-1及びA21bHで表される酸性部位に由来する酸解離定数a1-2よりも大きい。なお、酸解離定数a1-1と酸解離定数a1-2は、上述した酸解離定数a1に該当する。
なお、A21a 及びA21b は、互いに同一であっても異なっていてもよい。また、M21a 、M21b 、及びM22 は、互いに同一であっても異なっていてもよい。
また、M21a 、M21b 、M22 、A21a 、A21b 、L21、及びL22の少なくとも1つが、置換基として酸分解性基を有していてもよい。
Further, in the compound PIa-2 obtained by replacing the organic cations represented by M 21a + , M 21b + , and M 22 + in the above formula (Ia-2) with H + , acidic The site-derived acid dissociation constant a2 is greater than the acid dissociation constant a1-1 derived from A 21a H and the acid dissociation constant a1-2 derived from the acidic site represented by A 21b H. The acid dissociation constant a1-1 and the acid dissociation constant a1-2 correspond to the acid dissociation constant a1 described above.
A 21a - and A 21b - may be the same or different. Moreover, M 21a + , M 21b + , and M 22 + may be the same or different.
At least one of M 21a + , M 21b + , M 22 + , A 21a , A 21b , L 21 and L 22 may have an acid-decomposable group as a substituent.

式(Ia-3)中、A31a 及びA32 は、各々独立に、1価のアニオン性官能基を表す。なお、A31a で表される1価のアニオン性官能基の定義は、上述した式(Ia-2)中のA21a 及びA21b と同義であり、好適態様も同じである。
32 で表される1価のアニオン性官能基は、上述したアニオン部位A を含む1価の基を意図する。A32 で表される1価のアニオン性官能基としては特に制限されないが、例えば、上述の式(BX-1)~(BX-7)からなる群から選ばれる1価のアニオン性官能基等が挙げられる。
31b は、2価のアニオン性官能基を表す。ここで、A31b で表される2価のアニオン性官能基とは、上述したアニオン部位A を含む2価の基を意図する。A31b で表される2価のアニオン性官能基としては、例えば、以下に示す式(AX-4)で表される2価のアニオン性官能基等が挙げられる。
In formula (Ia-3), A 31a - and A 32 - each independently represent a monovalent anionic functional group. The definition of the monovalent anionic functional group represented by A 31a - is synonymous with A 21a - and A 21b - in formula (Ia-2) described above, and the preferred embodiments are also the same.
The monovalent anionic functional group represented by A 32 - intends a monovalent group containing the above-mentioned anion site A 2 - . The monovalent anionic functional group represented by A 32 - is not particularly limited, and is, for example, a monovalent anionic functional group selected from the group consisting of the above formulas (BX-1) to (BX-7). etc.
A 31b - represents a divalent anionic functional group. Here, the divalent anionic functional group represented by A 31b - intends a divalent group containing the above-mentioned anionic site A 1 - . Examples of the divalent anionic functional group represented by A 31b - include divalent anionic functional groups represented by formula (AX-4) shown below.

Figure 0007309907000069
Figure 0007309907000069

31a 、M31b 、及びM32 は、各々独立に、1価の有機カチオンを表す。M31a 、M31b 、及びM32 有機カチオンとしては、上述のM と同義であり、好適態様も同じである。
31及びL32は、各々独立に、2価の有機基を表す。
M 31a + , M 31b + , and M 32 + each independently represent a monovalent organic cation. M 31a + , M 31b + , and M 32 + organic cations are synonymous with M 1 + described above, and preferred embodiments are also the same.
L 31 and L 32 each independently represent a divalent organic group.

また、上記式(Ia-3)において、M31a 、M31b 、及びM32 で表される有機カチオンをHに置き換えてなる化合物PIa-3において、A32Hで表される酸性部位に由来する酸解離定数a2は、A31aHで表される酸性部位に由来する酸解離定数a1-3及びA31bHで表される酸性部位に由来する酸解離定数a1-4よりも大きい。なお、酸解離定数a1-3と酸解離定数a1-4は、上述した酸解離定数a1に該当する。
なお、A31a 及びA32 は、互いに同一であっても異なっていてもよい。また、M31a 、M31b 、及びM32 は、互いに同一であっても異なっていてもよい。
また、M31a 、M31b 、M32 、A31a 、A32 、L31、及びL32の少なくとも1つが、置換基として酸分解性基を有していてもよい。
Further, in the compound PIa-3 obtained by replacing the organic cations represented by M 31a + , M 31b + , and M 32 + in the above formula (Ia-3) with H + , an acidic compound represented by A 32 H The acid dissociation constant a2 derived from the site is greater than the acid dissociation constant a1-3 derived from the acidic site represented by A 31a H and the acid dissociation constant a1-4 derived from the acidic site represented by A 31b H. . The acid dissociation constant a1-3 and the acid dissociation constant a1-4 correspond to the acid dissociation constant a1 described above.
A 31a - and A 32 - may be the same or different. Moreover, M 31a + , M 31b + , and M 32 + may be the same or different.
At least one of M 31a + , M 31b + , M 32 + , A 31a , A 32 , L 31 and L 32 may have an acid-decomposable group as a substituent.

式(Ia-4)中、A41a 、A41b 、及びA42 は、各々独立に、1価のアニオン性官能基を表す。なお、A41a 及びA41b で表される1価のアニオン性官能基の定義は、上述した式(Ia-2)中のA21a 及びA21b と同義である。また、A42 で表される1価のアニオン性官能基の定義は、上述した式(Ia-3)中のA32 と同義であり、好適態様も同じである。
41a 、M41b 、及びM42 は、各々独立に、有機カチオンを表す。
41は、3価の有機基を表す。
In formula (Ia-4), A 41a , A 41b , and A 42 each independently represent a monovalent anionic functional group. The definitions of the monovalent anionic functional groups represented by A 41a - and A 41b - are the same as those of A 21a - and A 21b - in formula (Ia-2) described above. The definition of the monovalent anionic functional group represented by A 42 - is the same as that of A 32 - in formula (Ia-3) described above, and the preferred embodiments are also the same.
M 41a + , M 41b + , and M 42 + each independently represent an organic cation.
L41 represents a trivalent organic group.

また、上記式(Ia-4)において、M41a 、M41b 、及びM42 で表される有機カチオンをHに置き換えてなる化合物PIa-4において、A42Hで表される酸性部位に由来する酸解離定数a2は、A41aHで表される酸性部位に由来する酸解離定数a1-5及びA41bHで表される酸性部位に由来する酸解離定数a1-6よりも大きい。なお、酸解離定数a1-5と酸解離定数a1-6は、上述した酸解離定数a1に該当する。
なお、A41a 、A41b 、及びA42 は、互いに同一であっても異なっていてもよい。また、M41a 、M41b 、及びM42 は、互いに同一であっても異なっていてもよい。
また、M41a 、M41b 、M42 、A41a 、A41b 、A42 、及びL41の少なくとも1つが、置換基として、酸分解性基を有していてもよい。
Further, in the compound PIa-4 obtained by replacing the organic cations represented by M 41a + , M 41b + , and M 42 + in the above formula (Ia-4) with H + , an acidic compound represented by A 42 H The acid dissociation constant a2 derived from the site is greater than the acid dissociation constant a1-5 derived from the acidic site represented by A 41a H and the acid dissociation constant a1-6 derived from the acidic site represented by A 41b H. . The acid dissociation constant a1-5 and the acid dissociation constant a1-6 correspond to the acid dissociation constant a1 described above.
A 41a , A 41b , and A 42 may be the same or different. In addition, M 41a + , M 41b + , and M 42 + may be the same or different.
At least one of M 41a + , M 41b + , M 42 + , A 41a , A 41b , A 42 , and L 41 may have an acid-decomposable group as a substituent.

式(Ia-2)中のL21及びL22、並びに、式(Ia-3)中のL31及びL32で表される2価の有機基としては特に制限されず、例えば、-CO-、-NR-、-O-、-S-、-SO-、-SO-、アルキレン基(好ましくは炭素数1~6。直鎖状でも分岐鎖状でもよい)、シクロアルキレン基(好ましくは炭素数3~15)、アルケニレン基(好ましくは炭素数2~6)、2価の脂肪族複素環基(少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環が好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。)、2価の芳香族複素環基(少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環が好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。)、2価の芳香族炭化水素環基(6~10員環が好ましく、6員環が更に好ましい。)、及びこれらの複数を組み合わせた2価の有機基が挙げられる。上記Rは、水素原子又は1価の有機基を表す。1価の有機基としては特に制限されないが、例えば、アルキル基(好ましくは炭素数1~6)が好ましい。
また、上記アルキレン基、上記シクロアルキレン基、上記アルケニレン基、上記2価の脂肪族複素環基、2価の芳香族複素環基、及び2価の芳香族炭化水素環基は、置換基を有していてもよい。置換基としては、例えば、ハロゲン原子(好ましくはフッ素原子)が挙げられる。
The divalent organic groups represented by L 21 and L 22 in formula (Ia-2) and L 31 and L 32 in formula (Ia-3) are not particularly limited, for example, —CO— , —NR—, —O—, —S—, —SO—, —SO 2 —, an alkylene group (preferably having 1 to 6 carbon atoms, which may be linear or branched), a cycloalkylene group (preferably 3 to 15 carbon atoms), alkenylene groups (preferably 2 to 6 carbon atoms), divalent aliphatic heterocyclic groups (at least one N atom, O atom, S atom, or Se atom in the ring structure 5 A to 10-membered ring is preferred, a 5- to 7-membered ring is more preferred, and a 5- to 6-membered ring is even more preferred.), a divalent aromatic heterocyclic group (at least one N atom, O atom, S atom, or Se A 5- to 10-membered ring having an atom in the ring structure is preferred, a 5- to 7-membered ring is more preferred, and a 5- to 6-membered ring is even more preferred.), a divalent aromatic hydrocarbon ring group (6- to 10-membered ring is preferred, and a 6-membered ring is more preferred.), and a divalent organic group combining a plurality of these. R above represents a hydrogen atom or a monovalent organic group. Although the monovalent organic group is not particularly limited, for example, an alkyl group (preferably having 1 to 6 carbon atoms) is preferable.
Further, the alkylene group, the cycloalkylene group, the alkenylene group, the divalent aliphatic heterocyclic group, the divalent aromatic heterocyclic group, and the divalent aromatic hydrocarbon ring group have a substituent. You may have Substituents include, for example, halogen atoms (preferably fluorine atoms).

式(Ia-2)中のL21及びL22、並びに、式(Ia-3)中のL31及びL32で表される2価の有機基としては、例えば、下記式(L2)で表される2価の有機基も好ましい。Examples of divalent organic groups represented by L 21 and L 22 in formula (Ia-2) and L 31 and L 32 in formula (Ia-3) are represented by the following formula (L2): Divalent organic groups are also preferred.

Figure 0007309907000070
Figure 0007309907000070

式(L2)中、qは、1~3の整数を表す。*は結合位置を表す。
Xfは、各々独立に、フッ素原子、又は少なくとも1つのフッ素原子で置換されたアルキル基を表す。このアルキル基の炭素数は、1~10が好ましく、1~4がより好ましい。また、少なくとも1つのフッ素原子で置換されたアルキル基としては、パーフルオロアルキル基が好ましい。
Xfは、フッ素原子又は炭素数1~4のパーフルオロアルキル基であることが好ましく、フッ素原子又はCFであることがより好ましい。特に、双方のXfがフッ素原子であることが更に好ましい。
In formula (L2), q represents an integer of 1-3. * represents a binding position.
Each Xf independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom. The number of carbon atoms in this alkyl group is preferably 1-10, more preferably 1-4. A perfluoroalkyl group is preferable as the alkyl group substituted with at least one fluorine atom.
Xf is preferably a fluorine atom or a C 1-4 perfluoroalkyl group, more preferably a fluorine atom or CF 3 . In particular, it is more preferable that both Xf are fluorine atoms.

は、単結合又は2価の連結基を表す。
で表される2価の連結基としては特に制限されず、例えば、-CO-、-O-、-SO-、-SO-、アルキレン基(好ましくは炭素数1~6。直鎖状でも分岐鎖状でもよい)、シクロアルキレン基(好ましくは炭素数3~15)、2価の芳香族炭化水素環基(6~10員環が好ましく、6員環が更に好ましい。)、及びこれらの複数を組み合わせた2価の連結基が挙げられる。
また、上記アルキレン基、上記シクロアルキレン基、及び2価の芳香族炭化水素環基は、置換基を有していてもよい。置換基としては、例えば、ハロゲン原子(好ましくはフッ素原子)が挙げられる。
LA represents a single bond or a divalent linking group.
The divalent linking group represented by L A is not particularly limited, and examples thereof include -CO-, -O-, -SO-, -SO 2 -, alkylene groups (preferably having 1 to 6 carbon atoms, straight-chain may be in the form of a branched chain), a cycloalkylene group (preferably having 3 to 15 carbon atoms), a divalent aromatic hydrocarbon ring group (preferably a 6- to 10-membered ring, more preferably a 6-membered ring), and Divalent linking groups in which a plurality of these are combined are included.
Moreover, the alkylene group, the cycloalkylene group, and the divalent aromatic hydrocarbon ring group may have a substituent. Substituents include, for example, halogen atoms (preferably fluorine atoms).

式(L2)で表される2価の有機基としては、例えば、*-CF-*、*-CF-CF-*、*-CF-CF-CF-*、*-Ph-O-SO-CF-*、*-Ph-O-SO-CF-CF-*、*-Ph-O-SO-CF-CF-CF-*、*-Ph-OCO-CF-*等が挙げられる。なお、Phとは、置換基を有していてもよいフェニレン基であり、1,4-フェニレン基であるのが好ましい。置換基としては特に制限されないが、アルキル基(例えば、炭素数1~10が好ましく、炭素数1~6がより好ましい。)、アルコキシ基(例えば、炭素数1~10が好ましく、炭素数1~6がより好ましい。)、又はアルコキシカルボニル基(例えば、炭素数2~10が好ましく、炭素数2~6がより好ましい。)が好ましい。
式(Ia-2)中のL21及びL22が式(L2)で表される2価の有機基を表す場合、式(L2)中のL側の結合手(*)が、式(Ia-2)中のA21a 及びA21b と結合するのが好ましい。
また、式(Ia-3)中のL31及びL32が式(L2)で表される2価の有機基を表す場合、式(L2)中のL側の結合手(*)が、式(Ia-3)中のA31a 及びA32 と結合するのが好ましい。
Examples of the divalent organic group represented by formula (L2) include *-CF 2 -*, *-CF 2 -CF 2 -*, *-CF 2 -CF 2 -CF 2 -*, *- Ph—O—SO 2 —CF 2 −*, *—Ph—O—SO 2 —CF 2 —CF 2 —*, *—Ph—O—SO 2 —CF 2 —CF 2 —CF 2 —*, * -Ph-OCO-CF 2 -* and the like. Ph is an optionally substituted phenylene group, preferably a 1,4-phenylene group. Although the substituent is not particularly limited, an alkyl group (eg, preferably having 1 to 10 carbon atoms, more preferably 1 to 6 carbon atoms), an alkoxy group (eg, preferably having 1 to 10 carbon atoms, 1 to 1 carbon atoms, 6 is more preferable), or an alkoxycarbonyl group (eg, preferably having 2 to 10 carbon atoms, more preferably 2 to 6 carbon atoms).
When L 21 and L 22 in formula (Ia-2) represent a divalent organic group represented by formula (L2), the bond (*) on the L A side in formula (L2) is represented by formula ( It preferably binds to A 21a - and A 21b - in Ia-2).
Further, when L 31 and L 32 in formula (Ia-3) represent a divalent organic group represented by formula (L2), the bond (*) on the L A side in formula (L2) is Bonding with A 31a - and A 32 - in formula (Ia-3) is preferred.

式(Ia-4)中のL41で表される3価の有機基としては特に制限されず、例えば、下記式(L3)で表される3価の有機基が挙げられる。The trivalent organic group represented by L 41 in formula (Ia-4) is not particularly limited, and examples thereof include trivalent organic groups represented by the following formula (L3).

Figure 0007309907000071
Figure 0007309907000071

式(L3)中、Lは、3価の炭化水素環基又は3価の複素環基を表す。*は結合位置を表す。In formula (L3), LB represents a trivalent hydrocarbon ring group or a trivalent heterocyclic group. * represents a binding position.

上記炭化水素環基は、芳香族炭化水素環基であっても、脂肪族炭化水素環基であってもよい。上記炭化水素環基に含まれる炭素数は、6~18が好ましく、6~14がより好ましい。上記複素環基は、芳香族複素環基であっても、脂肪族複素環基であってもよい。上記複素環は、少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環であることが好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。
としては、なかでも、3価の炭化水素環基が好ましく、ベンゼン環基又はアダマンタン環基がより好ましい。ベンゼン環基又はアダマンタン環基は、置換基を有していてもよい。置換基としては特に制限されないが、例えば、ハロゲン原子(好ましくはフッ素原子)が挙げられる。
The hydrocarbon ring group may be either an aromatic hydrocarbon ring group or an aliphatic hydrocarbon ring group. The number of carbon atoms contained in the hydrocarbon ring group is preferably 6-18, more preferably 6-14. The heterocyclic group may be either an aromatic heterocyclic group or an aliphatic heterocyclic group. The heterocyclic ring is preferably a 5- to 10-membered ring having at least one N atom, O atom, S atom, or Se atom in the ring structure, more preferably a 5- to 7-membered ring, and a 5- to 6-membered ring. A ring is more preferred.
Among them, LB is preferably a trivalent hydrocarbon ring group, more preferably a benzene ring group or an adamantane ring group. The benzene ring group or adamantane ring group may have a substituent. Examples of substituents include, but are not limited to, halogen atoms (preferably fluorine atoms).

また、式(L3)中、LB1~LB3は、各々独立に、単結合又は2価の連結基を表す。LB1~LB3で表される2価の連結基としては特に制限されず、例えば、-CO-、-NR-、-O-、-S-、-SO-、-SO-、アルキレン基(好ましくは炭素数1~6。直鎖状でも分岐鎖状でもよい)、シクロアルキレン基(好ましくは炭素数3~15)、アルケニレン基(好ましくは炭素数2~6)、2価の脂肪族複素環基(少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環が好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。)、2価の芳香族複素環基(少なくとも1つのN原子、O原子、S原子、又はSe原子を環構造内に有する5~10員環が好ましく、5~7員環がより好ましく、5~6員環が更に好ましい。)、2価の芳香族炭化水素環基(6~10員環が好ましく、6員環が更に好ましい。)、及びこれらの複数を組み合わせた2価の連結基が挙げられる。上記Rは、水素原子又は1価の有機基を表す。1価の有機基としては特に制限されないが、例えば、アルキル基(好ましくは炭素数1~6)が好ましい。
また、上記アルキレン基、上記シクロアルキレン基、上記アルケニレン基、上記2価の脂肪族複素環基、2価の芳香族複素環基、及び2価の芳香族炭化水素環基は、置換基を有していてもよい。置換基としては、例えば、ハロゲン原子(好ましくはフッ素原子)が挙げられる。
B1~LB3で表される2価の連結基としては、上記のなかでも、-CO-、-NR-、-O-、-S-、-SO-、-SO-、置換基を有していてもよいアルキレン基、及びこれらの複数を組み合わせた2価の連結基が好ましい。
In formula (L3), L B1 to L B3 each independently represent a single bond or a divalent linking group. The divalent linking groups represented by L B1 to L B3 are not particularly limited, and examples thereof include —CO—, —NR—, —O—, —S—, —SO—, —SO 2 —, and alkylene groups. (preferably having 1 to 6 carbon atoms, which may be linear or branched), cycloalkylene group (preferably having 3 to 15 carbon atoms), alkenylene group (preferably having 2 to 6 carbon atoms), divalent aliphatic Heterocyclic group (preferably a 5- to 10-membered ring having at least one N atom, O atom, S atom, or Se atom in the ring structure, more preferably a 5- to 7-membered ring, even more preferably a 5- to 6-membered ring ), a divalent aromatic heterocyclic group (preferably a 5- to 10-membered ring having at least one N atom, O atom, S atom, or Se atom in the ring structure, more preferably a 5- to 7-membered ring, A 5- to 6-membered ring is more preferred.), a divalent aromatic hydrocarbon ring group (preferably a 6- to 10-membered ring, more preferably a 6-membered ring.), and a divalent linking group combining a plurality of these. is mentioned. R above represents a hydrogen atom or a monovalent organic group. Although the monovalent organic group is not particularly limited, for example, an alkyl group (preferably having 1 to 6 carbon atoms) is preferable.
Further, the alkylene group, the cycloalkylene group, the alkenylene group, the divalent aliphatic heterocyclic group, the divalent aromatic heterocyclic group, and the divalent aromatic hydrocarbon ring group have a substituent. You may have Substituents include, for example, halogen atoms (preferably fluorine atoms).
As the divalent linking group represented by L B1 to L B3 , among the above, —CO—, —NR—, —O—, —S—, —SO—, —SO 2 —, substituents An optional alkylene group and a divalent linking group combining a plurality of these groups are preferred.

B1~LB3で表される2価の連結基としては、なかでも式(L3-1)で表される2価の連結基であるのがより好ましい。Divalent linking groups represented by L B1 to L B3 are more preferably divalent linking groups represented by formula (L3-1).

Figure 0007309907000072
Figure 0007309907000072

式(L3-1)中、LB11は、単結合又は2価の連結基を表す。
B11で表される2価の連結基としては特に制限されず、例えば、-CO-、-O-、-SO-、-SO-、置換基を有していてもよいアルキレン基(好ましくは炭素数1~6。直鎖状でも分岐鎖状でもよい)、及びこれらの複数を組み合わせた2価の連結基が挙げられる。置換基としては特に制限されず、例えば、ハロゲン原子等が挙げられる。
rは、1~3の整数を表す。
Xfは、上述した式(L2)中のXfと同義であり、好適態様も同じである。
*は結合位置を表す。
In formula (L3-1), L B11 represents a single bond or a divalent linking group.
The divalent linking group represented by L B11 is not particularly limited, and examples thereof include —CO—, —O—, —SO—, —SO 2 —, an optionally substituted alkylene group (preferably has 1 to 6 carbon atoms and may be linear or branched), and a divalent linking group combining a plurality of these. The substituent is not particularly limited, and examples thereof include halogen atoms.
r represents an integer of 1 to 3;
Xf has the same definition as Xf in formula (L2) described above, and the preferred embodiments are also the same.
* represents a binding position.

B1~LB3で表される2価の連結基としては、例えば、*-O-*、*-O-SO-CF-*、*-O-SO-CF-CF-*、*-O-SO-CF-CF-CF-*、*-COO-CH-CH-*等が挙げられる。
式(Ia-4)中のL41が式(L3-1)で表される2価の有機基を含み、且つ、式(L3-1)で表される2価の有機基とA42 とが結合する場合、式(L3-1)中に明示される炭素原子側の結合手(*)が、式(Ia-4)中のA42 と結合するのが好ましい。
Examples of divalent linking groups represented by L B1 to L B3 include *-O-*, *-O-SO 2 -CF 2 -*, *-O-SO 2 -CF 2 -CF 2 - *, *--O--SO 2 --CF 2 --CF 2 --CF 2 --*, *--COO--CH 2 --CH 2 --* and the like.
L 41 in formula (Ia-4) contains a divalent organic group represented by formula (L3-1), and the divalent organic group represented by formula (L3-1) and A 42 is bound, the bond (*) on the carbon atom side specified in formula (L3-1) preferably binds to A 42 - in formula (Ia-4).

次に、式(Ia-5)について説明する。 Next, formula (Ia-5) will be described.

Figure 0007309907000073
Figure 0007309907000073

式(Ia-5)中、A51a 、A51b 、及びA51c は、各々独立に、1価のアニオン性官能基を表す。ここで、A51a 、A51b 、及びA51c で表される1価のアニオン性官能基とは、上述したアニオン部位A を含む1価の基を意図する。A51a 、A51b 、及びA51c で表される1価のアニオン性官能基としては特に制限されないが、例えば、上述の式(AX-1)~(AX-3)からなる群から選ばれる1価のアニオン性官能基等が挙げられる。
52a 及びA52b は、2価のアニオン性官能基を表す。ここで、A52a 及びA52b で表される2価のアニオン性官能基とは、上述したアニオン部位A を含む2価の基を意図する。A22 で表される2価のアニオン性官能基としては、例えば、例えば、上述の式(BX-8)~(BX-11)からなる群から選ばれる2価のアニオン性官能基等が挙げられる。
In formula (Ia-5), A 51a , A 51b , and A 51c each independently represent a monovalent anionic functional group. Here, the monovalent anionic functional groups represented by A 51a , A 51b , and A 51c are intended to be monovalent groups containing the above-described anionic site A 1 . The monovalent anionic functional groups represented by A 51a , A 51b , and A 51c are not particularly limited, but are, for example, the group consisting of the above formulas (AX-1) to (AX-3) A selected monovalent anionic functional group and the like can be mentioned.
A 52a - and A 52b - represent divalent anionic functional groups. Here, the divalent anionic functional groups represented by A 52a - and A 52b - are intended to be divalent groups containing the above-described anion site A 2 - . The divalent anionic functional group represented by A 22 - includes, for example, a divalent anionic functional group selected from the group consisting of the above formulas (BX-8) to (BX-11). mentioned.

51a 、M51b 、M51c 、M52a 、及びM52b は、各々独立に、有機カチオンを表す。M51a 、M51b 、M51c 、M52a 、及びM52b で表される有機カチオンとしては、上述のM と同義であり、好適態様も同じである。
51及びL53は、各々独立に、2価の有機基を表す。L51及びL53で表される2価の有機基としては、上述した式(Ia-2)中のL21及びL22と同義であり、好適態様も同じである。
52は、3価の有機基を表す。L52で表される3価の有機基としては、上述した式(Ia-4)中のL41と同義であり、好適態様も同じである。
M 51a + , M 51b + , M 51c + , M 52a + , and M 52b + each independently represent an organic cation. The organic cations represented by M 51a + , M 51b + , M 51c + , M 52a + , and M 52b + are synonymous with M 1 + described above, and the preferred embodiments are also the same.
L51 and L53 each independently represent a divalent organic group. The divalent organic groups represented by L 51 and L 53 have the same meanings as L 21 and L 22 in formula (Ia-2) above, and the preferred embodiments are also the same.
L52 represents a trivalent organic group. The trivalent organic group represented by L 52 has the same meaning as L 41 in formula (Ia-4) above, and the preferred embodiments are also the same.

また、上記式(Ia-5)において、M51a 、M51b 、M51c 、M52a 、及びM52b で表される有機カチオンをHに置き換えてなる化合物PIa-5において、A52aHで表される酸性部位に由来する酸解離定数a2-1及びA52bHで表される酸性部位に由来する酸解離定数a2-2は、A51aHに由来する酸解離定数a1-7、A51bHで表される酸性部位に由来する酸解離定数a1-8、及びA51cHで表される酸性部位に由来する酸解離定数a1-9よりも大きい。なお、酸解離定数a1-7~a1-9は、上述した酸解離定数a1に該当し、酸解離定数a2-1及びa2-2は、上述した酸解離定数a2に該当する。
なお、A51a 、A51b 、及びA51c は、互いに同一であっても異なっていてもよい。また、A52a 及びA52b は、互いに同一であっても異なっていてもよい。また、M51a 、M51b 、M51c 、M52a 、及びM52b は、互いに同一であっても異なっていてもよい。
また、M51b 、M51c 、M52a 、M52b 、A51a 、A51b 、A51c 、L51、L52、及びL53の少なくとも1つが、置換基として酸分解性基を有していてもよい。
Further, in the compound PIa-5 obtained by replacing the organic cations represented by M 51a + , M 51b + , M 51c + , M 52a + , and M 52b + in the above formula (Ia-5) with H + , The acid dissociation constant a2-1 derived from the acidic site represented by A 52a H and the acid dissociation constant a2-2 derived from the acidic site represented by A 52b H are the acid dissociation constant a1- derived from A 51a H. 7, greater than the acid dissociation constant a1-8 derived from the acidic site represented by A 51b H and the acid dissociation constant a1-9 derived from the acidic site represented by A 51c H. The acid dissociation constants a1-7 to a1-9 correspond to the acid dissociation constant a1 described above, and the acid dissociation constants a2-1 and a2-2 correspond to the acid dissociation constant a2 described above.
A 51a , A 51b , and A 51c may be the same or different. In addition, A 52a - and A 52b - may be the same or different. In addition, M 51a + , M 51b + , M 51c + , M 52a + , and M 52b + may be the same or different.
At least one of M 51b + , M 51c + , M 52a + , M 52b + , A 51a , A 51b , A 51c , L 51 , L 52 and L 53 is an acid-decomposable substituent You may have a group.

<化合物(II)>
化合物(II)は、2つ以上の上記構造部位X及び1つ以上の下記構造部位Zを有する化合物であって、活性光線又は放射線の照射によって、上記構造部位Xに由来する上記第1の酸性部位を2つ以上と上記構造部位Zとを含む酸を発生する化合物とを含む酸を発生する化合物である。
構造部位Z:酸を中和可能な非イオン性の部位
<Compound (II)>
Compound (II) is a compound having two or more of the above structural moieties X and one or more of the following structural moieties Z, wherein the first acidic It is an acid-generating compound containing two or more sites and an acid-generating compound containing the structural site Z described above.
Structural site Z: nonionic site capable of neutralizing acid

化合物(II)中、構造部位Xの定義、並びに、A 及びM の定義は、上述した化合物(I)中の構造部位Xの定義、並びに、A 及びM の定義と同義であり、好適態様も同じである。The definition of structural site X and the definitions of A 1 - and M 1 + in compound (II) are the same as the definitions of structural site X and the definitions of A 1 - and M 1 + in compound (I) above. is synonymous with and preferred embodiments are also the same.

上記化合物(II)において上記構造部位X中の上記カチオン部位M をHに置き換えてなる化合物PIIにおいて、上記構造部位X中の上記カチオン部位M をHに置き換えてなるHAで表される酸性部位に由来する酸解離定数a1の好適範囲については、上記化合物PIにおける酸解離定数a1と同じである。
なお、化合物(II)が、例えば、上記構造部位Xに由来する上記第1の酸性部位を2つと上記構造部位Zとを有する酸を発生する化合物である場合、化合物PIIは「2つのHAを有する化合物」に該当する。この化合物PIIの酸解離定数を求めた場合、化合物PIIが「1つのA と1つのHAとを有する化合物」となる際の酸解離定数、及び「1つのA と1つのHAとを有する化合物」が「2つのA を有する化合物」となる際の酸解離定数が、酸解離定数a1に該当する。
HA 1 obtained by replacing the cation site M 1 + in the structural site X with H + in the compound PII, which is obtained by replacing the cation site M 1 + in the structural site X with H + in the compound (II). The preferred range of the acid dissociation constant a1 derived from the acidic site represented by is the same as the acid dissociation constant a1 in the above compound PI.
In addition, for example, when the compound (II) is a compound that generates an acid having two of the first acidic sites derived from the structural site X and the structural site Z, the compound PII is "two HA 1 It corresponds to "a compound having When the acid dissociation constant of this compound PII is determined, the acid dissociation constant when the compound PII is "a compound having one A 1 - and one HA 1 " and "one A 1 - and one HA The acid dissociation constant when the "compound having 1 " becomes the "compound having two A 1 - " corresponds to the acid dissociation constant a1.

酸解離定数a1は、上述した酸解離定数の測定方法により求められる。
上記化合物PIIとは、化合物(II)に活性光線又は放射線を照射した場合に、発生する酸に該当する。
なお、上記2つ以上の構造部位Xは、各々同一であっても異なっていてもよい。また、2つ以上の上記A 、及び2つ以上の上記M は、各々同一であっても異なっていてもよい。
The acid dissociation constant a1 is obtained by the method for measuring the acid dissociation constant described above.
The above compound PII corresponds to an acid generated when compound (II) is irradiated with actinic rays or radiation.
The two or more structural sites X may be the same or different. Two or more of A 1 and two or more of M 1 + may be the same or different.

構造部位Z中の酸を中和可能な非イオン性の部位としては特に制限されず、例えば、プロトンと静電的に相互作用し得る基又は電子を有する官能基を含む部位であることが好ましい。
プロトンと静電的に相互作用し得る基又は電子を有する官能基としては、環状ポリエーテル等のマクロサイクリック構造を有する官能基、又はπ共役に寄与しない非共有電子対をもった窒素原子を有する官能基等が挙げられる。π共役に寄与しない非共有電子対を有する窒素原子とは、例えば、下記式に示す部分構造を有する窒素原子である。
The acid-neutralizing nonionic site in the structural site Z is not particularly limited, and for example, a site containing a group capable of electrostatically interacting with protons or a functional group having electrons is preferable. .
As a group capable of electrostatically interacting with protons or a functional group having electrons, a functional group having a macrocyclic structure such as a cyclic polyether, or a nitrogen atom having a lone pair of electrons that does not contribute to π conjugation is used. a functional group having a A nitrogen atom having a lone pair of electrons that does not contribute to π-conjugation is, for example, a nitrogen atom having a partial structure represented by the following formula.

Figure 0007309907000074
Figure 0007309907000074

プロトンと静電的に相互作用し得る基又は電子を有する官能基の部分構造としては、例えば、クラウンエーテル構造、アザクラウンエーテル構造、1~3級アミン構造、ピリジン構造、イミダゾール構造、及びピラジン構造等が挙げられ、なかでも、1~3級アミン構造が好ましい。 Partial structures of functional groups having electrons or groups capable of electrostatically interacting with protons include, for example, a crown ether structure, an azacrown ether structure, a primary to tertiary amine structure, a pyridine structure, an imidazole structure, and a pyrazine structure. etc., among which primary to tertiary amine structures are preferred.

化合物(II)としては特に制限されないが、例えば、下記式(IIa-1)及び下記式(IIa-2)で表される化合物が挙げられる。 Compound (II) is not particularly limited, and examples thereof include compounds represented by the following formulas (IIa-1) and (IIa-2).

Figure 0007309907000075
Figure 0007309907000075

上記式(IIa-1)中、A61a 及びA61b は、各々上述した式(Ia-1)中のA11 と同義であり、好適態様も同じである。また、M61a 及びM61b は、各々上述した式(Ia-1)中のM11 と同義であり、好適態様も同じである。
上記式(IIa-1)中、L61及びL62は、各々上述した式(Ia-1)中のLと同義であり、好適態様も同じである。
In formula (IIa-1) above, A 61a - and A 61b - have the same meanings as A 11 - in formula (Ia-1) above, and preferred embodiments are also the same. M 61a + and M 61b + have the same meaning as M 11 + in formula (Ia-1) above, and the preferred embodiments are also the same.
In formula (IIa-1) above, L 61 and L 62 have the same meanings as L 1 in formula (Ia-1) above, and preferred embodiments are also the same.

式(IIa-1)中、R2Xは、1価の有機基を表す。R2Xで表される1価の有機基としては特に制限されず、例えば、-CH-が、-CO-、-NH-、-O-、-S-、-SO-、及び-SO-よりなる群より選ばれる1種又は2種以上の組み合わせで置き換わっていてもよい、アルキル基(好ましくは炭素数1~10。直鎖状でも分岐鎖状でもよい)、シクロアルキル基(好ましくは炭素数3~15)、又はアルケニル基(好ましくは炭素数2~6)等が挙げられる。
また、上記アルキレン基、上記シクロアルキレン基、及び上記アルケニレン基は、置換基を有していてもよい。置換基としては、特に制限されないが、例えば、ハロゲン原子(好ましくはフッ素原子)が挙げられる。
In formula (IIa-1), R 2X represents a monovalent organic group. The monovalent organic group represented by R 2X is not particularly limited . - which may be substituted with one or a combination of two or more selected from the group consisting of an alkyl group (preferably having 1 to 10 carbon atoms, which may be linear or branched), a cycloalkyl group (preferably 3 to 15 carbon atoms), alkenyl groups (preferably 2 to 6 carbon atoms), and the like.
Moreover, the alkylene group, the cycloalkylene group, and the alkenylene group may have a substituent. Examples of substituents include, but are not particularly limited to, halogen atoms (preferably fluorine atoms).

また、上記式(IIa-1)において、M61a 及びM61b で表される有機カチオンをHに置き換えてなる化合物PIIa-1において、A61aHで表される酸性部位に由来する酸解離定数a1-10及びA61bHで表される酸性部位に由来する酸解離定数a1-11は、上述した酸解離定数a1に該当する。
なお、上記化合物(IIa-1)において上記構造部位X中の上記カチオン部位M61a 及びM61b をHに置き換えてなる化合物PIIa-1は、HA61a-L61-N(R2X)-L62-A61bHが該当する。また、化合物PIIa-1と、活性光線又は放射線の照射によって式(IIa-1)で表される化合物から発生する酸は同じである。
また、M61a 、M61b 、A61a 、A61b 、L61、L62、及びR2Xの少なくとも1つが、置換基として酸分解性基を有していてもよい。
Further, in the compound PIIa-1 obtained by replacing the organic cations represented by M 61a + and M 61b + with H + in the above formula (IIa-1), the acid derived from the acidic site represented by A 61a H The dissociation constant a1-10 and the acid dissociation constant a1-11 derived from the acidic site represented by A 61b H correspond to the acid dissociation constant a1 described above.
The compound PIIa-1 obtained by replacing the cation sites M 61a + and M 61b + in the structural site X in the structural site X in the compound (IIa-1) with H + is HA 61a -L 61 -N(R 2X ) -L 62 -A 61b H. In addition, compound PIIa-1 is the same as the acid generated from the compound represented by formula (IIa-1) upon exposure to actinic rays or radiation.
At least one of M 61a + , M 61b + , A 61a , A 61b , L 61 , L 62 and R 2X may have an acid-decomposable group as a substituent.

上記式(IIa-2)中、A71a 、A71b 、及びA71c は、各々上述した式(Ia-1)中のA11 と同義であり、好適態様も同じである。また、M71a 、M71b 、M71c は、各々上述した式(Ia-1)中のM11 と同義であり、好適態様も同じである。
上記式(IIa-2)中、L71、L72、及びL73は、各々上述した式(Ia-1)中のLと同義であり、好適態様も同じである。
In formula (IIa-2) above, A 71a , A 71b , and A 71c have the same meanings as A 11 in formula (Ia-1) above, and preferred embodiments are also the same. M 71a + , M 71b + , and M 71c + each have the same meaning as M 11 + in formula (Ia-1) described above, and preferred embodiments are also the same.
In formula (IIa-2), L 71 , L 72 , and L 73 have the same meanings as L 1 in formula (Ia-1) above, and preferred embodiments are also the same.

また、上記式(IIa-2)において、M71a 、M71b 、M71c で表される有機カチオンをHに置き換えてなる化合物PIIa-2において、A71aHで表される酸性部位に由来する酸解離定数a1-12、A71bHで表される酸性部位に由来する酸解離定数a1-13、及びA71cHで表される酸性部位に由来する酸解離定数a1-14は、上述した酸解離定数a1に該当する。
なお、上記化合物(IIa-1)において上記構造部位X中の上記カチオン部位M71a 、M71b 、M71c をHに置き換えてなる化合物PIIa-2は、HA71a-L71-N(L73-A71cH)-L72-A71bHが該当する。また、化合物PIIa-2と、活性光線又は放射線の照射によって式(IIa-2)で表される化合物から発生する酸は同じである。
また、M71a 、M71b 、M71c 、A71a 、A71b 、A71c 、L71、L72、及びL73の少なくとも1つが、置換基として酸分解性基を有していてもよい。
Further, in the compound PIIa-2 obtained by replacing the organic cations represented by M 71a + , M 71b + , and M 71c + in the above formula (IIa-2) with H + , the acidic site represented by A 71a H The acid dissociation constant a1-12 derived from, the acid dissociation constant a1-13 derived from the acidic site represented by A 71b H, and the acid dissociation constant a1-14 derived from the acidic site represented by A 71c H are It corresponds to the acid dissociation constant a1 described above.
A compound PIIa-2 obtained by replacing the cation sites M 71a + , M 71b + , and M 71c + in the structural site X of the compound (IIa-1) with H + is HA 71a -L 71 -N (L 73 -A 71c H)-L 72 -A 71b H is applicable. In addition, compound PIIa-2 is the same as the acid generated from the compound represented by formula (IIa-2) upon exposure to actinic rays or radiation.
At least one of M 71a + , M 71b + , M 71c + , A 71a , A 71b , A 71c , L 71 , L 72 and L 73 has an acid-decomposable group as a substituent. may be

次に、特定光酸発生剤が有し得る、有機カチオン以外の部位を例示する。 Next, the sites other than the organic cation that the specific photoacid generator may have are exemplified.

Figure 0007309907000076
Figure 0007309907000076

Figure 0007309907000077
Figure 0007309907000077

特定光酸発生剤の分子量は特に限定されないが、100~10000が好ましく、100~2500がより好ましく、100~1500が更に好ましい。 Although the molecular weight of the specific photoacid generator is not particularly limited, it is preferably from 100 to 10,000, more preferably from 100 to 2,500, and even more preferably from 100 to 1,500.

本発明の組成物が特定光酸発生剤を含有する場合、その含有量(化合物(I)及び(II)の合計含有量)は、組成物の全固形分に対して、10質量%以上が好ましく、20質量%以上がより好ましい。また、その上限値としては、80質量%以下が好ましく、70質量%以下がより好ましく、60質量%以下が更に好ましい。
特定光酸発生剤は1種単独で使用してもよく、2種以上を使用してもよい。2種以上使用する場合は、その合計含有量が、上記好適含有量の範囲内であるのが好ましい。
When the composition of the present invention contains a specific photoacid generator, its content (total content of compounds (I) and (II)) is 10% by mass or more relative to the total solid content of the composition. Preferably, 20% by mass or more is more preferable. Moreover, the upper limit thereof is preferably 80% by mass or less, more preferably 70% by mass or less, and even more preferably 60% by mass or less.
The specific photoacid generator may be used singly or in combination of two or more. When two or more are used, the total content is preferably within the range of the preferred content.

本発明の組成物は、光酸発生剤として、下記化合物(III)を含有しても良い。 The composition of the present invention may contain the following compound (III) as a photoacid generator.

<化合物(III)>
化合物(III)は、2つ以上の下記構造部位Xを有する化合物であって、活性光線又は放射線の照射によって、下記構造部位Xに由来する2つの酸性部位を発生する化合物である。
構造部位X:アニオン部位A とカチオン部位M とからなり、且つ活性光線又は放射線の照射によってHAで表される酸性部位を形成する構造部位
<Compound (III)>
Compound (III) is a compound having two or more structural moieties X below, and is a compound that generates two acidic moieties derived from the structural moieties X below upon exposure to actinic rays or radiation.
Structural site X: a structural site consisting of an anion site A 1 and a cation site M 1 + and forming an acidic site represented by HA 1 upon exposure to actinic rays or radiation

化合物(III)に含まれる2つ以上の構造部位Xは、各々同一であっても異なっていてもよい。また、2つ以上の上記A 、及び2つ以上の上記M は、各々同一であっても異なっていてもよい。Two or more structural moieties X contained in compound (III) may be the same or different. Two or more of A 1 and two or more of M 1 + may be the same or different.

化合物(III)中、構造部位Xの定義、並びに、A 及びM の定義は、上述した化合物(I)中の構造部位Xの定義、並びに、A 及びM の定義と同義であり、好適態様も同じである。The definition of structural site X and the definitions of A 1 - and M 1 + in compound (III) are the same as the definitions of structural site X and the definitions of A 1 - and M 1 + in compound (I) above. is synonymous with and preferred embodiments are also the same.

<酸拡散制御剤>
本発明の感活性光線性又は感放射線性樹脂組成物は、酸拡散制御剤(「酸拡散制御剤(D)」ともいう。)を含有することが好ましい。
酸拡散制御剤(D)は、露光時に酸発生剤等から発生する酸をトラップし、余分な発生酸による、未露光部における酸分解性樹脂の反応を抑制するクエンチャーとして作用するものである。例えば、塩基性化合物(DA)、活性光線又は放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)、酸発生剤に対して相対的に弱酸となるオニウム塩(DC)、窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)、又はカチオン部に窒素原子を有するオニウム塩化合物(DE)等を酸拡散制御剤として使用することができる。
中でも、本発明の感活性光線性又は感放射線性樹脂組成物は、経時後に得られるパターンの直線性の観点から、酸拡散制御剤として、含窒素化合物を含むことが好ましく、含窒素塩基性化合物を含むことがより好ましい。
本発明の感活性光線性又は感放射線性樹脂組成物においては、公知の酸拡散制御剤を適宜使用することができる。例えば、米国特許出願公開第2016/0070167号明細書の段落0627~0664、米国特許出願公開第2015/0004544号明細書の段落0095~0187、米国特許出願公開第2016/0237190号明細書の段落0403~0423、米国特許出願公開第2016/0274458号明細書の段落0259~0328に開示された公知の化合物を酸拡散制御剤(D)として好適に使用できる。
<Acid diffusion control agent>
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention preferably contains an acid diffusion controller (also referred to as "acid diffusion controller (D)").
The acid diffusion control agent (D) traps the acid generated from the acid generator or the like during exposure, and acts as a quencher that suppresses the reaction of the acid-decomposable resin in the unexposed area due to excess generated acid. . For example, a basic compound (DA), a basic compound (DB) whose basicity is reduced or lost by irradiation with actinic rays or radiation, an onium salt (DC) that becomes a relatively weak acid to an acid generator, and a nitrogen atom. and a low-molecular-weight compound (DD) having a group that leaves under the action of an acid, or an onium salt compound (DE) having a nitrogen atom in the cation portion, or the like can be used as an acid diffusion controller.
Among them, the actinic ray-sensitive or radiation-sensitive resin composition of the present invention preferably contains a nitrogen-containing compound as an acid diffusion control agent from the viewpoint of the linearity of the pattern obtained after the passage of time. It is more preferable to include
Known acid diffusion control agents can be appropriately used in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention. For example, paragraphs 0627-0664 of US Patent Application Publication No. 2016/0070167, paragraphs 0095-0187 of US Patent Application Publication No. 2015/0004544, paragraph 0403 of US Patent Application Publication No. 2016/0237190. 0423, paragraphs 0259 to 0328 of US Patent Application Publication No. 2016/0274458 can be suitably used as the acid diffusion control agent (D).

〔塩基性化合物(DA)〕
塩基性化合物(DA)としては、好ましくは、下記式A~式Eで示される構造を有する化合物を挙げることができる。
[Basic compound (DA)]
Preferred examples of the basic compound (DA) include compounds having structures represented by formulas A to E below.

Figure 0007309907000078
Figure 0007309907000078

式A及び式E中、
200、R201及びR202は、同一でも異なってもよく、それぞれ独立に、水素原子、アルキル基(好ましくは炭素数1~20)、シクロアルキル基(好ましくは炭素数3~20)又はアリール基(炭素数6~20)を表す。R201とR202は、互いに結合して環を形成してもよい。
203、R204、R205及びR206は、同一でも異なってもよく、それぞれ独立に、炭素数1~20個のアルキル基を表す。
In Formula A and Formula E,
R 200 , R 201 and R 202 may be the same or different and each independently represents a hydrogen atom, an alkyl group (preferably having 1 to 20 carbon atoms), a cycloalkyl group (preferably having 3 to 20 carbon atoms) or an aryl represents a group (6 to 20 carbon atoms). R 201 and R 202 may combine with each other to form a ring.
R 203 , R 204 , R 205 and R 206 may be the same or different and each independently represents an alkyl group having 1 to 20 carbon atoms.

式A及び式E中のアルキル基は、置換基を有していても無置換であってもよい。
上記アルキル基について、置換基を有するアルキル基としては、炭素数1~20のアミノアルキル基、炭素数1~20のヒドロキシアルキル基、又は炭素数1~20のシアノアルキル基が好ましい。
式A及びE中のアルキル基は、無置換であることがより好ましい。
The alkyl groups in formulas A and E may be substituted or unsubstituted.
Regarding the above alkyl group, the substituted alkyl group is preferably an aminoalkyl group having 1 to 20 carbon atoms, a hydroxyalkyl group having 1 to 20 carbon atoms, or a cyanoalkyl group having 1 to 20 carbon atoms.
More preferably, the alkyl groups in formulas A and E are unsubstituted.

塩基性化合物(DA)としては、グアニジン、アミノピロリジン、ピラゾール、ピラゾリン、ピペラジン、アミノモルホリン、アミノアルキルモルフォリン、又はピペリジン等が好ましく、イミダゾール構造、ジアザビシクロ構造、オニウムヒドロキシド構造、オニウムカルボキシレート構造、トリアルキルアミン構造、アニリン構造若しくはピリジン構造を有する化合物、水酸基及び/若しくはエーテル結合を有するアルキルアミン誘導体、又は水酸基及び/若しくはエーテル結合を有するアニリン誘導体等がより好ましい。 As the basic compound (DA), guanidine, aminopyrrolidine, pyrazole, pyrazoline, piperazine, aminomorpholine, aminoalkylmorpholine, or piperidine are preferable, and imidazole structure, diazabicyclo structure, onium hydroxide structure, onium carboxylate structure, A compound having a trialkylamine structure, an aniline structure or a pyridine structure, an alkylamine derivative having a hydroxyl group and/or an ether bond, or an aniline derivative having a hydroxyl group and/or an ether bond is more preferable.

〔活性光線又は放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)〕
活性光線又は放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)(以下、「化合物(DB)」ともいう。)は、プロトンアクセプター性官能基を有し、かつ、活性光線又は放射線の照射により分解して、プロトンアクセプター性が低下、消失、又はプロトンアクセプター性から酸性に変化する化合物である。
[Basic compound (DB) whose basicity is reduced or lost by irradiation with actinic rays or radiation]
A basic compound (DB) whose basicity is reduced or lost by irradiation with actinic rays or radiation (hereinafter also referred to as "compound (DB)") has a proton acceptor functional group, and actinic rays or It is a compound whose proton acceptor property is reduced or lost, or whose proton acceptor property is changed to acidic by being decomposed by irradiation with radiation.

プロトンアクセプター性官能基とは、プロトンと静電的に相互作用し得る基又は電子を有する官能基であって、例えば、環状ポリエーテル等のマクロサイクリック構造を有する官能基や、π共役に寄与しない非共有電子対をもった窒素原子を有する官能基を意味する。π共役に寄与しない非共有電子対を有する窒素原子とは、例えば、下記式に示す部分構造を有する窒素原子である。 The proton-accepting functional group is a functional group having electrons or a group capable of electrostatically interacting with protons, for example, a functional group having a macrocyclic structure such as cyclic polyether, It means a functional group having a nitrogen atom with a non-contributing lone pair of electrons. A nitrogen atom having a lone pair of electrons that does not contribute to π-conjugation is, for example, a nitrogen atom having a partial structure represented by the following formula.

Figure 0007309907000079
Figure 0007309907000079

プロトンアクセプター性官能基の好ましい部分構造として、例えば、クラウンエーテル、アザクラウンエーテル、第1級~第3級アミン、ピリジン、イミダゾール、及びピラジン構造などを挙げることができる。 Preferred partial structures of proton acceptor functional groups include, for example, crown ether, azacrown ether, primary to tertiary amine, pyridine, imidazole, and pyrazine structures.

化合物(DB)は、活性光線又は放射線の照射により分解してプロトンアクセプター性が低下若しくは消失し、又はプロトンアクセプター性から酸性に変化した化合物を発生する。ここでプロトンアクセプター性の低下若しくは消失、又はプロトンアクセプター性から酸性への変化とは、プロトンアクセプター性官能基にプロトンが付加することに起因するプロトンアクセプター性の変化であり、具体的には、プロトンアクセプター性官能基を有する化合物(DB)とプロトンとからプロトン付加体が生成するとき、その化学平衡における平衡定数が減少することを意味する。
プロトンアクセプター性は、pH測定を行うことによって確認することができる。
The compound (DB) is decomposed by exposure to actinic rays or radiation to reduce or eliminate the proton acceptor property, or to generate a compound whose proton acceptor property is changed to an acidic one. Here, the reduction or disappearance of proton acceptor property, or the change from proton acceptor property to acidity is a change in proton acceptor property due to the addition of protons to the proton acceptor functional group. means that when a proton adduct is produced from a compound (DB) having a proton-accepting functional group and a proton, the equilibrium constant in the chemical equilibrium decreases.
Proton acceptor properties can be confirmed by measuring pH.

〔光酸発生剤に対して相対的に弱酸となるオニウム塩(DC)〕
本発明の感活性光線性又は感放射線性樹脂組成物では、光酸発生剤に対して相対的に弱酸となるオニウム塩(DC)を酸拡散制御剤として使用することができる。
光酸発生剤と、光酸発生剤から生じた酸に対して相対的に弱酸である酸を発生するオニウム塩とを混合して用いた場合、活性光線性又は放射線の照射により光酸発生剤から生じた酸が未反応の弱酸アニオンを有するオニウム塩と衝突すると、塩交換により弱酸を放出して強酸アニオンを有するオニウム塩を生じる。この過程で強酸がより触媒能の低い弱酸に交換されるため、見かけ上、酸が失活して酸拡散の制御を行うことができる。
[Onium salt (DC) that becomes a relatively weak acid with respect to the photoacid generator]
In the actinic ray-sensitive or radiation-sensitive resin composition of the present invention, an onium salt (DC), which is a relatively weak acid relative to the photoacid generator, can be used as an acid diffusion controller.
When a photo-acid generator and an onium salt that generates an acid that is relatively weak to the acid generated from the photo-acid generator are mixed and used, the photo-acid generator is exposed to actinic rays or radiation. When the acid generated from collides with an onium salt with an unreacted weak acid anion, salt exchange releases the weak acid to yield an onium salt with a strong acid anion. In this process, the strong acid is exchanged for a weak acid with a lower catalytic activity, so that the acid is apparently deactivated and acid diffusion can be controlled.

本発明の感活性光線性又は感放射線性樹脂組成物は、焦点深度の許容度及びパターン直線性の観点から、式d1-1~式d1-3により表される化合物よりなる群から選ばれた少なくとも1種の化合物を更に含むことが好ましい。 The actinic ray-sensitive or radiation-sensitive resin composition of the present invention is selected from the group consisting of compounds represented by formulas d1-1 to d1-3 from the viewpoint of depth of focus tolerance and pattern linearity. It preferably further comprises at least one compound.

式d1-1~式d1-3中、R51は置換基を有していてもよい炭化水素基を表し、Z2cは置換基を有していてもよい炭素数1~30の炭化水素基を表し、S原子に隣接する炭素原子にはフッ素原子が結合しないものとし、R52は有機基を表し、Yは直鎖状、分岐鎖状又は環状のアルキレン基又はアリーレン基を表し、Rfはフッ素原子を含む炭化水素基を表し、Mはそれぞれ独立に、アンモニウムカチオン、スルホニウムカチオン又はヨードニウムカチオンを表す。In formulas d1-1 to d1-3, R 51 represents an optionally substituted hydrocarbon group, and Z 2c represents an optionally substituted hydrocarbon group having 1 to 30 carbon atoms. wherein no fluorine atom is bonded to the carbon atom adjacent to the S atom, R 52 represents an organic group, Y 3 represents a linear, branched or cyclic alkylene group or arylene group, and Rf represents a hydrocarbon group containing a fluorine atom, and each M + independently represents an ammonium cation, a sulfonium cation or an iodonium cation.

として表されるスルホニウムカチオン又はヨードニウムカチオンの好ましい例としては、式ZIで例示したスルホニウムカチオン及び式ZIIで例示したヨードニウムカチオンを挙げることができる。Preferred examples of the sulfonium cation or iodonium cation represented by M + include the sulfonium cations exemplified by Formula ZI and the iodonium cations exemplified by Formula ZII.

光酸発生剤に対して相対的に弱酸となるオニウム塩(DC)は、カチオン部位とアニオン部位を同一分子内に有し、かつ、上記カチオン部位とアニオン部位が共有結合により連結している化合物(以下、「化合物(DCA)」ともいう。)であってもよい。
化合物(DCA)としては、下記式C-1~C-3のいずれかで表される化合物であることが好ましい。
An onium salt (DC), which is a relatively weak acid with respect to a photoacid generator, is a compound having a cation site and an anion site in the same molecule, and the cation site and the anion site are linked by a covalent bond. (hereinafter also referred to as “compound (DCA)”).
The compound (DCA) is preferably a compound represented by any one of the following formulas C-1 to C-3.

式C-1~C-3中、R、R、及びRはそれぞれ独立に、炭素数1以上の置換基を表す。
は、カチオン部位とアニオン部位とを連結する2価の連結基又は単結合を表す。
-Xは、-COO、-SO 、-SO 、及び-N-Rから選択されるアニオン部位を表す。Rは、隣接するN原子との連結部位に、カルボニル基(-C(=O)-)、スルホニル基(-S(=O)-)、及びスルフィニル基(-S(=O)-)のうち少なくとも1つを有する1価の置換基を表す。
、R、R、R、及びLは、互いに結合して環構造を形成してもよい。また、式C-3において、R~Rのうち2つを合わせて1つの2価の置換基を表し、N原子と2重結合により結合していてもよい。
In formulas C-1 to C-3, R 1 , R 2 and R 3 each independently represent a substituent having 1 or more carbon atoms.
L1 represents a divalent linking group or a single bond that links the cation site and the anion site.
—X represents an anionic moiety selected from —COO , —SO 3 , —SO 2 , and —N —R 4 . R 4 has a carbonyl group (-C(=O)-), a sulfonyl group (-S(=O) 2 -), and a sulfinyl group (-S(=O)- ) represents a monovalent substituent having at least one of
R 1 , R 2 , R 3 , R 4 and L 1 may combine with each other to form a ring structure. In formula C-3, two of R 1 to R 3 together represent one divalent substituent, which may be bonded to the N atom via a double bond.

~Rにおける炭素数1以上の置換基としては、アルキル基、シクロアルキル基、アリール基、アルキルオキシカルボニル基、シクロアルキルオキシカルボニル基、アリールオキシカルボニル基、アルキルアミノカルボニル基、シクロアルキルアミノカルボニル基、及びアリールアミノカルボニル基などが挙げられる。好ましくは、アルキル基、シクロアルキル基、又はアリール基である。Examples of substituents having 1 or more carbon atoms for R 1 to R 3 include an alkyl group, a cycloalkyl group, an aryl group, an alkyloxycarbonyl group, a cycloalkyloxycarbonyl group, an aryloxycarbonyl group, an alkylaminocarbonyl group, and a cycloalkylamino A carbonyl group, an arylaminocarbonyl group, and the like can be mentioned. An alkyl group, a cycloalkyl group, or an aryl group is preferred.

2価の連結基としてのLは、直鎖若しくは分岐鎖状アルキレン基、シクロアルキレン基、アリーレン基、カルボニル基、エーテル結合、エステル結合、アミド結合、ウレタン結合、ウレア結合、及びこれらの2種以上を組み合わせてなる基等が挙げられる。Lは、好ましくは、アルキレン基、アリーレン基、エーテル結合、エステル結合、又はこれらの2種以上を組み合わせてなる基である。L 1 as a divalent linking group is a linear or branched alkylene group, a cycloalkylene group, an arylene group, a carbonyl group, an ether bond, an ester bond, an amide bond, a urethane bond, a urea bond, and two of these A group formed by combining the above and the like can be mentioned. L 1 is preferably an alkylene group, an arylene group, an ether bond, an ester bond, or a group formed by combining two or more of these.

〔窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)〕
窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)(以下、「化合物(DD)」ともいう。)は、酸の作用により脱離する基を窒素原子上に有するアミン誘導体であることが好ましい。
酸の作用により脱離する基としては、アセタール基、カルボネート基、カルバメート基、3級エステル基、3級水酸基、又はヘミアミナールエーテル基が好ましく、カルバメート基、又はヘミアミナールエーテル基がより好ましい。
化合物(DD)の分子量は、100~1000が好ましく、100~700がより好ましく、100~500が更に好ましい。
化合物(DD)は、窒素原子上に保護基を有するカルバメート基を有してもよい。カルバメート基を構成する保護基としては、下記式d-1で表すことができる。
[Low-molecular-weight compound (DD) having a nitrogen atom and a group that leaves under the action of an acid]
A low-molecular-weight compound (DD) having a nitrogen atom and a group that leaves under the action of an acid (hereinafter also referred to as "compound (DD)") has a group that leaves under the action of an acid on the nitrogen atom. It is preferably an amine derivative having
The group that leaves under the action of an acid is preferably an acetal group, a carbonate group, a carbamate group, a tertiary ester group, a tertiary hydroxyl group, or a hemiaminal ether group, more preferably a carbamate group or a hemiaminal ether group. .
The molecular weight of the compound (DD) is preferably 100-1000, more preferably 100-700, even more preferably 100-500.
Compound (DD) may have a carbamate group with a protecting group on the nitrogen atom. A protective group constituting a carbamate group can be represented by the following formula d-1.

式d-1において、
はそれぞれ独立に、水素原子、アルキル基(好ましくは炭素数1~10)、シクロアルキル基(好ましくは炭素数3~30)、アリール基(好ましくは炭素数3~30)、アラルキル基(好ましくは炭素数1~10)、又はアルコキシアルキル基(好ましくは炭素数1~10)を表す。Rは相互に連結して環を形成していてもよい。
が示すアルキル基、シクロアルキル基、アリール基、及びアラルキル基はそれぞれ独立に、ヒドロキシ基、シアノ基、アミノ基、ピロリジノ基、ピペリジノ基、モルホリノ基、オキソ基等の官能基、アルコキシ基、又はハロゲン原子で置換されていてもよい。Rが示すアルコキシアルキル基についても同様である。
In formula d-1,
Each R b is independently a hydrogen atom, an alkyl group (preferably having 1 to 10 carbon atoms), a cycloalkyl group (preferably having 3 to 30 carbon atoms), an aryl group (preferably having 3 to 30 carbon atoms), an aralkyl group ( preferably 1 to 10 carbon atoms) or an alkoxyalkyl group (preferably 1 to 10 carbon atoms). R b may be linked together to form a ring.
The alkyl group, cycloalkyl group, aryl group, and aralkyl group represented by R b are each independently a functional group such as a hydroxy group, a cyano group, an amino group, a pyrrolidino group, a piperidino group, a morpholino group, an oxo group, an alkoxy group, Or it may be substituted with a halogen atom. The same applies to the alkoxyalkyl group represented by Rb .

としては、直鎖状若しくは分岐状のアルキル基、シクロアルキル基、又はアリール基が好ましく、直鎖状若しくは分岐状のアルキル基、又はシクロアルキル基がより好ましい。
2つのRが相互に連結して形成する環としては、脂環式炭化水素、芳香族炭化水素、複素環式炭化水素及びその誘導体等が挙げられる。
式d-1で表される基の具体的な構造としては、米国特許出願公開第2012/0135348号明細書の段落0466に開示された構造を挙げることができるが、これに限定されない。
Rb is preferably a linear or branched alkyl group, cycloalkyl group or aryl group, more preferably a linear or branched alkyl group or cycloalkyl group.
Examples of the ring formed by connecting two Rb 's to each other include alicyclic hydrocarbons, aromatic hydrocarbons, heterocyclic hydrocarbons and derivatives thereof.
Specific structures of the group represented by formula d-1 include, but are not limited to, structures disclosed in paragraph 0466 of US Patent Application Publication No. 2012/0135348.

化合物(DD)は、下記式6で表される構造を有するものであることが好ましい。 Compound (DD) preferably has a structure represented by Formula 6 below.

式6において、
lは0~2の整数を表し、mは1~3の整数を表し、l+m=3を満たす。
は、水素原子、アルキル基、シクロアルキル基、アリール基又はアラルキル基を表す。lが2のとき、2つのRは同じでも異なっていてもよく、2つのRは相互に連結して式中の窒素原子と共に複素環を形成していてもよい。この複素環には式中の窒素原子以外のヘテロ原子を含んでいてもよい。
は、上記式d-1におけるRと同義であり、好ましい例も同様である。
式6において、Rとしてのアルキル基、シクロアルキル基、アリール基、及びアラルキル基はそれぞれ独立に、Rとしてのアルキル基、シクロアルキル基、アリール基、及びアラルキル基が置換されていてもよい基として上述した基と同様な基で置換されていてもよい。
In Equation 6,
l represents an integer of 0 to 2, m represents an integer of 1 to 3, and satisfies l+m=3.
Ra represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group or an aralkyl group. When l is 2, the two R'a 's may be the same or different, and the two R'a 's may be linked together to form a heterocyclic ring together with the nitrogen atom in the formula. This heterocyclic ring may contain a heteroatom other than the nitrogen atom in the formula.
R b has the same definition as R b in formula d-1 above, and preferred examples are also the same.
In Formula 6, the alkyl group, cycloalkyl group, aryl group, and aralkyl group as R a may be independently substituted with an alkyl group, cycloalkyl group, aryl group, and aralkyl group as R b . It may be substituted with the same groups as the groups described above.

上記Rのアルキル基、シクロアルキル基、アリール基、及びアラルキル基(これらの基は、上記基で置換されていてもよい)の具体例としては、Rについて上述した具体例と同様な基が挙げられる。
本発明において特に好ましい化合物(DD)の具体的な構造としては、米国特許出願公開第2012/0135348号明細書の段落0475に開示された化合物を挙げることができるが、これに限定されるものではない。
Specific examples of the alkyl group, cycloalkyl group, aryl group, and aralkyl group (these groups may be substituted with the above groups) for R a include the same groups as the specific examples described above for R b is mentioned.
Specific structures of the particularly preferred compound (DD) in the present invention include, but are not limited to, compounds disclosed in paragraph 0475 of US Patent Application Publication No. 2012/0135348. do not have.

カチオン部に窒素原子を有するオニウム塩化合物(DE)(以下、「化合物(DE)」ともいう。)は、カチオン部に窒素原子を含む塩基性部位を有する化合物であることが好ましい。塩基性部位は、アミノ基であることが好ましく、脂肪族アミノ基であることがより好ましい。塩基性部位中の窒素原子に隣接する原子の全てが、水素原子又は炭素原子であることが更に好ましい。また、塩基性向上の観点から、窒素原子に対して、電子求引性の官能基(カルボニル基、スルホニル基、シアノ基、及びハロゲン原子など)が直結していないことが好ましい。
化合物(DE)の好ましい具体的な構造としては、米国特許出願公開第2015/0309408号明細書の段落0203に開示された化合物を挙げることができるが、これに限定されない。
The onium salt compound (DE) having a nitrogen atom in the cation moiety (hereinafter also referred to as "compound (DE)") is preferably a compound having a basic site containing a nitrogen atom in the cation moiety. The basic moiety is preferably an amino group, more preferably an aliphatic amino group. More preferably all of the atoms adjacent to the nitrogen atom in the basic moiety are hydrogen atoms or carbon atoms. Moreover, from the viewpoint of improving basicity, it is preferable that an electron-withdrawing functional group (such as a carbonyl group, a sulfonyl group, a cyano group, and a halogen atom) is not directly bonded to the nitrogen atom.
Preferred specific structures of compound (DE) include, but are not limited to, compounds disclosed in paragraph 0203 of US Patent Application Publication No. 2015/0309408.

その他の酸拡散制御剤の好ましい例を以下に示すがこれらに限定されない。また、実施例で使用されている酸拡散制御剤D-1~D-17も挙げられる。 Preferred examples of other acid diffusion control agents are shown below, but are not limited to these. In addition, acid diffusion controllers D-1 to D-17 used in Examples are also included.

Figure 0007309907000084
Figure 0007309907000084

Figure 0007309907000085
Figure 0007309907000085

Figure 0007309907000086
Figure 0007309907000086

Figure 0007309907000087
Figure 0007309907000087

本発明の感活性光線性又は感放射線性樹脂組成物において、酸拡散制御剤は1種単独で使用してもよいし、2種以上を併用してもよい。
酸拡散制御剤の組成物中の含有量(複数種存在する場合はその合計)は、組成物の全固形分を基準として、0.1質量%~20質量%が好ましく、0.1質量%~10質量%がより好ましく、0.1質量%~5質量%が更に好ましい。
In the actinic ray-sensitive or radiation-sensitive resin composition of the present invention, the acid diffusion controller may be used singly or in combination of two or more.
The content of the acid diffusion control agent in the composition (the total if multiple types exist) is preferably 0.1% by mass to 20% by mass, preferably 0.1% by mass, based on the total solid content of the composition. ~10% by mass is more preferable, and 0.1% by mass to 5% by mass is even more preferable.

<溶剤>
本発明の感活性光線性又は感放射線性樹脂組成物は、溶剤(「溶剤(F)」ともいう。)を含むことが好ましく、有機溶剤を含むことがより好ましい。
本発明の感活性光線性又は感放射線性樹脂組成物においては、公知のレジスト溶剤を適宜使用することができる。例えば、米国特許出願公開第2016/0070167号明細書の段落0665~0670、米国特許出願公開第2015/0004544号明細書の段落0210~0235、米国特許出願公開第2016/0237190号明細書の段落0424~0426、米国特許出願公開第2016/0274458号明細書の段落0357~0366に開示された公知の溶剤を好適に使用できる。
組成物を調製する際に使用できる溶剤としては、例えば、アルキレングリコールモノアルキルエーテルカルボキシレート、アルキレングリコールモノアルキルエーテル、乳酸アルキルエステル、アルコキシプロピオン酸アルキル、環状ラクトン(好ましくは炭素数4~10)、環を有してもよいモノケトン化合物(好ましくは炭素数4~10)、アルキレンカーボネート、アルコキシ酢酸アルキル、及びピルビン酸アルキル等の有機溶剤が挙げられる。
<Solvent>
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention preferably contains a solvent (also referred to as "solvent (F)"), more preferably an organic solvent.
Known resist solvents can be appropriately used in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention. For example, paragraphs 0665-0670 of US Patent Application Publication No. 2016/0070167, paragraphs 0210-0235 of US Patent Application Publication No. 2015/0004544, paragraph 0424 of US Patent Application Publication No. 2016/0237190. ˜0426, paragraphs 0357-0366 of US Patent Application Publication No. 2016/0274458 can be suitably used.
Solvents that can be used in preparing the composition include, for example, alkylene glycol monoalkyl ether carboxylate, alkylene glycol monoalkyl ether, alkyl lactate, alkyl alkoxypropionate, cyclic lactone (preferably having 4 to 10 carbon atoms), Organic solvents such as monoketone compounds which may have a ring (preferably having 4 to 10 carbon atoms), alkylene carbonates, alkyl alkoxyacetates, and alkyl pyruvates can be mentioned.

有機溶剤として、構造中に水酸基を含有する溶剤と、水酸基を含有しない溶剤とを混合した混合溶剤を使用してもよい。
水酸基を含有する溶剤、及び水酸基を含有しない溶剤としては、上述の例示化合物を適宜選択できるが、水酸基を含有する溶剤としては、アルキレングリコールモノアルキルエーテル、又は乳酸アルキル等が好ましく、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(PGEE)、2-ヒドロキシイソ酪酸メチル、又は乳酸エチルがより好ましい。また、水酸基を含有しない溶剤としては、アルキレングリコールモノアルキルエーテルアセテート、アルキルアルコキシプロピオネート、環を含有してもよいモノケトン化合物、環状ラクトン、又は酢酸アルキル等が好ましく、これらの中でも、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-アセトキシプロパン)、エチルエトキシプロピオネート、2-ヘプタノン、γ-ブチロラクトン、シクロヘキサノン、シクロペンタノン又は酢酸ブチルがより好ましく、プロピレングリコールモノメチルエーテルアセテート、γ-ブチロラクトン、エチルエトキシプロピオネート、シクロヘキサノン、シクロペンタノン又は2-ヘプタノンが更に好ましい。水酸基を含有しない溶剤としては、プロピレンカーボネートも好ましい。これらの中でも、形成する層の均一性の観点から、溶剤はγ-ブチロラクトンを含むことが特に好ましい。
水酸基を含有する溶剤と水酸基を含有しない溶剤との混合比(質量比)は、1/99~99/1であり、10/90~90/10が好ましく、20/80~60/40がより好ましい。水酸基を含有しない溶剤を50質量%以上含有する混合溶剤が、塗布均一性の点で好ましい。
溶剤は、プロピレングリコールモノメチルエーテルアセテートを含むことが好ましく、プロピレングリコールモノメチルエーテルアセテート単独溶剤でもよいし、プロピレングリコールモノメチルエーテルアセテートを含有する2種類以上の混合溶剤であってもよい。
As the organic solvent, a mixed solvent in which a solvent containing a hydroxyl group in its structure and a solvent containing no hydroxyl group are mixed may be used.
As the solvent containing a hydroxyl group and the solvent not containing a hydroxyl group, the above-described exemplary compounds can be appropriately selected. (1-Methoxy-2-propanol), propylene glycol monoethyl ether (PGEE), methyl 2-hydroxyisobutyrate, or ethyl lactate are more preferred. Further, as the solvent containing no hydroxyl group, alkylene glycol monoalkyl ether acetate, alkylalkoxypropionate, monoketone compound which may contain a ring, cyclic lactone, or alkyl acetate are preferable, and among these, propylene glycol monomethyl Ether acetate (1-methoxy-2-acetoxypropane), ethyl ethoxypropionate, 2-heptanone, γ-butyrolactone, cyclohexanone, cyclopentanone or butyl acetate are more preferred, propylene glycol monomethyl ether acetate, γ-butyrolactone, ethyl More preferred are ethoxypropionate, cyclohexanone, cyclopentanone or 2-heptanone. Propylene carbonate is also preferred as the solvent containing no hydroxyl group. Among these, it is particularly preferable that the solvent contains γ-butyrolactone from the viewpoint of the uniformity of the layer to be formed.
The mixing ratio (mass ratio) of a solvent containing a hydroxyl group and a solvent not containing a hydroxyl group is 1/99 to 99/1, preferably 10/90 to 90/10, more preferably 20/80 to 60/40. preferable. A mixed solvent containing 50% by mass or more of a solvent containing no hydroxyl group is preferable from the viewpoint of coating uniformity.
The solvent preferably contains propylene glycol monomethyl ether acetate, and may be a single solvent of propylene glycol monomethyl ether acetate or a mixed solvent of two or more kinds containing propylene glycol monomethyl ether acetate.

本発明の感活性光線性又は感放射線性樹脂組成物の固形分濃度は、特に制限はないが、0.5質量%~60質量%であることが好ましく、1.0質量%~45質量%であることがより好ましく、1.0質量%~40質量%が更に好ましい。
本発明の感活性光線性又は感放射線性樹脂組成物により形成された膜をKrFエキシマレーザーにより露光する場合は、上記感活性光線性又は感放射線性樹脂組成物の固形分濃度は、10質量%以上であることが好ましく、15質量%以上であることが好ましく、20質量%以上であることが好ましい。
固形分濃度とは、組成物の総質量に対する、溶剤、水、一般式(1)で表される化合物、一般式(2)で表される化合物、及び一般式(3)で表される化合物を除く他のレジスト成分の質量の質量百分率である。
The solid content concentration of the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is not particularly limited, but it is preferably 0.5% by mass to 60% by mass, and 1.0% by mass to 45% by mass. is more preferable, and 1.0% by mass to 40% by mass is even more preferable.
When exposing a film formed from the actinic ray-sensitive or radiation-sensitive resin composition of the present invention with a KrF excimer laser, the solid content concentration of the actinic ray-sensitive or radiation-sensitive resin composition is 10% by mass. or more, preferably 15% by mass or more, and preferably 20% by mass or more.
The solid content concentration refers to the solvent, water, the compound represented by the general formula (1), the compound represented by the general formula (2), and the compound represented by the general formula (3) relative to the total mass of the composition. is the mass percentage of the mass of other resist components excluding

<露光工程>
露光工程は、レジスト膜を光により露光する工程である。
露光方法は、液浸露光であってもよい。
本発明のパターン形成方法は、露光工程を、複数回含んでいてもよい。
露光に用いられる光(活性光線又は放射線)の種類は、光酸発生剤の特性及び得たいパターン形状等を考慮して選択すればよいが、赤外光、可視光、紫外光、遠紫外光、極紫外光(EUV)、X線、及び電子線等が挙げられ、遠紫外光が好ましい。
例えば、波長250nm以下の活性光線が好ましく、220nm以下がより好ましく、1~200nmが更に好ましい。
用いられる光として、具体的には、KrFエキシマレーザー(248nm)、ArFエキシマレーザー(193nm)、Fエキシマレーザー(157nm)、X線、EUV(13nm)、又は電子線等であり、ArFエキシマレーザー、EUV又は電子線が好ましい。
<Exposure process>
The exposure step is a step of exposing the resist film to light.
The exposure method may be liquid immersion exposure.
The pattern forming method of the present invention may include the exposure step multiple times.
The type of light (actinic ray or radiation) used for exposure may be selected in consideration of the characteristics of the photoacid generator and the desired pattern shape. , extreme ultraviolet light (EUV), X-rays, and electron beams, and far ultraviolet light is preferred.
For example, actinic rays with a wavelength of 250 nm or less are preferable, 220 nm or less is more preferable, and 1 to 200 nm is even more preferable.
Specific examples of the light used include KrF excimer laser (248 nm), ArF excimer laser (193 nm), F2 excimer laser (157 nm), X-rays, EUV (13 nm), electron beams, and the like. , EUV or electron beam are preferred.

<架橋剤>
本発明の感活性光線性又は感放射線性樹脂組成物は、酸の作用により樹脂を架橋する化合物(以下、架橋剤(G)ともいう。)を含有してもよい。
架橋剤(G)としては、公知の化合物を適宜に使用することができる。例えば、米国特許出願公開第2016/0147154号明細書の段落0379~0431、米国特許出願公開第2016/0282720号明細書の段落0064~0141に開示された公知の化合物を架橋剤(G)として好適に使用できる。
架橋剤(G)は、樹脂を架橋しうる架橋性基を有している化合物であり、架橋性基としては、ヒドロキシメチル基、アルコキシメチル基、アシルオキシメチル基、アルコキシメチルエーテル基、オキシラン環、及びオキセタン環などを挙げることができる。
架橋性基は、ヒドロキシメチル基、アルコキシメチル基、オキシラン環又はオキセタン環であることが好ましい。
架橋剤(G)は、架橋性基を2個以上有する化合物(樹脂も含む)であることが好ましい。
架橋剤(G)は、ヒドロキシメチル基又はアルコキシメチル基を有する、フェノール誘導体、ウレア系化合物(ウレア構造を有する化合物)又はメラミン系化合物(メラミン構造を有する化合物)であることがより好ましい。
架橋剤は1種単独で用いてもよく、2種以上を併用してもよい。
架橋剤(G)の含有量は、組成物の全固形分に対して、1質量%~50質量%が好ましく、3質量%~40質量%がより好ましく、5質量%~30質量%が更に好ましい。
<Crosslinking agent>
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention may contain a compound that cross-links the resin by the action of an acid (hereinafter also referred to as a cross-linking agent (G)).
As the cross-linking agent (G), known compounds can be appropriately used. For example, known compounds disclosed in paragraphs 0379 to 0431 of US Patent Application Publication No. 2016/0147154 and paragraphs 0064 to 0141 of US Patent Application Publication No. 2016/0282720 are suitable as crosslinkers (G) can be used for
The cross-linking agent (G) is a compound having a cross-linkable group capable of cross-linking the resin. and an oxetane ring.
The crosslinkable group is preferably a hydroxymethyl group, an alkoxymethyl group, an oxirane ring or an oxetane ring.
The cross-linking agent (G) is preferably a compound (including resin) having two or more cross-linkable groups.
The cross-linking agent (G) is more preferably a phenol derivative, a urea compound (compound having a urea structure) or a melamine compound (compound having a melamine structure) having a hydroxymethyl group or an alkoxymethyl group.
The cross-linking agents may be used singly or in combination of two or more.
The content of the cross-linking agent (G) is preferably 1% by mass to 50% by mass, more preferably 3% by mass to 40% by mass, more preferably 5% by mass to 30% by mass, relative to the total solid content of the composition. preferable.

<界面活性剤>
本発明の感活性光線性又は感放射線性樹脂組成物は、界面活性剤(「界面活性剤(H)」ともいう。)を含有してもよいし、含有しなくてもよい。界面活性剤を含有する場合、フッ素系及びシリコーン系界面活性剤(具体的には、フッ素系界面活性剤、シリコーン系界面活性剤、又はフッ素原子とケイ素原子との両方を有する界面活性剤)の少なくとも一方を含有することが好ましい。
<Surfactant>
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention may or may not contain a surfactant (also referred to as "surfactant (H)"). When a surfactant is contained, fluorine-based and silicone-based surfactants (specifically, fluorine-based surfactants, silicone-based surfactants, or surfactants having both fluorine atoms and silicon atoms) It is preferable to contain at least one.

本発明の感活性光線性又は感放射線性樹脂組成物が界面活性剤を含有することにより、波長250nm以下、特に波長220nm以下の露光光源を使用した場合に、良好な感度及び解像度で、密着性及び現像欠陥の少ないレジストパターンを得ることができる。
フッ素系又はシリコーン系界面活性剤として、米国特許出願公開第2008/0248425号明細書の段落0276に記載の界面活性剤が挙げることができる。
また、米国特許出願公開第2008/0248425号明細書の段落0280に記載の、フッ素系又はシリコーン系界面活性剤以外の他の界面活性剤を使用することもできる。
By containing a surfactant, the actinic ray-sensitive or radiation-sensitive resin composition of the present invention has good sensitivity and resolution when using an exposure light source with a wavelength of 250 nm or less, particularly 220 nm or less, and has good adhesion. And a resist pattern with few development defects can be obtained.
Fluorine-based or silicone-based surfactants may include surfactants described in paragraph 0276 of US Patent Application Publication No. 2008/0248425.
Also, other surfactants besides the fluoro- or silicone-based surfactants described in paragraph 0280 of US2008/0248425 can be used.

これらの界面活性剤は1種単独で用いてもよく、2種以上を併用してもよい。
本発明の感活性光線性又は感放射線性樹脂組成物が界面活性剤を含有する場合、界面活性剤の含有量は、組成物の全固形分に対して、0.0001質量%~2質量%が好ましく、0.0005質量%~1質量%がより好ましい。
一方、界面活性剤の含有量が、組成物の全固形分に対して0.0001質量%以上とすることにより、疎水性樹脂の表面偏在性が上がる。それにより、感活性光線性又は感放射線性膜の表面をより疎水的にすることができ、液浸露光時の水追随性が向上する。
These surfactants may be used singly or in combination of two or more.
When the actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains a surfactant, the content of the surfactant is 0.0001% by mass to 2% by mass with respect to the total solid content of the composition. is preferred, and 0.0005% by mass to 1% by mass is more preferred.
On the other hand, when the content of the surfactant is 0.0001% by mass or more with respect to the total solid content of the composition, the uneven surface distribution of the hydrophobic resin increases. As a result, the surface of the actinic ray-sensitive or radiation-sensitive film can be made more hydrophobic, and the water followability during immersion exposure is improved.

<その他の添加剤>
本発明の感活性光線性又は感放射線性樹脂組成物は、更に、その他の公知の添加剤を含んでいてもよい。
その他の添加剤としては、酸増殖剤、染料、可塑剤、光増感剤、光吸収剤、アルカリ可溶性樹脂、溶解阻止剤、溶解促進剤等が挙げられる。
<Other additives>
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention may further contain other known additives.
Other additives include acid multipliers, dyes, plasticizers, photosensitizers, light absorbers, alkali-soluble resins, dissolution inhibitors, dissolution accelerators, and the like.

本発明の感活性光線性又は感放射線性樹脂組成物は、上記の成分を所定の有機溶剤、好ましくは上記混合溶剤に溶解し、これをフィルター濾過した後、例えば、所定の支持体(基板)上に塗布して用いることが好ましい。
フィルター濾過に用いるフィルターのポアサイズ(孔径)は0.2μm以下が好ましく、0.05μm以下がより好ましく、0.03μm以下が更に好ましい。
また、感活性光線性又は感放射線性樹脂組成物の固形分濃度が高い場合(例えば、25質量%以上)は、フィルター濾過に用いるフィルターのポアサイズは、3μm以下が好ましく、0.5μm以下がより好ましく、0.3μm以下が更に好ましい。
上記フィルターは、ポリテトラフルオロエチレン製、ポリエチレン製、又はナイロン製のものが好ましい。フィルター濾過においては、例えば特開2002-62667号公報に開示されるように、循環的な濾過を行ってもよく、複数種類のフィルターを直列又は並列に接続して濾過を行ってもよい。また、組成物を複数回濾過してもよい。更に、フィルター濾過の前後で、組成物に対して脱気処理等を行ってもよい。
The actinic ray-sensitive or radiation-sensitive resin composition of the present invention is prepared by dissolving the above-described components in a predetermined organic solvent, preferably in the above-described mixed solvent, and filtering the solution through a filter. It is preferable to use it by applying it on top.
The pore size (pore size) of the filter used for filtration is preferably 0.2 µm or less, more preferably 0.05 µm or less, and even more preferably 0.03 µm or less.
In addition, when the solid content concentration of the actinic ray-sensitive or radiation-sensitive resin composition is high (for example, 25% by mass or more), the pore size of the filter used for filtering is preferably 3 μm or less, more preferably 0.5 μm or less. It is preferably 0.3 μm or less, and more preferably 0.3 μm or less.
The filter is preferably made of polytetrafluoroethylene, polyethylene, or nylon. In filter filtration, for example, as disclosed in JP-A-2002-62667, cyclic filtration may be performed, or filtration may be performed by connecting multiple types of filters in series or in parallel. Also, the composition may be filtered multiple times. Furthermore, before and after filtration, the composition may be subjected to a degassing treatment or the like.

本発明の感活性光線性又は感放射線性樹脂組成物からなるレジスト膜の膜厚は、特に限定されないが、解像力向上の観点から、90nm以下が好ましく、85nm以下がより好ましい。組成物中の固形分濃度を適切な範囲に設定して適度な粘度をもたせ、塗布性又は製膜性を向上させることにより、このような膜厚とすることができる。 Although the film thickness of the resist film made of the actinic ray-sensitive or radiation-sensitive resin composition of the present invention is not particularly limited, it is preferably 90 nm or less, more preferably 85 nm or less, from the viewpoint of improving resolution. Such a film thickness can be obtained by setting the solid content concentration in the composition to an appropriate range to give an appropriate viscosity and improve the coatability or film-forming property.

<用途>
本発明の感活性光線性又は感放射線性樹脂組成物は、光の照射により反応して性質が変化する感活性光線性又は感放射線性樹脂組成物である。更に詳しくは、本発明の感活性光線性又は感放射線性樹脂組成物は、IC(Integrated Circuit)等の半導体製造工程、液晶若しくはサーマルヘッド等の回路基板の製造、インプリント用モールド構造体の作製、その他のフォトファブリケーション工程、又は、平版印刷版若しくは酸硬化性組成物の製造に使用される感活性光線性又は感放射線性樹脂組成物に関する。本発明の感活性光線性又は感放射線性樹脂組成物により形成されるレジストパターンは、エッチング工程、イオンインプランテーション工程、バンプ電極形成工程、再配線形成工程、及び、MEMS(Micro Electro Mechanical Systems)等において使用することができる。
<Application>
The actinic ray- or radiation-sensitive resin composition of the present invention is an actinic ray- or radiation-sensitive resin composition that reacts with irradiation of light to change its properties. More specifically, the actinic ray-sensitive or radiation-sensitive resin composition of the present invention can be used in semiconductor manufacturing processes such as ICs (Integrated Circuits), manufacturing circuit boards such as liquid crystals or thermal heads, and manufacturing imprint mold structures. , other photofabrication processes, or actinic ray- or radiation-sensitive resin compositions used in the manufacture of lithographic printing plates or acid-curable compositions. The resist pattern formed by the actinic ray-sensitive or radiation-sensitive resin composition of the present invention can be formed through an etching process, an ion implantation process, a bump electrode forming process, a rewiring forming process, MEMS (Micro Electro Mechanical Systems), and the like. can be used in

本発明のレジスト組成物は、EUV光用感光性組成物としても好適に用いられる。
EUV光は波長13.5nmであり、ArF(波長193nm)光等に比べて、より短波長であるため、同じ感度で露光された際の入射フォトン数が少ない。そのため、確率的にフォトンの数がばらつく“フォトンショットノイズ”の影響が大きく、LER(Line Edge Roughness)の悪化およびブリッジ欠陥を招く。フォトンショットノイズを減らすには、露光量を大きくして入射フォトン数を増やす方法があるが、高感度化の要求とトレードオフとなる。
The resist composition of the present invention is also suitably used as a photosensitive composition for EUV light.
EUV light has a wavelength of 13.5 nm, which is shorter than ArF (wavelength 193 nm) light and the like, so the number of incident photons is smaller when exposed with the same sensitivity. Therefore, the influence of "photon shot noise", in which the number of photons stochastically varies, is large, leading to deterioration of LER (Line Edge Roughness) and bridging defects. To reduce the photon shot noise, there is a method of increasing the number of incident photons by increasing the amount of exposure, but this is a trade-off with the demand for higher sensitivity.

下記式(1)で求められるA値が高い場合は、レジスト組成物より形成されるレジスト膜のEUV光及び電子線の吸収効率が高くなるなり、フォトンショットノイズの低減に有効である。A値は、レジスト膜の質量割合のEUV光及び電子線の吸収効率を表す。式(1):A=([H]×0.04+[C]×1.0+[N]×2.1+[O]×3.6+[F]×5.6+[S]×1.5+[I]×39.5)/([H]×1+[C]×12+[N]×14+[O]×16+[F]×19+[S]×32+[I]×127)
A値は0.120以上が好ましい。上限は特に制限されないが、A値が大きすぎる場合、レジスト膜のEUV光及び電子線透過率が低下し、レジスト膜中の光学像プロファイルが劣化し、結果として良好なパターン形状が得られにくくなるため、0.240以下が好ましく、0.220以下がより好ましい。
When the A value obtained by the following formula (1) is high, the EUV light and electron beam absorption efficiency of the resist film formed from the resist composition increases, which is effective in reducing photon shot noise. The A value represents the absorption efficiency of the EUV light and the electron beam relative to the mass ratio of the resist film. Formula (1): A = ([H] x 0.04 + [C] x 1.0 + [N] x 2.1 + [O] x 3.6 + [F] x 5.6 + [S] x 1.5 + [I] × 39.5) / ([H] × 1 + [C] × 12 + [N] × 14 + [O] × 16 + [F] × 19 + [S] × 32 + [I] × 127)
The A value is preferably 0.120 or more. The upper limit is not particularly limited, but if the A value is too large, the EUV light and electron beam transmittance of the resist film will decrease, the optical image profile in the resist film will deteriorate, and as a result, it will be difficult to obtain a good pattern shape. Therefore, 0.240 or less is preferable, and 0.220 or less is more preferable.

なお、式(1)中、[H]は、感活性光線性又は感放射線性樹脂組成物中の全固形分の全原子に対する、全固形分由来の水素原子のモル比率を表し、[C]は、感活性光線性又は感放射線性樹脂組成物中の全固形分の全原子に対する、全固形分由来の炭素原子のモル比率を表し、[N]は、感活性光線性又は感放射線性樹脂組成物中の全固形分の全原子に対する、全固形分由来の窒素原子のモル比率を表し、[O]は、感活性光線性又は感放射線性樹脂組成物中の全固形分の全原子に対する、全固形分由来の酸素原子のモル比率を表し、[F]は、感活性光線性又は感放射線性樹脂組成物中の全固形分の全原子に対する、全固形分由来のフッ素原子のモル比率を表し、[S]は、感活性光線性又は感放射線性樹脂組成物中の全固形分の全原子に対する、全固形分由来の硫黄原子のモル比率を表し、[I]は、感活性光線性又は感放射線性樹脂組成物中の全固形分の全原子に対する、全固形分由来のヨウ素原子のモル比率を表す。
例えば、レジスト組成物が酸の作用により極性が増大する樹脂(酸分解性樹脂)、光酸発生剤、酸拡散制御剤、及び溶剤を含む場合、上記樹脂、上記光酸発生剤、及び上記酸拡散制御剤が固形分に該当する。つまり、全固形分の全原子とは、上記樹脂由来の全原子、上記光酸発生剤由来の全原子、及び上記酸拡散制御剤由来の全原子の合計に該当する。例えば、[H]は、全固形分の全原子に対する、全固形分由来の水素原子のモル比率を表し、上記例に基づいて説明すると、[H]は、上記樹脂由来の全原子、上記光酸発生剤由来の全原子、及び上記酸拡散制御剤由来の全原子の合計に対する、上記樹脂由来の水素原子、上記光酸発生剤由来の水素原子、及び上記酸拡散制御剤由来の水素原子の合計のモル比率を表すことになる。
In the formula (1), [H] represents the molar ratio of hydrogen atoms derived from the total solid content to the total atoms of the total solid content in the actinic ray-sensitive or radiation-sensitive resin composition, and [C] represents the molar ratio of carbon atoms derived from the total solid content to the total atoms of the total solid content in the actinic ray-sensitive or radiation-sensitive resin composition, [N] is the actinic ray-sensitive or radiation-sensitive resin Represents the molar ratio of nitrogen atoms derived from the total solid content with respect to the total atoms of the total solid content in the composition, [O] is the total atoms of the total solid content in the actinic ray-sensitive or radiation-sensitive resin composition , represents the molar ratio of oxygen atoms derived from the total solid content, and [F] represents the molar ratio of fluorine atoms derived from the total solid content to the total atoms of the total solid content in the actinic ray-sensitive or radiation-sensitive resin composition. represents, [S] represents the molar ratio of sulfur atoms derived from the total solid content to the total atoms of the total solid content in the actinic ray-sensitive or radiation-sensitive resin composition, [I] is the actinic ray-sensitive represents the molar ratio of iodine atoms derived from the total solid content to the total atoms of the total solid content in the curable or radiation-sensitive resin composition.
For example, when the resist composition contains a resin whose polarity increases under the action of acid (acid-decomposable resin), a photoacid generator, an acid diffusion controller, and a solvent, the resin, the photoacid generator, and the acid A diffusion control agent corresponds to solid content. That is, the total atoms of the total solid content correspond to the sum of all atoms derived from the resin, all atoms derived from the photoacid generator, and all atoms derived from the acid diffusion control agent. For example, [H] represents the molar ratio of hydrogen atoms derived from the total solid content to the total atoms of the total solid content. The ratio of hydrogen atoms derived from the resin, hydrogen atoms derived from the photo-acid generator, and hydrogen atoms derived from the acid diffusion controller to the sum of all atoms derived from the acid generator and all atoms derived from the acid diffusion controller It will represent the total molar ratio.

A値の算出は、レジスト組成物中の全固形分の構成成分の構造、及び含有量が既知の場合には、含有される原子数比を計算し、算出できる。また、構成成分が未知の場合であっても、レジスト組成物の溶剤成分を蒸発させて得られたレジスト膜に対して、元素分析等の解析的な手法によって構成原子数比を算出可能である。 The A value can be calculated by calculating the atomic number ratios contained when the structures and contents of the constituent components of the total solid content in the resist composition are known. Further, even if the constituent components are unknown, the constituent atomic number ratio can be calculated by analytical methods such as elemental analysis for the resist film obtained by evaporating the solvent component of the resist composition. .

(感活性光線性又は感放射線性膜)
本発明における感活性光線性又は感放射線性膜(好ましくは、レジスト膜)は、本発明の感活性光線性又は感放射線性樹脂組成物により形成された膜である。本発明における感活性光線性又は感放射線性膜は、本発明の感活性光線性又は感放射線性樹脂組成物の固化物である。
本発明における固化物とは、本発明の感活性光線性又は感放射線性樹脂組成物から溶剤を少なくとも1部除去したものであればよい。
具体的には、本発明における感活性光線性又は感放射線性膜は、例えば、基板等の支持体上に本発明の感活性光線性又は感放射線性樹脂組成物を塗布した後に、乾燥することにより得られる。
上記乾燥とは、本発明の感活性光線性又は感放射線性樹脂組成物に含まれる溶剤の少なくとも一部を除去することをいう。
乾燥方法は特に限定されず、公知の方法が使用されるが、加熱(例えば、70℃~130℃、30秒~300秒間)による乾燥等が挙げられる。
加熱方法としては特に限定されず、公知の加熱手段が用いられるが、例えば、ヒーター、オーブン、ホットプレート、赤外線ランプ、赤外線レーザー等が挙げられる。
(Actinic ray-sensitive or radiation-sensitive film)
The actinic ray-sensitive or radiation-sensitive film (preferably, resist film) in the present invention is a film formed from the actinic ray-sensitive or radiation-sensitive resin composition of the present invention. The actinic ray-sensitive or radiation-sensitive film in the present invention is a solidified product of the actinic ray-sensitive or radiation-sensitive resin composition of the present invention.
The solidified product in the present invention may be obtained by removing at least part of the solvent from the actinic ray-sensitive or radiation-sensitive resin composition of the present invention.
Specifically, the actinic ray-sensitive or radiation-sensitive film in the present invention is dried after coating the actinic ray-sensitive or radiation-sensitive resin composition of the present invention on a support such as a substrate. obtained by
The drying means removing at least part of the solvent contained in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention.
The drying method is not particularly limited, and a known method can be used, including drying by heating (eg, 70° C. to 130° C., 30 seconds to 300 seconds).
The heating method is not particularly limited, and known heating means can be used. Examples thereof include heaters, ovens, hot plates, infrared lamps, infrared lasers, and the like.

本発明における感活性光線性又は感放射線性膜に含まれる成分は、本発明の感活性光線性又は感放射線性樹脂組成物に含まれる成分のうち、溶剤を除いた成分と同様であり、好ましい態様も同様である。
本発明における感活性光線性又は感放射線性膜に含まれる各成分の含有量は、本発明の感活性光線性又は感放射線性樹脂組成物の溶剤以外の各成分の含有量の説明における「全固形分」の記載を、「感活性光線性又は感放射線性膜の全質量」に読み替えたものに相当する。
The components contained in the actinic ray-sensitive or radiation-sensitive film of the present invention are the same as the components contained in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention, excluding the solvent, and are preferred. Aspects are also the same.
The content of each component contained in the actinic ray-sensitive or radiation-sensitive film in the present invention refers to the content of each component other than the solvent in the actinic ray-sensitive or radiation-sensitive resin composition of the present invention. "solid content" is read as "total mass of actinic ray-sensitive or radiation-sensitive film".

本発明における感活性光線性又は感放射線性膜の厚さは、特に限定されないが、1nm~700nmであることが好ましく、30nm~500nmであることがより好ましく、50nm~150nmであることが更に好ましく、80nm~130nmであることが特に好ましい。
また、メモリデバイスの三次元化に伴い、厚い感活性光線性又は感放射線性膜を形成したい場合には、例えば、2μm以上であることが好ましく、2μm以上50μm以下であることがより好ましく、2μm以上20μm以下であることが更に好ましい。
The thickness of the actinic ray-sensitive or radiation-sensitive film in the present invention is not particularly limited, but is preferably 1 nm to 700 nm, more preferably 30 nm to 500 nm, even more preferably 50 nm to 150 nm. , 80 nm to 130 nm.
In addition, when it is desired to form a thick actinic ray-sensitive or radiation-sensitive film as memory devices become three-dimensional, for example, the thickness is preferably 2 μm or more, more preferably 2 μm or more and 50 μm or less, and 2 μm. More preferably, the thickness is 20 μm or more.

(パターン形成方法)
本発明のパターン形成方法は、
本発明における感活性光線性又は感放射線性膜(好ましくは、レジスト膜)を活性光線又は放射線により露光する工程(露光工程)、及び、
上記露光する工程後の感活性光線性又は感放射線性膜を、現像液を用いて現像する工程(現像工程)、を含むことが好ましい。
また、本発明のパターン形成方法は、本発明の感活性光線性又は感放射線性樹脂組成物によって感活性光線性又は感放射線性膜を支持体上に形成する工程(成膜工程)、
上記感活性光線性又は感放射線性膜を活性光線又は放射線により露光する工程(露光工程)、及び、
上記露光する工程後の感活性光線性又は感放射線性膜を、現像液を用いて現像する工程(現像工程)、を含む方法であってもよい。
(Pattern formation method)
The pattern forming method of the present invention comprises
A step of exposing the actinic ray-sensitive or radiation-sensitive film (preferably a resist film) in the present invention to actinic rays or radiation (exposure step), and
It is preferable to include a step of developing the actinic ray-sensitive or radiation-sensitive film after the exposing step using a developer (developing step).
Further, the pattern forming method of the present invention includes a step of forming an actinic ray-sensitive or radiation-sensitive film on a support using the actinic ray-sensitive or radiation-sensitive resin composition of the present invention (film-forming step);
a step of exposing the actinic ray-sensitive or radiation-sensitive film to actinic rays or radiation (exposure step);
The method may include a step of developing the actinic ray-sensitive or radiation-sensitive film after the exposing step with a developer (developing step).

<成膜工程>
本発明のパターン形成方法は、成膜工程を含んでもよい。成膜工程における感活性光線性又は感放射線性膜の形成方法としては、例えば、上述の感活性光線性又は感放射線性膜の項目で述べた乾燥による感活性光線性又は感放射線性膜の形成方法が挙げられる。
<Film formation process>
The pattern formation method of the present invention may include a film formation step. As a method for forming an actinic ray-sensitive or radiation-sensitive film in the film formation step, for example, the actinic ray-sensitive or radiation-sensitive film is formed by drying as described in the item of the actinic ray-sensitive or radiation-sensitive film above. method.

〔支持体〕
支持体は、特に限定されるものではなく、IC等の半導体の製造工程、又は液晶若しくはサーマルヘッド等の回路基板の製造工程のほか、その他のフォトファブリケーションのリソグラフィー工程等で一般的に用いられる基板を用いることができる。支持体の具体例としては、シリコン、SiO、及びSiN等の無機基板等が挙げられる。
[Support]
The support is not particularly limited, and is generally used in the manufacturing process of semiconductors such as ICs, the manufacturing process of circuit boards such as liquid crystals or thermal heads, and other lithography processes for photofabrication. A substrate can be used. Specific examples of the support include inorganic substrates such as silicon, SiO 2 , and SiN.

<露光工程>
露光工程は、感活性光線性又は感放射線性膜を光により露光する工程である。
露光方法は、液浸露光であってもよい。
本発明のパターン形成方法は、露光工程を、複数回含んでいてもよい。
露光に用いられる光(活性光線又は放射線)の種類は、光酸発生剤の特性及び得たいパターン形状等を考慮して選択すればよいが、赤外光、可視光、紫外光、遠紫外光、極紫外光(EUV)、X線、及び電子線等が挙げられ、遠紫外光が好ましい。
例えば、波長250nm以下の活性光線が好ましく、220nm以下がより好ましく、1~200nmが更に好ましい。
用いられる光として、具体的には、KrFエキシマレーザー(248nm)、ArFエキシマレーザー(193nm)、Fエキシマレーザー(157nm)、X線、EUV(13nm)、又は電子線等であり、ArFエキシマレーザー、EUV又は電子線が好ましい。
中でも、露光する工程における露光は、フッ化アルゴンレーザーを用いた液浸露光により行われることが好ましい。
露光量としては、5mJ/cm~200mJ/cmであることが好ましく、10mJ/cm~100mJ/cmであることがより好ましい。
<Exposure process>
The exposure step is a step of exposing the actinic ray-sensitive or radiation-sensitive film to light.
The exposure method may be liquid immersion exposure.
The pattern forming method of the present invention may include the exposure step multiple times.
The type of light (actinic ray or radiation) used for exposure may be selected in consideration of the characteristics of the photoacid generator and the desired pattern shape. , extreme ultraviolet light (EUV), X-rays, and electron beams, and far ultraviolet light is preferred.
For example, actinic rays having a wavelength of 250 nm or less are preferable, 220 nm or less is more preferable, and 1 to 200 nm is even more preferable.
Specifically, the light used is KrF excimer laser (248 nm), ArF excimer laser (193 nm), F2 excimer laser (157 nm), X-ray, EUV (13 nm), electron beam, etc. ArF excimer laser , EUV or electron beam are preferred.
Among them, the exposure in the exposing step is preferably performed by liquid immersion exposure using an argon fluoride laser.
The exposure amount is preferably 5 mJ/cm 2 to 200 mJ/cm 2 , more preferably 10 mJ/cm 2 to 100 mJ/cm 2 .

<現像工程>
現像工程において使用される現像液は、アルカリ現像液であっても、有機溶剤を含有する現像液(以下、有機系現像液ともいう。)であってもよく、アルカリ水溶液であることが好ましい。
<Development process>
The developer used in the development step may be an alkaline developer or a developer containing an organic solvent (hereinafter also referred to as an organic developer), and is preferably an aqueous alkaline solution.

〔アルカリ現像液〕
アルカリ現像液としては、テトラメチルアンモニウムヒドロキシドに代表される第4級アンモニウム塩が好ましく用いられるが、これ以外にも無機アルカリ、第1級~第3級アミン、アルカノールアミン、及び環状アミン等のアルカリ水溶液も使用可能である。
更に、上記アルカリ現像液は、アルコール類、及び界面活性剤の少なくとも1種を適当量含有してもよい。アルカリ現像液のアルカリ濃度は、0.1質量%~20質量%であることが好ましい。アルカリ現像液のpHは、10~15であることが好ましい。
アルカリ現像液を用いて現像を行う時間は、10秒~300秒であることが好ましい。
アルカリ現像液のアルカリ濃度、pH、及び現像時間は、形成するパターンに応じて、適宜調整することができる。
[Alkaline developer]
As the alkaline developer, quaternary ammonium salts typified by tetramethylammonium hydroxide are preferably used. Aqueous alkaline solutions can also be used.
Furthermore, the alkaline developer may contain an appropriate amount of at least one of alcohols and surfactants. The alkali concentration of the alkali developer is preferably 0.1% by mass to 20% by mass. The pH of the alkaline developer is preferably 10-15.
The time for developing with an alkaline developer is preferably 10 seconds to 300 seconds.
The alkali concentration, pH, and development time of the alkali developer can be appropriately adjusted according to the pattern to be formed.

〔有機系現像液〕
有機系現像液は、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤、及び炭化水素系溶剤からなる群より選択される少なくとも1種の有機溶剤を含有する現像液であることが好ましい。
[Organic developer]
The organic developer is a developer containing at least one organic solvent selected from the group consisting of ketone solvents, ester solvents, alcohol solvents, amide solvents, ether solvents, and hydrocarbon solvents. Preferably.

-ケトン系溶剤-
ケトン系溶剤としては、例えば、1-オクタノン、2-オクタノン、1-ノナノン、2-ノナノン、アセトン、2-ヘプタノン(メチルアミルケトン)、4-ヘプタノン、1-ヘキサノン、2-ヘキサノン、ジイソブチルケトン、シクロヘキサノン、メチルシクロヘキサノン、フェニルアセトン、メチルエチルケトン、メチルイソブチルケトン、アセチルアセトン、アセトニルアセトン、イオノン、ジアセトニルアルコール、アセチルカービノール、アセトフェノン、メチルナフチルケトン、イソホロン、及びプロピレンカーボネート等を挙げることができる。
-Ketone solvent-
Ketone solvents include, for example, 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 2-heptanone (methyl amyl ketone), 4-heptanone, 1-hexanone, 2-hexanone, diisobutyl ketone, Cyclohexanone, methylcyclohexanone, phenylacetone, methylethylketone, methylisobutylketone, acetylacetone, acetonylacetone, ionone, diacetonyl alcohol, acetylcarbinol, acetophenone, methylnaphthylketone, isophorone, and propylene carbonate.

-エステル系溶剤-
エステル系溶剤としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸ペンチル、酢酸イソペンチル、酢酸アミル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、エチルー3-エトキシプロピオネート、3-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、ブタン酸ブチル、2-ヒドロキシイソ酪酸メチル、酢酸イソアミル、イソ酪酸イソブチル、及びプロピオン酸ブチル等を挙げることができる。
-Ester-based solvent-
Examples of ester solvents include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, pentyl acetate, isopentyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, and diethylene glycol monoethyl. ether acetate, ethyl-3-ethoxypropionate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate, propyl lactate, butanoic acid Butyl, methyl 2-hydroxyisobutyrate, isoamyl acetate, isobutyl isobutyrate, butyl propionate and the like can be mentioned.

-その他の溶剤-
アルコール系溶剤、アミド系溶剤、エーテル系溶剤、及び炭化水素系溶剤としては、米国特許出願公開第2016/0070167号明細書の段落0715~0718に開示された溶剤を使用できる。
-Other solvents-
As alcohol-based solvents, amide-based solvents, ether-based solvents, and hydrocarbon-based solvents, solvents disclosed in paragraphs 0715 to 0718 of US Patent Application Publication No. 2016/0070167 can be used.

上記の溶剤は、複数混合してもよいし、上記以外の溶剤又は水と混合してもよい。現像液全体としての含水率は、50質量%未満が好ましく、20質量%未満がより好ましく、10質量%未満であることが更に好ましく、実質的に水を含有しないことが特に好ましい。
有機系現像液における有機溶剤の含有量は、現像液の全量に対して、50質量%以上100質量%以下が好ましく、80質量%以上100質量%以下がより好ましく、90質量%以上100質量%以下が更に好ましく、95質量%以上100質量%以下が特に好ましい。
A plurality of the above solvents may be mixed, or may be mixed with a solvent other than the above or water. The water content of the developer as a whole is preferably less than 50% by mass, more preferably less than 20% by mass, even more preferably less than 10% by mass, and particularly preferably substantially free of water.
The content of the organic solvent in the organic developer is preferably 50% by mass or more and 100% by mass or less, more preferably 80% by mass or more and 100% by mass or less, and 90% by mass or more and 100% by mass with respect to the total amount of the developer. The following are more preferable, and 95% by mass or more and 100% by mass or less are particularly preferable.

-界面活性剤-
有機系現像液は、必要に応じて公知の界面活性剤を適当量含有できる。
界面活性剤の含有量は、現像液の全質量に対して、0.001質量%~5質量%が好ましく、0.005質量%~2質量%がより好ましく、0.01質量%~0.5質量%が更に好ましい。
-Surfactant-
The organic developer can contain a suitable amount of a known surfactant as required.
The content of the surfactant is preferably 0.001% by mass to 5% by mass, more preferably 0.005% by mass to 2% by mass, and more preferably 0.01% by mass to 0.01% by mass, based on the total mass of the developer. 5% by mass is more preferred.

-酸拡散制御剤-
有機系現像液は、上述した酸拡散制御剤を含んでいてもよい。
- Acid diffusion control agent -
The organic developer may contain the above acid diffusion control agent.

〔現像方法〕
現像方法としては、例えば、現像液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、基板表面に現像液を表面張力によって盛り上げて一定時間静止する方法(パドル法)、基板表面に現像液を噴霧する方法(スプレー法)、又は一定速度で回転している基板上に一定速度で現像液吐出ノズルをスキャンしながら現像液を吐出しつづける方法(ダイナミックディスペンス法)等を適用することができる。
[Development method]
Examples of the developing method include a method of immersing the substrate in a tank filled with a developer for a certain period of time (dip method), a method of raising the developer on the surface of the substrate by surface tension and resting it for a certain period of time (paddle method), and a substrate. A method of spraying the developer onto the surface (spray method) or a method of continuously discharging the developer while scanning the developer discharge nozzle at a constant speed onto the substrate rotating at a constant speed (dynamic dispensing method) is applied. can do.

アルカリ水溶液を用いて現像を行う工程(アルカリ現像工程)、及び有機溶剤を含む現像液を用いて現像する工程(有機溶剤現像工程)を組み合わせてもよい。これにより、中間的な露光強度の領域のみを溶解させずにパターン形成が行えるので、より微細なパターンを形成することができる。 A step of developing using an alkaline aqueous solution (alkali developing step) and a step of developing using a developer containing an organic solvent (organic solvent developing step) may be combined. As a result, the pattern can be formed without dissolving only the intermediate exposure intensity region, so that a finer pattern can be formed.

<前加熱工程、露光後加熱工程>
本発明のパターン形成方法は、露光工程の前に、前加熱(PB:PreBake)工程を含むことが好ましい。
本発明のパターン形成方法は、前加熱工程を、複数回含んでいてもよい。
本発明のパターン形成方法は、露光工程の後、かつ、現像工程の前に、露光後加熱(PEB:Post Exposure Bake)工程を含むことが好ましい。
本発明のパターン形成方法は、露光後加熱工程を、複数回含んでいてもよい。
加熱温度は、前加熱工程及び露光後加熱工程のいずれにおいても、70℃~130℃が好ましく、80℃~120℃がより好ましい。
加熱時間は、前加熱工程及び露光後加熱工程のいずれにおいても、30秒~300秒が好ましく、30秒~180秒がより好ましく、30秒~90秒が更に好ましい。
加熱は、露光装置及び現像装置に備わっている手段で行うことができ、ホットプレート等を用いて行ってもよい。
<Pre-heating step, post-exposure heating step>
The pattern forming method of the present invention preferably includes a pre-heating (PB: PreBake) step before the exposure step.
The pattern formation method of the present invention may include the preheating step multiple times.
The pattern forming method of the present invention preferably includes a post exposure bake (PEB) step after the exposure step and before the development step.
The pattern forming method of the present invention may include the post-exposure heating step multiple times.
The heating temperature is preferably 70° C. to 130° C., more preferably 80° C. to 120° C. in both the preheating step and the post-exposure heating step.
The heating time is preferably 30 seconds to 300 seconds, more preferably 30 seconds to 180 seconds, even more preferably 30 seconds to 90 seconds, in both the preheating step and the post-exposure heating step.
Heating can be performed by means provided in the exposure device and the development device, and may be performed using a hot plate or the like.

<レジスト下層膜形成工程>
本発明のパターン形成方法は、成膜工程の前に、レジスト下層膜を形成する工程(レジスト下層膜形成工程)を更に含んでもよい。
レジスト下層膜形成工程は、レジスト膜と支持体との間にレジスト下層膜(例えば、SOG(Spin On Glass)、SOC(Spin On Carbon)、反射防止膜等)を形成する工程である。レジスト下層膜としては、公知の有機系又は無機系の材料を適宜用いることができる。
<Resist Underlayer Film Forming Step>
The pattern forming method of the present invention may further include a step of forming a resist underlayer film (resist underlayer film forming step) before the film formation step.
The resist underlayer film forming step is a step of forming a resist underlayer film (for example, SOG (Spin On Glass), SOC (Spin On Carbon), antireflection film, etc.) between the resist film and the support. As the resist underlayer film, a known organic or inorganic material can be appropriately used.

<保護膜形成工程>
本発明のパターン形成方法は、現像工程の前に、保護膜を形成する工程(保護膜形成工程)を更に含んでもよい。
保護膜形成工程は、レジスト膜の上層に、保護膜(トップコート)を形成する工程である。保護膜としては、公知の材料を適宜用いることができる。例えば、米国特許出願公開第2007/0178407号明細書、米国特許出願公開第2008/0085466号明細書、米国特許出願公開第2007/0275326号明細書、米国特許出願公開第2016/0299432号明細書、米国特許出願公開第2013/0244438号明細書、国際公開第2016/157988号に開示された保護膜形成用組成物を好適に使用することができる。保護膜形成用組成物としては、上述した酸拡散制御剤を含むものが好ましい。
上述した疎水性樹脂を含有するレジスト膜の上層に保護膜を形成してもよい。
<Protective film forming process>
The pattern forming method of the present invention may further include a step of forming a protective film (protective film forming step) before the developing step.
The protective film forming step is a step of forming a protective film (topcoat) on the upper layer of the resist film. A known material can be used as appropriate for the protective film. For example, US2007/0178407, US2008/0085466, US2007/0275326, US2016/0299432, The composition for forming a protective film disclosed in US Patent Application Publication No. 2013/0244438 and International Publication No. 2016/157988 can be preferably used. As the composition for forming a protective film, a composition containing the acid diffusion control agent described above is preferable.
A protective film may be formed on the above resist film containing the hydrophobic resin.

<リンス工程>
本発明のパターン形成方法は、現像工程の後に、リンス液を用いて洗浄する工程(リンス工程)を含むことが好ましい。
<Rinse process>
The pattern forming method of the present invention preferably includes a step of washing with a rinse solution (rinsing step) after the developing step.

〔アルカリ現像液を用いた現像工程の場合〕
アルカリ現像液を用いた現像工程の後のリンス工程に用いるリンス液は、例えば純水を使用できる。純水は、界面活性剤を適当量含有してもよい。この場合、現像工程又はリンス工程の後に、パターン上に付着している現像液又はリンス液を超臨界流体により除去する処理を追加してもよい。更に、リンス処理又は超臨界流体による処理の後、パターン中に残存する水分を除去するために加熱処理を行ってもよい。
[In the case of development process using alkaline developer]
Pure water, for example, can be used as the rinsing solution used in the rinsing step after the developing step using the alkaline developer. The pure water may contain an appropriate amount of surfactant. In this case, after the developing process or the rinsing process, a process of removing the developing solution or rinsing solution adhering to the pattern with a supercritical fluid may be added. Further, after rinsing or supercritical fluid treatment, heat treatment may be performed to remove moisture remaining in the pattern.

〔有機系現像液を用いた現像工程の場合〕
有機溶剤を含む現像液を用いた現像工程の後のリンス工程に用いるリンス液は、レジストパターンを溶解しないものであれば特に制限はなく、一般的な有機溶剤を含む溶液を使用できる。リンス液としては、炭化水素系溶剤、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、及びエーテル系溶剤からなる群より選択される少なくとも1種の有機溶剤を含有するリンス液を用いることが好ましい。
炭化水素系溶剤、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、及びエーテル系溶剤の具体例としては、有機溶剤を含む現像液において説明したものと同様のものが挙げられる。
この場合のリンス工程に用いるリンス液としては、1価アルコールを含有するリンス液がより好ましい。
[In the case of the development process using an organic developer]
The rinsing liquid used in the rinsing step after the development step using the developing solution containing an organic solvent is not particularly limited as long as it does not dissolve the resist pattern, and a common solution containing an organic solvent can be used. As the rinse liquid, a rinse liquid containing at least one organic solvent selected from the group consisting of hydrocarbon solvents, ketone solvents, ester solvents, alcohol solvents, amide solvents, and ether solvents is used. is preferred.
Specific examples of the hydrocarbon-based solvent, ketone-based solvent, ester-based solvent, alcohol-based solvent, amide-based solvent, and ether-based solvent are the same as those described for the developer containing an organic solvent.
As the rinse solution used in the rinse step in this case, a rinse solution containing a monohydric alcohol is more preferable.

リンス工程で用いられる1価アルコールとしては、直鎖状、分岐状、又は環状の1価アルコールが挙げられる。具体的には、1-ブタノール、2-ブタノール、3-メチル-1-ブタノール、tert―ブチルアルコール、1-ペンタノール、2-ペンタノール、1-ヘキサノール、4-メチル-2-ペンタノール、1-ヘプタノール、1-オクタノール、2-ヘキサノール、シクロペンタノール、2-ヘプタノール、2-オクタノール、3-ヘキサノール、3-ヘプタノール、3-オクタノール、4-オクタノール、及びメチルイソブチルカルビノールが挙げられる。炭素数5以上の1価アルコールとしては、1-ヘキサノール、2-ヘキサノール、4-メチル-2-ペンタノール、1-ペンタノール、3-メチル-1-ブタノール、及びメチルイソブチルカルビノール等が挙げられる。 Linear, branched, or cyclic monohydric alcohols may be used as monohydric alcohols used in the rinsing step. Specifically, 1-butanol, 2-butanol, 3-methyl-1-butanol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1 -heptanol, 1-octanol, 2-hexanol, cyclopentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol, and methylisobutylcarbinol. Examples of monohydric alcohols having 5 or more carbon atoms include 1-hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol, and methylisobutylcarbinol. .

各成分は、複数混合してもよいし、上記以外の有機溶剤と混合して使用してもよい。
リンス液中の含水率は、10質量%以下が好ましく、5質量%以下がより好ましく、3質量%以下が更に好ましい。含水率を10質量%以下とすることで、良好な現像特性が得られる。
A plurality of each component may be mixed, or may be used by mixing with an organic solvent other than the above.
The water content in the rinse liquid is preferably 10% by mass or less, more preferably 5% by mass or less, and even more preferably 3% by mass or less. By setting the water content to 10% by mass or less, good developing properties can be obtained.

リンス液は、界面活性剤を適当量含有してもよい。
リンス工程においては、有機系現像液を用いる現像を行った基板を有機溶剤を含むリンス液を用いて洗浄処理する。洗浄処理の方法は特に限定されないが、例えば、一定速度で回転している基板上にリンス液を吐出しつづける方法(回転塗布法)、リンス液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、又は基板表面にリンス液を噴霧する方法(スプレー法)等を適用することができる。中でも、回転塗布法で洗浄処理を行い、洗浄後に基板を2,000rpm~4,000rpm(rotations per minute;回転/分)の回転数で回転させ、リンス液を基板上から除去することが好ましい。また、リンス工程の後に加熱工程(Post Bake)を含むことも好ましい。この加熱工程によりパターン間及びパターン内部に残留した現像液及びリンス液が除去される。リンス工程の後の加熱工程において、加熱温度は40~160℃であることが好ましく、70~95℃がより好ましい。加熱時間は10秒~3分であることが好ましく、30秒~90秒がより好ましい。
The rinse liquid may contain an appropriate amount of surfactant.
In the rinsing step, the substrate developed with the organic developer is washed with a rinse containing an organic solvent. The method of the cleaning treatment is not particularly limited, but for example, a method of continuously discharging the rinse solution onto the substrate rotating at a constant speed (rotation coating method), or a method of immersing the substrate in a tank filled with the rinse solution for a certain period of time. A method (dip method), a method of spraying a rinse liquid onto the substrate surface (spray method), or the like can be applied. Among them, it is preferable that the cleaning treatment is performed by a spin coating method, and after cleaning, the substrate is rotated at a rotation speed of 2,000 to 4,000 rpm (rotations per minute) to remove the rinsing liquid from the substrate. It is also preferable to include a heating step (Post Bake) after the rinsing step. This heating process removes the developer and rinse remaining between the patterns and inside the patterns. In the heating step after the rinsing step, the heating temperature is preferably 40 to 160°C, more preferably 70 to 95°C. The heating time is preferably 10 seconds to 3 minutes, more preferably 30 seconds to 90 seconds.

<表面荒れの改善>
本発明のパターン形成方法により形成されるパターンに、パターンの表面荒れを改善する方法を適用してもよい。パターンの表面荒れを改善する方法としては、例えば、米国特許出願公開第2015/0104957号明細書に開示された、水素を含有するガスのプラズマによってレジストパターンを処理する方法が挙げられる。その他にも、特開2004-235468号公報、米国特許出願公開第2010/0020297号明細書、Proc.of SPIE Vol.8328 83280N-1“EUV Resist Curing Technique for LWR Reduction and Etch Selectivity Enhancement”に記載されるような公知の方法を適用してもよい。
また、上記の方法によって形成されたレジストパターンは、例えば特開平3-270227号公報及び米国特許出願公開第2013/0209941号明細書に開示されたスペーサープロセスの芯材(Core)として使用できる。
<Improvement of surface roughness>
A method for improving surface roughness of the pattern may be applied to the pattern formed by the pattern forming method of the present invention. As a method of improving the surface roughness of the pattern, for example, there is a method of treating the resist pattern with hydrogen-containing gas plasma, disclosed in US Patent Application Publication No. 2015/0104957. In addition, Japanese Patent Application Laid-Open No. 2004-235468, US Patent Application Publication No. 2010/0020297, Proc. of SPIE Vol. 8328 83280N-1 “EUV Resist Curing Technique for LWR Reduction and Etch Selectivity Enhancement” may be applied.
Also, the resist pattern formed by the above method can be used as a core of the spacer process disclosed in, for example, Japanese Patent Application Laid-Open No. 3-270227 and US Patent Application Publication No. 2013/0209941.

(電子デバイスの製造方法)
本発明の電子デバイスの製造方法は、本発明のパターン形成方法を含む。本発明の電子デバイスの製造方法により製造された電子デバイスは、電気電子機器(例えば、家電、OA(Office Automation)関連機器、メディア関連機器、光学用機器、及び通信機器等)に、好適に搭載される。
(Method for manufacturing electronic device)
A method for manufacturing an electronic device of the present invention includes the pattern forming method of the present invention. The electronic device manufactured by the method for manufacturing an electronic device of the present invention is suitably mounted in electrical and electronic equipment (for example, home appliances, OA (Office Automation) related equipment, media related equipment, optical equipment, communication equipment, etc.). be done.

以下に実施例を挙げて本発明の実施形態を更に具体的に説明する。以下の実施例に示す材料、使用量、割合、処理内容、及び、処理手順等は、本発明の実施形態の趣旨を逸脱しない限り、適宜、変更することができる。したがって、本発明の実施形態の範囲は以下に示す具体例に限定されない。なお、特に断りのない限り、「部」、「%」は質量基準である。 EXAMPLES The embodiments of the present invention will be described more specifically with reference to examples below. Materials, usage amounts, proportions, processing details, processing procedures, and the like shown in the following examples can be changed as appropriate without departing from the gist of the embodiments of the present invention. Accordingly, the scope of embodiments of the present invention is not limited to the specific examples shown below. "Parts" and "%" are based on mass unless otherwise specified.

(β-PGMEAとα-PGMEAの精製)
プロピレングリコールモノメチルエーテルアセテート(PGMEA)(関東化学株式会社製)を蒸留装置を備えたフラスコに入れ、100mmHgに減圧し徐々に加熱した。80℃付近で得られた留分(1-メトキシ-2-プロピルアセテート(α-PGMEA))(溶剤F-1)を取り除いたのちに、110℃付近で得られる留分(2-メトキシ-1-プロパノールアセテート(β-PGMEA))を回収した。
このようにして、α-PGMEA、β-PGMEAを得た。
得られた蒸留物が、α-PGMEA、β-PGMEAであることは、それぞれH-NMR(Nuclear Magnetic Resonance)により確認した。
(Purification of β-PGMEA and α-PGMEA)
Propylene glycol monomethyl ether acetate (PGMEA) (manufactured by Kanto Kagaku Co., Ltd.) was placed in a flask equipped with a distillation apparatus, and the pressure was reduced to 100 mmHg and the mixture was gradually heated. After removing the fraction (1-methoxy-2-propyl acetate (α-PGMEA)) (solvent F-1) obtained at around 80°C, the fraction (2-methoxy-1 -propanol acetate (β-PGMEA)) was recovered.
Thus, α-PGMEA and β-PGMEA were obtained.
It was confirmed by 1 H-NMR (Nuclear Magnetic Resonance) that the obtained distillates were α-PGMEA and β-PGMEA.

上記と同様の方法で、市販のプロピレングリコールモノメチルエーテル(PGME)から、1-メトキシ-2-プロパノール(α-PGME)(溶剤F-2)と2-メトキシ-1-プロパノール(β-PGME)を得た。
また、上記と同様の方法で、市販のプロピレングリコールモノエチルエーテル(PGEE)から、1-エトキシ-2-プロパノール(α-PGEE)(溶剤F-3)と2-エトキシ-1-プロパノール(β-PGEE)を得た。
1-Methoxy-2-propanol (α-PGME) (solvent F-2) and 2-methoxy-1-propanol (β-PGME) were prepared from commercially available propylene glycol monomethyl ether (PGME) in the same manner as above. Obtained.
Also, in the same manner as described above, from commercially available propylene glycol monoethyl ether (PGEE), 1-ethoxy-2-propanol (α-PGEE) (solvent F-3) and 2-ethoxy-1-propanol (β- PGEE) was obtained.

<樹脂(A)>
使用した樹脂(A-1~A-16、A-21~A-36)は、それぞれ下記表1及び表2に示す構成単位(繰り返し単位)を表1及び表2に示すモル比率で有するものである。各構成単位については対応するモノマーの構造により示した。
なお、樹脂の重量平均分子量(Mw)、数平均分子量(Mn)、及び分散度(Mw/Mn)は前述のとおりGPC(キャリア:テトラヒドロフラン(THF))により測定した(ポリスチレン換算量である)。また、樹脂の組成比(モル比率)は、13C-NMR(Nuclear Magnetic Resonance)により測定した。
<Resin (A)>
The resins (A-1 to A-16, A-21 to A-36) used have the structural units (repeating units) shown in Tables 1 and 2 below, respectively, at the molar ratios shown in Tables 1 and 2. is. Each structural unit is indicated by the structure of the corresponding monomer.
The weight average molecular weight (Mw), number average molecular weight (Mn), and degree of dispersion (Mw/Mn) of the resin were measured by GPC (carrier: tetrahydrofuran (THF)) as described above (in terms of polystyrene). Also, the composition ratio (molar ratio) of the resin was measured by 13 C-NMR (Nuclear Magnetic Resonance).

Figure 0007309907000088
Figure 0007309907000088

Figure 0007309907000089
Figure 0007309907000089

Figure 0007309907000090
Figure 0007309907000090

Figure 0007309907000091
Figure 0007309907000091

Figure 0007309907000092
Figure 0007309907000092

Figure 0007309907000093
Figure 0007309907000093

本明細書及び実施例におけるホモポリマーとしたときのガラス転移温度(Tg)が50℃以下であるモノマー(モノマーa3)を由来とする繰り返し単位(a3)に対応するモノマーa3のホモポリマーとしたときのガラス転移温度(Tg)の値は、PCT/JP2018/018239(WO2018/212079A1)の記載を参照することができる。 When a homopolymer of a monomer a3 corresponding to a repeating unit (a3) derived from a monomer (monomer a3) having a glass transition temperature (Tg) of 50 ° C. or lower when converted to a homopolymer in the present specification and examples The value of the glass transition temperature (Tg) of can refer to the description of PCT/JP2018/018239 (WO2018/212079A1).

<光酸発生剤>
使用した光酸発生剤(PAG-1~PAG-37)の構造を以下に示す。
<Photoacid generator>
The structures of the photoacid generators (PAG-1 to PAG-37) used are shown below.

Figure 0007309907000094
Figure 0007309907000094

Figure 0007309907000095
Figure 0007309907000095

Figure 0007309907000096
Figure 0007309907000096

Figure 0007309907000097
Figure 0007309907000097

Figure 0007309907000098
Figure 0007309907000098

Figure 0007309907000099
Figure 0007309907000099

Figure 0007309907000100
Figure 0007309907000100

Figure 0007309907000101
Figure 0007309907000101

Figure 0007309907000102
Figure 0007309907000102

Figure 0007309907000103
Figure 0007309907000103

Figure 0007309907000104
Figure 0007309907000104

Figure 0007309907000105
Figure 0007309907000105

Figure 0007309907000106
Figure 0007309907000106

<酸拡散制御剤>
使用した酸拡散制御剤の構造を以下に示す。
<Acid diffusion control agent>
The structure of the acid diffusion control agent used is shown below.

Figure 0007309907000107
Figure 0007309907000107

Figure 0007309907000108
Figure 0007309907000108

Figure 0007309907000109
Figure 0007309907000109

Figure 0007309907000110
Figure 0007309907000110

Figure 0007309907000111
Figure 0007309907000111

<疎水性樹脂>
使用した疎水性樹脂(E-1~E-15)は、それぞれ下記表3に示す構成単位(繰り返し単位)を表3に示すモル比率で有するものである。各構成単位については対応するモノマーの構造により示した。なお、疎水性樹脂の重量平均分子量(Mw)、数平均分子量(Mn)、及び分散度(Mw/Mn)は前述のとおりGPC(キャリア:テトラヒドロフラン(THF))により測定した(ポリスチレン換算量である)。また、樹脂の組成比(モル%比)は、13C-NMR(Nuclear Magnetic Resonance)により測定した。
<Hydrophobic resin>
The hydrophobic resins (E-1 to E-15) used each have the structural units (repeating units) shown in Table 3 below in the molar ratio shown in Table 3. Each structural unit is indicated by the structure of the corresponding monomer. The weight average molecular weight (Mw), number average molecular weight (Mn), and degree of dispersion (Mw/Mn) of the hydrophobic resin were measured by GPC (carrier: tetrahydrofuran (THF)) as described above (in terms of polystyrene). ). Also, the resin composition ratio (mol% ratio) was measured by 13 C-NMR (Nuclear Magnetic Resonance).

Figure 0007309907000112
Figure 0007309907000112

Figure 0007309907000113
Figure 0007309907000113

Figure 0007309907000114
Figure 0007309907000114

<界面活性剤>
使用した界面活性剤を下記に示す。
H-1:メガファックF176(DIC(株)製、フッ素系界面活性剤)
H-2:メガファックR08(DIC(株)製、フッ素及びシリコーン系界面活性剤)
H-3:PF656(OMNOVA社製、フッ素系界面活性剤)
H-4:PF6320(OMNOVA社製、フッ素系界面活性剤)
H-5:FC-4430(住友3M社製、フッ素系界面活性剤)
<Surfactant>
The surfactants used are shown below.
H-1: Megafac F176 (manufactured by DIC Corporation, fluorine-based surfactant)
H-2: Megafac R08 (manufactured by DIC Corporation, fluorine and silicone surfactant)
H-3: PF656 (manufactured by OMNOVA, fluorine-based surfactant)
H-4: PF6320 (manufactured by OMNOVA, fluorine-based surfactant)
H-5: FC-4430 (manufactured by Sumitomo 3M, fluorosurfactant)

<溶剤>
使用した溶剤を下記に示す。
F-1:1-メトキシ-2-プロピルアセテート(α-PGMEA)
F-2:1-メトキシ-2-プロパノール(α-PGME)
F-3:1-エトキシ-2-プロパノール(α-PGEE)
F-4:シクロヘキサノン
F-5:シクロペンタノン
F-6:2-ヘプタノン
F-7:乳酸エチル
F-8:γ-ブチロラクトン
F-9:プロピレンカーボネート
<Solvent>
The solvents used are shown below.
F-1: 1-methoxy-2-propyl acetate (α-PGMEA)
F-2: 1-methoxy-2-propanol (α-PGME)
F-3: 1-ethoxy-2-propanol (α-PGEE)
F-4: cyclohexanone F-5: cyclopentanone F-6: 2-heptanone F-7: ethyl lactate F-8: γ-butyrolactone F-9: propylene carbonate

<添加剤>
使用した添加剤の構造を以下に示す。
<Additive>
The structures of the additives used are shown below.

Figure 0007309907000115
Figure 0007309907000115

(実施例1~90、及び比較例1~11)
<レジスト組成物の調製>
下記表4~表8に示した各成分を表4~表8に示した量(質量部)用い、固形分濃度が4.0質量%となるように混合して溶液を得た。ただし、β-PGMEA、β-PGME、β-PGEE、及び水の含有量を下記表9~表13に示した値となるように調整した。次いで、得られた溶液を、0.03μmのポアサイズを有するポリエチレンフィルターで濾過することにより、レジスト組成物(感活性光線性又は感放射線性樹脂組成物)を調製した。
β-PGMEA、β-PGME、及びβ-PGEEの含有量は、それぞれ前述の方法で得たβ-PGMEA、β-PGME、及びβ-PGEEを、レジスト組成物の調製に用いた溶剤に添加することで調整した。
水の含有量は、レジスト組成物に用いたα-PGMEA(F-1)に対して純水を添加したり、又は脱水操作を行ったりすることで調整した。
なお、レジスト組成物において固形分とは、レジスト組成物に含まれる成分から溶剤、水、一般式(1)で表される化合物、一般式(2)で表される化合物、及び一般式(3)で表される化合物を除いたすべての成分を意味する。得られたレジスト組成物を、実施例及び比較例で使用した。
(Examples 1 to 90 and Comparative Examples 1 to 11)
<Preparation of resist composition>
Each component shown in Tables 4 to 8 below was used in the amount (parts by mass) shown in Tables 4 to 8 and mixed so that the solid content concentration was 4.0% by mass to obtain a solution. However, the contents of β-PGMEA, β-PGME, β-PGEE, and water were adjusted to the values shown in Tables 9 to 13 below. Then, the resulting solution was filtered through a polyethylene filter having a pore size of 0.03 μm to prepare a resist composition (actinic ray-sensitive or radiation-sensitive resin composition).
The contents of β-PGMEA, β-PGME, and β-PGEE are obtained by adding β-PGMEA, β-PGME, and β-PGEE obtained by the method described above to the solvent used for preparing the resist composition. adjusted by
The water content was adjusted by adding pure water to α-PGMEA (F-1) used in the resist composition, or by performing dehydration.
In addition, the solid content in the resist composition means from the components contained in the resist composition, the solvent, water, the compound represented by the general formula (1), the compound represented by the general formula (2), and the compound represented by the general formula (3 ) means all components except for the compounds represented by The resulting resist compositions were used in Examples and Comparative Examples.

Figure 0007309907000116
Figure 0007309907000116

Figure 0007309907000117
Figure 0007309907000117

Figure 0007309907000118
Figure 0007309907000118

Figure 0007309907000119
Figure 0007309907000119

Figure 0007309907000120
Figure 0007309907000120

なお、レジスト組成物Re-79には光酸発生剤として示した上記PAG-1~PAG-37は含有していないが、樹脂A-35中の光酸発生基を有する繰り返し単位であるMC-17が、光酸発生剤に該当すると考えることができる。 Although the resist composition Re-79 does not contain the above PAG-1 to PAG-37 shown as photoacid generators, MC-, which is a repeating unit having a photoacid-generating group in resin A-35, 17 can be considered to correspond to a photoacid generator.

<レジスト組成物中のβ-PGMEAの含有量の測定>
レジスト組成物中のβ-PGMEAの含有量は次のように測定した。
MARKES社製加熱吸着装置μ-CTE250を用いて、加熱温度170℃で試料中の溶剤成分を加熱、気化させて専用の試料管に吸着させた。その後、ジーエルサイエンス社製加熱脱着装置HandyTD TD265を用いて、試料管に吸着させた溶剤成分を加熱温度170℃で脱着させた後、日本電子社製ガスクロマトグラフ質量分析計JMS-Q1500GCにて定量分析を行った。
<Measurement of β-PGMEA content in resist composition>
The content of β-PGMEA in the resist composition was measured as follows.
Using a heating adsorption device μ-CTE250 manufactured by MARKES, the solvent component in the sample was heated and vaporized at a heating temperature of 170° C. and adsorbed in a dedicated sample tube. After that, using a thermal desorption device HandyTD TD265 manufactured by GL Sciences Co., Ltd., the solvent component adsorbed on the sample tube was desorbed at a heating temperature of 170 ° C., and then quantitatively analyzed with a gas chromatograph mass spectrometer JMS-Q1500GC manufactured by JEOL Ltd. did

<レジスト組成物のβ-PGMEの含有量の測定>
レジスト組成物中のβ-PGMEの含有量についても上記「レジスト組成物中のβ-PGMEAの含有量の測定」と同様に測定した。
<Measurement of β-PGME content in resist composition>
The content of β-PGME in the resist composition was also measured in the same manner as in "Measurement of the content of β-PGMEA in the resist composition".

<レジスト組成物のβ-PGEEの含有量の測定>
レジスト組成物中のβ-PGEEの含有量についても上記「レジスト組成物中のβ-PGMEAの含有量の測定」と同様に測定した。
<Measurement of β-PGEE content in resist composition>
The content of β-PGEE in the resist composition was also measured in the same manner as in "Measurement of the content of β-PGMEA in the resist composition".

<レジスト組成物の水の含有量の測定>
レジスト組成物中の水の含有量は、京都電子工業社製カールフィッシャー水分計MKC-510Nを用いて測定した。陽極液としてハイドロナール・クローマットAK(ハネウェル社製)を、陰極液としてハイドロナール・クローマットCG(ハネウェル社製)を使用し、試料5gを注入して水分量を測定した。
<Measurement of Water Content in Resist Composition>
The content of water in the resist composition was measured using a Karl Fischer moisture meter MKC-510N manufactured by Kyoto Electronics Industry Co., Ltd. Hydronal Chromat AK (manufactured by Honeywell Co.) was used as the anolyte and Hydronal Chromat CG (manufactured by Honeywell Co.) was used as the catholyte.

<パターン形成方法(1):ArF液浸露光、アルカリ水溶液現像>
実施例1~10、実施例16、実施例17、実施例19、実施例20、実施例23、実施例26、実施例27、実施例36、実施例37、実施例40、実施例41、実施例42、実施例43、実施例46、実施例48、実施例49、実施例51、実施例52、実施例53、実施例55、実施例56、実施例58、実施例60、比較例1、及び比較例3では、それぞれ表9~表11に記載したレジスト組成物を用いて以下のパターン形成方法(1)によりパターンを形成した。
シリコンウエハ上に有機反射防止膜形成用組成物ARC29SR(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚98nmの反射防止膜を形成した。その上に、表9~表11に示すレジスト組成物を塗布し、100℃で60秒間ベークして、膜厚90nmのレジスト膜を形成した。なお、レジスト組成物は調製後に35℃の恒温槽中で6ヶ月間保管させたものを使用した。
レジスト膜に対して、ArFエキシマレーザー液浸スキャナー(ASML社製;XT1950i、NA1.35、C-Quad、アウターシグマ0.930、インナーシグマ0.730、XY偏向)を用いて、線幅45nmの1:1ラインアンドスペースパターンの6%ハーフトーンマスクを介して露光した。液浸液は、超純水を使用した。
露光後のレジスト膜を100℃で60秒間ベークした後、2.38質量%テトラメチルアンモニウムハイドロオキサイド(TMAH)水溶液で30秒間現像し、次いで純水で30秒間リンスした。その後、これをスピン乾燥してポジ型のパターンを得た。
<Pattern formation method (1): ArF immersion exposure, alkaline aqueous solution development>
Examples 1 to 10, Example 16, Example 17, Example 19, Example 20, Example 23, Example 26, Example 27, Example 36, Example 37, Example 40, Example 41, Example 42, Example 43, Example 46, Example 48, Example 49, Example 51, Example 52, Example 53, Example 55, Example 56, Example 58, Example 60, Comparative Example In Comparative Example 1 and Comparative Example 3, patterns were formed by the following pattern forming method (1) using the resist compositions shown in Tables 9 to 11, respectively.
An organic antireflection film forming composition ARC29SR (manufactured by Brewer Science) was applied onto a silicon wafer and baked at 205° C. for 60 seconds to form an antireflection film with a thickness of 98 nm. A resist composition shown in Tables 9 to 11 was applied thereon and baked at 100° C. for 60 seconds to form a resist film having a thickness of 90 nm. The resist composition used was stored in a constant temperature bath at 35° C. for 6 months after preparation.
An ArF excimer laser immersion scanner (manufactured by ASML; XT1950i, NA 1.35, C-Quad, outer sigma 0.930, inner sigma 0.730, XY deflection) was used to scan the resist film with a line width of 45 nm. Exposure was through a 6% halftone mask with a 1:1 line and space pattern. Ultrapure water was used as the immersion liquid.
The exposed resist film was baked at 100° C. for 60 seconds, developed with a 2.38 mass % tetramethylammonium hydroxide (TMAH) aqueous solution for 30 seconds, and then rinsed with pure water for 30 seconds. After that, it was spin-dried to obtain a positive pattern.

<パターン形成方法(2):ArF液浸露光、有機溶剤現像>
実施例11~15、実施例18、実施例21、実施例22、実施例24、実施例25、実施例28~35、実施例38、実施例39、実施例44、実施例45、実施例47、実施例50、実施例54、実施例57、実施例59、実施例61、及び比較例2では、それぞれ表9~表11に記載したレジスト組成物を用いて以下のパターン形成方法(2)によりパターンを形成した。
シリコンウエハ上に有機反射防止膜形成用組成物ARC29SR(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚98nmの反射防止膜を形成した。その上に、表9~表11に示すレジスト組成物を塗布し、100℃で60秒間ベークして、膜厚90nmのレジスト膜を形成した。なお、レジスト組成物は調製後に35℃の恒温槽中で6ヶ月間保管させたものを使用した。
レジスト膜に対して、ArFエキシマレーザー液浸スキャナー(ASML社製;XT1950i、NA1.35、C-Quad、アウターシグマ0.930、インナーシグマ0.730、XY偏向)を用いて、線幅45nmの1:1ラインアンドスペースパターンの6%ハーフトーンマスクを介して露光した。液浸液は、超純水を使用した。
露光後のレジスト膜を100℃で60秒間ベークした後、酢酸n-ブチルで30秒間現像し、次いで4-メチル-2-ペンタノールで30秒間リンスした。その後、これをスピン乾燥してネガ型のパターンを得た。
<Pattern formation method (2): ArF immersion exposure, organic solvent development>
Examples 11-15, Example 18, Example 21, Example 22, Example 24, Example 25, Example 28-35, Example 38, Example 39, Example 44, Example 45, Example 47, Example 50, Example 54, Example 57, Example 59, Example 61, and Comparative Example 2, the following pattern forming method (2 ) to form a pattern.
An organic antireflection film forming composition ARC29SR (manufactured by Brewer Science) was applied onto a silicon wafer and baked at 205° C. for 60 seconds to form an antireflection film with a thickness of 98 nm. A resist composition shown in Tables 9 to 11 was applied thereon and baked at 100° C. for 60 seconds to form a resist film having a thickness of 90 nm. The resist composition used was stored in a constant temperature bath at 35° C. for 6 months after preparation.
An ArF excimer laser immersion scanner (manufactured by ASML; XT1950i, NA 1.35, C-Quad, outer sigma 0.930, inner sigma 0.730, XY deflection) was used to scan the resist film with a line width of 45 nm. Exposure was through a 6% halftone mask with a 1:1 line and space pattern. Ultrapure water was used as the immersion liquid.
The exposed resist film was baked at 100° C. for 60 seconds, developed with n-butyl acetate for 30 seconds, and then rinsed with 4-methyl-2-pentanol for 30 seconds. After that, it was spin-dried to obtain a negative pattern.

<パターン形成方法(3):EUV露光、アルカリ水溶液現像>
実施例62~74、比較例4~6では、それぞれ表12に記載したレジスト組成物を用いて以下のパターン形成方法(3)によりパターンを形成した。
シリコンウエハ上に、下層膜形成用組成物AL412(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚20nmの下層膜を形成した。その上に、表12に示すレジスト組成物を塗布し、100℃で60秒間ベークして、膜厚40nmのレジスト膜を形成した。なお、レジスト組成物は調製後に35℃の恒温槽中で6ヶ月間保管させたものを使用した。
レジスト膜に対して、EUV露光機(型式「NXE3300」、ASML製、NA=0.33、Dipole、アウターシグマ0.9、インナーシグマ0.7)を用いて、線幅20nmの1:1ラインアンドスペースパターンのマスクを介して露光した。
露光後のレジスト膜を100℃で60秒間ベークした後、2.38質量%テトラメチルアンモニウムハイドロオキサイド(TMAH)水溶液で30秒間現像し、次いで純水で30秒間リンスした。その後、これをスピン乾燥してポジ型のパターンを得た。
<Pattern formation method (3): EUV exposure, alkaline aqueous solution development>
In Examples 62 to 74 and Comparative Examples 4 to 6, patterns were formed by the following pattern forming method (3) using the resist compositions shown in Table 12, respectively.
An underlayer film forming composition AL412 (manufactured by Brewer Science) was applied onto a silicon wafer and baked at 205° C. for 60 seconds to form an underlayer film having a thickness of 20 nm. A resist composition shown in Table 12 was applied thereon and baked at 100° C. for 60 seconds to form a resist film having a thickness of 40 nm. The resist composition used was stored in a constant temperature bath at 35° C. for 6 months after preparation.
Using an EUV exposure machine (model "NXE3300", manufactured by ASML, NA = 0.33, Dipole, outer sigma 0.9, inner sigma 0.7) on the resist film, a 1: 1 line with a line width of 20 nm It was exposed through an And-space pattern mask.
The exposed resist film was baked at 100° C. for 60 seconds, developed with a 2.38 mass % tetramethylammonium hydroxide (TMAH) aqueous solution for 30 seconds, and then rinsed with pure water for 30 seconds. After that, it was spin-dried to obtain a positive pattern.

<パターン形成方法(4):EUV露光、有機溶剤現像>
実施例75~77、及び比較例7では、それぞれ表12に記載したレジスト組成物を用いて以下のパターン形成方法(4)によりパターンを形成した。
シリコンウエハ上に、下層膜形成用組成物AL412(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚20nmの下層膜を形成した。その上に、表12に示すレジスト組成物を塗布し、100℃で60秒間ベークして、膜厚40nmのレジスト膜を形成した。なお、レジスト組成物は調製後に35℃の恒温槽中で6ヶ月間保管させたものを使用した。
レジスト膜に対して、EUV露光機(型式「NXE3300」、ASML製、NA=0.33、Dipole、アウターシグマ0.9、インナーシグマ0.7)を用いて、線幅20nmの1:1ラインアンドスペースパターンのマスクを介して露光した。
露光後のレジスト膜を100℃で60秒間ベークした後、酢酸n-ブチルで30秒間現像し、これをスピン乾燥してネガ型のパターンを得た。
<Pattern formation method (4): EUV exposure, organic solvent development>
In Examples 75 to 77 and Comparative Example 7, patterns were formed by the following pattern forming method (4) using the resist compositions shown in Table 12, respectively.
An underlayer film forming composition AL412 (manufactured by Brewer Science) was applied onto a silicon wafer and baked at 205° C. for 60 seconds to form an underlayer film having a thickness of 20 nm. A resist composition shown in Table 12 was applied thereon and baked at 100° C. for 60 seconds to form a resist film having a thickness of 40 nm. The resist composition used was stored in a constant temperature bath at 35° C. for 6 months after preparation.
Using an EUV exposure machine (model "NXE3300", manufactured by ASML, NA = 0.33, Dipole, outer sigma 0.9, inner sigma 0.7) on the resist film, a 1: 1 line with a line width of 20 nm It was exposed through an And-space pattern mask.
The exposed resist film was baked at 100° C. for 60 seconds, developed with n-butyl acetate for 30 seconds, and spin-dried to obtain a negative pattern.

<パターン形成方法(5):EB露光、アルカリ水溶液現像>
実施例78~90、比較例8~11では、それぞれ表13に記載したレジスト組成物を用いて以下のパターン形成方法(5)によりパターンを形成した。
シリコンウエハ上に、下層膜形成用組成物AL412(Brewer Science社製)を塗布し、205℃で60秒間ベークして、膜厚20nmの下層膜を形成した。その上に、表13に示すレジスト組成物を塗布し、100℃で60秒間ベークして、膜厚40nmのレジスト膜を形成した。なお、レジスト組成物は調製後に35℃の恒温槽中で6ヶ月間保管させたものを使用した。
レジスト膜に対して、電子線露光装置((株)ニューフレアテクノロジー製EBM-7000、加速電圧50kV)を用いて、パターン照射を行った。この際、20nmの1:1のラインアンドスペースが形成されるように描画を行った。
露光後のレジスト膜を100℃で60秒間ベークした後、2.38質量%テトラメチルアンモニウムハイドロオキサイド(TMAH)水溶液で30秒間現像し、次いで純水で30秒間リンスした。その後、これをスピン乾燥してポジ型のパターンを得た。
<Pattern formation method (5): EB exposure, alkaline aqueous solution development>
In Examples 78 to 90 and Comparative Examples 8 to 11, patterns were formed by the following pattern forming method (5) using the resist compositions shown in Table 13, respectively.
An underlayer film forming composition AL412 (manufactured by Brewer Science) was applied onto a silicon wafer and baked at 205° C. for 60 seconds to form an underlayer film having a thickness of 20 nm. A resist composition shown in Table 13 was applied thereon and baked at 100° C. for 60 seconds to form a resist film having a thickness of 40 nm. The resist composition used was stored in a constant temperature bath at 35° C. for 6 months after preparation.
The resist film was subjected to pattern irradiation using an electron beam exposure apparatus (EBM-7000 manufactured by NuFlare Technology Co., Ltd., acceleration voltage of 50 kV). At this time, drawing was performed so as to form a 1:1 line and space of 20 nm.
The exposed resist film was baked at 100° C. for 60 seconds, developed with a 2.38 mass % tetramethylammonium hydroxide (TMAH) aqueous solution for 30 seconds, and then rinsed with pure water for 30 seconds. After that, it was spin-dried to obtain a positive pattern.

<性能評価>
[経時後の現像欠陥]
ArF液浸露光実験(実施例1~61、比較例1~3)の場合、上記線幅45nmの1:1ラインアンドスペースパターンを形成後、UVision5(AMAT社製)で、シリコンウエハ上における欠陥分布を検出し、SEMVisionG4(AMAT社製)を用いて、欠陥の形状を観察した。なお、パターンウェハに生じた欠陥は、例えば、図1及び図2のような画像として観察される。シリコンウエハ1枚当たりの欠陥数を数えて評価した。欠陥数が少ないほど良好な結果を示す。
A:ウエハあたりの欠陥の数が10個以下
B:ウエハあたりの欠陥の数が11~50個
C:ウエハあたりの欠陥の数が51~100個
D:ウエハあたりの欠陥の数が101個以上
<Performance evaluation>
[Development defect after aging]
In the case of ArF immersion exposure experiments (Examples 1 to 61, Comparative Examples 1 to 3), after forming a 1:1 line and space pattern with a line width of 45 nm, UVision 5 (manufactured by AMAT) was used to detect defects on a silicon wafer. The distribution was detected, and the shape of the defect was observed using SEMVision G4 (manufactured by AMAT). Defects occurring in the pattern wafer are observed as images shown in FIGS. 1 and 2, for example. Evaluation was made by counting the number of defects per silicon wafer. A smaller number of defects indicates a better result.
A: The number of defects per wafer is 10 or less B: The number of defects per wafer is 11 to 50 C: The number of defects per wafer is 51 to 100 D: The number of defects per wafer is 101 or more

EUV露光及びEB露光実験(実施例62~90、比較例4~11)の場合、上記線幅20nmの1:1ラインアンドスペースパターンを形成後、UVision5(AMAT社製)で、シリコンウエハ上における欠陥分布を検出し、SEMVisionG4(AMAT社製)を用いて、欠陥の形状を観察した。なお、パターンウェハに生じた欠陥は、例えば、図1及び図2のような画像として観察される。シリコンウエハ1枚当たりの欠陥数を数えて評価した。欠陥数が少ないほど良好な結果を示す。
A:ウエハあたりの欠陥の数が10個以下
B:ウエハあたりの欠陥の数が11~50個
C:ウエハあたりの欠陥の数が51~100個
D:ウエハあたりの欠陥の数が101個以上
In the case of EUV exposure and EB exposure experiments (Examples 62 to 90, Comparative Examples 4 to 11), after forming a 1:1 line and space pattern with a line width of 20 nm, UVision 5 (manufactured by AMAT) was used on a silicon wafer. The defect distribution was detected, and the defect shape was observed using SEMVision G4 (manufactured by AMAT). Defects occurring in the pattern wafer are observed as images shown in FIGS. 1 and 2, for example. Evaluation was made by counting the number of defects per silicon wafer. A smaller number of defects indicates a better result.
A: The number of defects per wafer is 10 or less B: The number of defects per wafer is 11 to 50 C: The number of defects per wafer is 51 to 100 D: The number of defects per wafer is 101 or more

[経時後のLWR性能]
ArF液浸露光実験(実施例1~61、比較例1~3)の場合、最適露光量にて解像した45nm(1:1)のラインアンドスペースのレジストパターンに対して、測長走査型電子顕微鏡(SEM、(株)日立製作所製CG-4100)を使用してパターン上部から観察する際、線幅を任意のポイントで観測し、その測定ばらつきを3σで評価した。値が小さいほど良好な性能であることを示す。なお、最適露光量とは線幅45nmの1:1ラインアンドスペースパターンを解像する時の照射エネルギーである。
A:3σが4.0nm以下
B:3σが4.0nm超かつ5.0nm以下
C:3σが5.0nm超
[LWR performance after aging]
In the case of ArF immersion exposure experiments (Examples 1 to 61, Comparative Examples 1 to 3), a 45 nm (1:1) line-and-space resist pattern resolved at the optimum exposure dose was subjected to a length measurement scanning type When observing from above the pattern using an electron microscope (SEM, CG-4100 manufactured by Hitachi, Ltd.), the line width was observed at an arbitrary point, and the measurement variation was evaluated by 3σ. A smaller value indicates better performance. The optimum exposure dose is the irradiation energy for resolving a 1:1 line-and-space pattern with a line width of 45 nm.
A: 3σ is 4.0 nm or less B: 3σ is over 4.0 nm and 5.0 nm or less C: 3σ is over 5.0 nm

EUV露光及びEB露光実験(実施例62~90、比較例4~11)の場合、最適露光量にて解像した20nm(1:1)のラインアンドスペースのレジストパターンに対して、測長走査型電子顕微鏡(SEM、(株)日立製作所製CG-4100)を使用してパターン上部から観察する際、線幅を任意のポイントで観測し、その測定ばらつきを3σで評価した。値が小さいほど良好な性能であることを示す。なお、最適露光量とは線幅20nmの1:1ラインアンドスペースパターンを解像する時の照射エネルギーである。
A:3σが2.0nm以下
B:3σが2.0nm超かつ3.0nm以下
C:3σが3.0nm超
In the case of EUV exposure and EB exposure experiments (Examples 62 to 90, Comparative Examples 4 to 11), length measurement scanning was performed on a 20 nm (1:1) line and space resist pattern resolved at the optimum exposure dose. When observed from above the pattern using a type electron microscope (SEM, CG-4100 manufactured by Hitachi, Ltd.), the line width was observed at an arbitrary point, and the measurement variation was evaluated by 3σ. A smaller value indicates better performance. The optimum exposure amount is the irradiation energy for resolving a 1:1 line-and-space pattern with a line width of 20 nm.
A: 3σ is 2.0 nm or less B: 3σ is more than 2.0 nm and 3.0 nm or less C: 3σ is more than 3.0 nm

得られた評価結果を表9~表13に示す。
表9~表13に示した「β-PGMEAの含有量」は、レジスト組成物の全質量に対するβ-PGMEAの含有量である。
表9~表13に示した「β-PGMEの含有量」は、レジスト組成物の全質量に対するβ-PGMEの含有量である。
表9~表13に示した「β-PGEEの含有量」は、レジスト組成物の全質量に対するβ-PGEEの含有量である。
表9~表13に示した「水の含有量」は、レジスト組成物の全質量に対する水の含有量である。
表9~表13に示した「α-PGMEAに対するβ-PGMEAの比率」は、レジスト組成物中のα-PGMEAに対するβ-PGMEAの含有量の比率(質量%)である。
表9~表13において、「β-PGMEAの含有量」が「非検出」であるとは、レジスト組成物の全質量に対するβ-PGMEAの含有量が0.1質量ppm未満であることを表す。
表9~表13において、「β-PGMEの含有量」が「-」であるものは、レジスト組成物の全質量に対するβ-PGMEの含有量が0.1質量ppm未満であることを表す。
表9~表13において、「β-PGEEの含有量」が「-」であるものは、レジスト組成物の全質量に対するβ-PGEEの含有量が0.1質量ppm未満であることを表す。
表9~表13において、「水の含有量」が「非検出」であるとは、レジスト組成物の全質量に対する水の含有量が0.1質量ppm未満であることを表す。
The obtained evaluation results are shown in Tables 9 to 13.
The "β-PGMEA content" shown in Tables 9 to 13 is the content of β-PGMEA with respect to the total mass of the resist composition.
The "β-PGME content" shown in Tables 9 to 13 is the content of β-PGME with respect to the total mass of the resist composition.
The "β-PGEE content" shown in Tables 9 to 13 is the β-PGEE content relative to the total mass of the resist composition.
The "water content" shown in Tables 9 to 13 is the water content relative to the total mass of the resist composition.
"Ratio of β-PGMEA to α-PGMEA" shown in Tables 9 to 13 is the ratio (% by mass) of the content of β-PGMEA to α-PGMEA in the resist composition.
In Tables 9 to 13, "the content of β-PGMEA" is "undetected" means that the content of β-PGMEA is less than 0.1 mass ppm with respect to the total mass of the resist composition. .
In Tables 9 to 13, "-" for "content of β-PGME" indicates that the content of β-PGME is less than 0.1 ppm by mass relative to the total mass of the resist composition.
In Tables 9 to 13, "-" for "content of β-PGEE" indicates that the content of β-PGEE is less than 0.1 ppm by mass relative to the total mass of the resist composition.
In Tables 9 to 13, "water content" of "not detected" means that the water content is less than 0.1 ppm by mass relative to the total mass of the resist composition.

Figure 0007309907000121
Figure 0007309907000121

Figure 0007309907000122
Figure 0007309907000122

Figure 0007309907000123
Figure 0007309907000123

Figure 0007309907000124
Figure 0007309907000124

Figure 0007309907000125
Figure 0007309907000125

Figure 0007309907000126
Figure 0007309907000126

表9~表13の結果により、本発明の組成物は、経時後の現像欠陥の発生が抑制され、かつ経時後のLWR性能に優れることがわかる。 From the results in Tables 9 to 13, it can be seen that the compositions of the present invention suppress the occurrence of development defects after aging and are excellent in LWR performance after aging.

本発明によれば、経時後の現像欠陥の発生が抑制され、かつ経時後のLWR性能に優れる感活性光線性又は感放射線性樹脂組成物、上記感活性光線性又は感放射線性樹脂組成物を用いたパターン形成方法及び電子デバイスの製造方法を提供することができる。 According to the present invention, an actinic ray- or radiation-sensitive resin composition that suppresses the occurrence of development defects after the passage of time and has excellent LWR performance after the passage of time, and the actinic ray- or radiation-sensitive resin composition A pattern forming method and an electronic device manufacturing method using the method can be provided.

本発明を詳細にまた特定の実施態様を参照して説明したが、本発明の精神と範囲を逸脱することなく様々な変更や修正を加えることができることは当業者にとって明らかである。
本出願は、2019年11月29日出願の日本特許出願(特願2019-217606)、2020年3月25日出願の日本特許出願(特願2020-54955)、2020年6月22日出願の日本特許出願(特願2020-107200)、及び2020年8月31日出願の日本特許出願(特願2020-145919)に基づくものであり、その内容はここに参照として取り込まれる。

Although the present invention has been described in detail and with reference to specific embodiments, it will be apparent to those skilled in the art that various changes and modifications can be made without departing from the spirit and scope of the invention.
This application is a Japanese patent application filed on November 29, 2019 (Japanese patent application 2019-217606), a Japanese patent application filed on March 25, 2020 (Japanese patent application 2020-54955), filed on June 22, 2020 It is based on Japanese patent application (Japanese Patent Application No. 2020-107200) and Japanese Patent Application (Japanese Patent Application No. 2020-145919) filed on August 31, 2020, the contents of which are incorporated herein by reference.

Claims (13)

酸の作用により極性が増大する樹脂、光酸発生剤、及び下記一般式(1)で表される化合物を含有する感活性光線性又は感放射線性樹脂組成物であって、
前記酸の作用により極性が増大する樹脂は、下記式AIで表される繰り返し単位及び下記式(AII)で表される繰り返し単位の少なくとも1種を有し、
前記光酸発生剤は、スルホニウム塩化合物及びヨードニウム塩化合物の少なくとも1種であり、
前記光酸発生剤の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全固形分を基準として、2質量%以上であり、
前記一般式(1)で表される化合物の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下である、感活性光線性又は感放射線性樹脂組成物。
Figure 0007309907000127

式AI中、Xa は、水素原子、フッ素原子以外のハロゲン原子、又は1価の有機基を表し、Tは、単結合又は2価の連結基を表し、Rx ~Rx は、それぞれ独立に、アルキル基、シクロアルキル基、アルケニル基、又はアリール基を表し、Rx ~Rx のいずれか2つが結合して環構造を形成してもよく、形成しなくてもよい。
Figure 0007309907000128

式(AII)中、
61 、R 62 及びR 63 は、各々独立に、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基、又はアルコキシカルボニル基を表す。但し、R 62 はAr と結合して環を形成していてもよく、その場合のR 62 は単結合又はアルキレン基を表す。
は、単結合、-COO-、又は-CONR 64 -を表す。R 64 は、水素原子又はアルキル基を表す。
は、単結合又はアルキレン基を表す。
Ar は、(n+1)価の芳香族炭化水素基を表し、R 62 と結合して環を形成する場合には(n+2)価の芳香族炭化水素基を表す。
は、n≧2の場合には各々独立に、水素原子又は酸の作用により脱離する基を表す。但し、Y の少なくとも1つは、酸の作用により脱離する基を表す。
nは、1~4の整数を表す。
Figure 0007309907000129

一般式(1)中、R~Rメチル基を表す。
An actinic ray-sensitive or radiation-sensitive resin composition containing a resin whose polarity is increased by the action of an acid, a photoacid generator, and a compound represented by the following general formula (1),
The resin whose polarity is increased by the action of an acid has at least one repeating unit represented by the following formula AI and a repeating unit represented by the following formula (AII),
The photoacid generator is at least one of a sulfonium salt compound and an iodonium salt compound,
The content of the photoacid generator is 2% by mass or more based on the total solid content of the actinic ray-sensitive or radiation-sensitive resin composition,
The content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition.
Figure 0007309907000127

In formula AI, Xa 1 represents a hydrogen atom, a halogen atom other than a fluorine atom, or a monovalent organic group, T represents a single bond or a divalent linking group, Rx 1 to Rx 3 are each independently represents an alkyl group, a cycloalkyl group, an alkenyl group, or an aryl group, and any two of Rx 1 to Rx 3 may or may not combine to form a ring structure.
Figure 0007309907000128

In formula (AII),
R 61 , R 62 and R 63 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an alkoxycarbonyl group. However, R62 may combine with Ar6 to form a ring, in which case R62 represents a single bond or an alkylene group.
X 6 represents a single bond, -COO- or -CONR 64 -. R64 represents a hydrogen atom or an alkyl group .
L6 represents a single bond or an alkylene group .
Ar 6 represents an (n+1)-valent aromatic hydrocarbon group, and when combined with R 62 to form a ring, represents an (n+2)-valent aromatic hydrocarbon group.
Each Y 2 independently represents a hydrogen atom or a group leaving by the action of an acid when n≧2. However, at least one of Y2 represents a group that leaves under the action of an acid.
n represents an integer of 1-4.
Figure 0007309907000129

In general formula (1), R 1 to R 3 represent methyl groups.
前記一般式(1)で表される化合物の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上である、請求項1に記載の感活性光線性又は感放射線性樹脂組成物。 The activity sensitivity according to claim 1, wherein the content of the compound represented by the general formula (1) is 1 ppm by mass or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition. 前記一般式(1)で表される化合物の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、100質量ppm以下である、請求項1又は2に記載の感活性光線性又は感放射線性樹脂組成物。 3. The content of the compound represented by the general formula (1) is 100 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition, according to claim 1 or 2. Actinic ray-sensitive or radiation-sensitive resin composition. 下記一般式(2)で表される化合物を、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.1質量ppm以上500質量ppm以下含有する、請求項1~3のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
Figure 0007309907000130

一般式(2)中、R及びRは各々独立に炭素数1~5のアルキル基を表す。
Claims 1 to 3, wherein a compound represented by the following general formula (2) is contained in an amount of 0.1 ppm by mass or more and 500 ppm by mass or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Actinic ray-sensitive or radiation-sensitive resin composition according to any one of the above.
Figure 0007309907000130

In general formula (2), R 4 and R 5 each independently represent an alkyl group having 1 to 5 carbon atoms.
前記一般式(2)で表される化合物の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上である、請求項4に記載の感活性光線性又は感放射線性樹脂組成物。 The activity sensitivity according to claim 4, wherein the content of the compound represented by the general formula (2) is 1 ppm by mass or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Light sensitive or radiation sensitive resin composition. 前記一般式(2)で表される化合物の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、200質量ppm以下である、請求項4又は5に記載の感活性光線性又は感放射線性樹脂組成物。 6. The content of the compound represented by the general formula (2) is 200 mass ppm or less with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition, according to claim 4 or 5. Actinic ray-sensitive or radiation-sensitive resin composition. 水を、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、1質量ppm以上1質量%以下含有する、請求項1~6のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。 The actinic ray-sensitive or radiation-sensitive resin composition according to any one of claims 1 to 6, containing 1 mass ppm or more and 1 mass% or less of water with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Or a radiation-sensitive resin composition. 前記水の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.01質量%以上である、請求項7に記載の感活性光線性又は感放射線性樹脂組成物。 The actinic ray-sensitive or radiation-sensitive resin according to claim 7, wherein the water content is 0.01% by mass or more with respect to the total mass of the actinic ray-sensitive or radiation-sensitive resin composition. Composition. 前記水の含有量が、前記感活性光線性又は感放射線性樹脂組成物の全質量に対して、0.5質量%以下である、請求項7又は8に記載の感活性光線性又は感放射線性樹脂組成物。 The actinic ray-sensitive or radiation-sensitive according to claim 7 or 8, wherein the content of said water is 0.5% by mass or less with respect to the total mass of said actinic ray-sensitive or radiation-sensitive resin composition. elastic resin composition. 下記一般式(3)で表される化合物を含有し、前記一般式(3)で表される化合物に対する前記一般式(1)で表される化合物の含有量が、0.1質量ppm以上0.05質量%以下である、請求項1~9のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。
Figure 0007309907000131

一般式(3)中、R~Rは各々独立に炭素数1~5のアルキル基を表す。
It contains a compound represented by the following general formula (3), and the content of the compound represented by the general formula (1) with respect to the compound represented by the general formula (3) is 0.1 ppm by mass or more and 0 The actinic ray-sensitive or radiation-sensitive resin composition according to any one of claims 1 to 9, which is 0.05% by mass or less.
Figure 0007309907000131

In general formula (3), R 6 to R 8 each independently represent an alkyl group having 1 to 5 carbon atoms.
前記一般式(3)で表される化合物に対する前記一般式(1)で表される化合物の含有量が、1質量ppm以上0.005質量%以下である、請求項10に記載の感活性光線性又は感放射線性樹脂組成物。 The actinic ray according to claim 10, wherein the content of the compound represented by the general formula (1) with respect to the compound represented by the general formula (3) is 1 ppm by mass or more and 0.005% by mass or less. sensitive or radiation sensitive resin composition. 支持体上に請求項1~11のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物を塗布した後に、70℃~100℃で加熱することにより感活性光線性又は感放射線性膜を形成する工程、前記感活性光線性又は感放射線性膜を露光する工程、及び、露光された前記感活性光線性又は感放射線性膜を、現像液を用いて現像する工程を含むパターン形成方法。 After applying the actinic ray-sensitive or radiation-sensitive resin composition according to any one of claims 1 to 11 on a support , the active ray-sensitive or radiation-sensitive resin composition is heated at 70°C to 100°C. a pattern comprising the steps of: forming an active ray-sensitive or radiation-sensitive film; exposing the actinic ray-sensitive or radiation-sensitive film; and developing the exposed actinic ray-sensitive or radiation-sensitive film with a developer. Forming method. 請求項12に記載のパターン形成方法を含む、電子デバイスの製造方法。
A method for manufacturing an electronic device, comprising the pattern forming method according to claim 12 .
JP2021561265A 2019-11-29 2020-11-09 Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method, and electronic device manufacturing method Active JP7309907B2 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2019217606 2019-11-29
JP2019217606 2019-11-29
JP2020054955 2020-03-25
JP2020054955 2020-03-25
JP2020107200 2020-06-22
JP2020107200 2020-06-22
JP2020145919 2020-08-31
JP2020145919 2020-08-31
PCT/JP2020/041714 WO2021106535A1 (en) 2019-11-29 2020-11-09 Active-ray-sensitive or radiation-sensitive resin composition, pattern formation method, and electronic device manufacturing method

Publications (2)

Publication Number Publication Date
JPWO2021106535A1 JPWO2021106535A1 (en) 2021-06-03
JP7309907B2 true JP7309907B2 (en) 2023-07-18

Family

ID=76130172

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021561265A Active JP7309907B2 (en) 2019-11-29 2020-11-09 Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method, and electronic device manufacturing method

Country Status (3)

Country Link
JP (1) JP7309907B2 (en)
TW (1) TW202131099A (en)
WO (1) WO2021106535A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220137693A (en) * 2020-03-30 2022-10-12 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, pattern formation method, resist film, electronic device manufacturing method
KR20240042118A (en) * 2021-09-29 2024-04-01 후지필름 가부시키가이샤 Method for producing actinic ray-sensitive or radiation-sensitive resin compositions and resist patterns

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011022348A (en) 2009-07-15 2011-02-03 Jsr Corp Radiation-sensitive resin composition and polymer used for the same
JP2016135756A (en) 2014-12-15 2016-07-28 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Purification method
WO2017175856A1 (en) 2016-04-08 2017-10-12 富士フイルム株式会社 Process liquid, method for manufacturing same, pattern formation method, and method for manufacturing electronic device
WO2018003808A1 (en) 2016-06-30 2018-01-04 東レ株式会社 Negative photosensitive resin composition, cured film, element provided with cured film, display device provided with element, and organic el display

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06324483A (en) * 1993-05-11 1994-11-25 Tokyo Ohka Kogyo Co Ltd Positive photoresist composition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011022348A (en) 2009-07-15 2011-02-03 Jsr Corp Radiation-sensitive resin composition and polymer used for the same
JP2016135756A (en) 2014-12-15 2016-07-28 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Purification method
WO2017175856A1 (en) 2016-04-08 2017-10-12 富士フイルム株式会社 Process liquid, method for manufacturing same, pattern formation method, and method for manufacturing electronic device
WO2018003808A1 (en) 2016-06-30 2018-01-04 東レ株式会社 Negative photosensitive resin composition, cured film, element provided with cured film, display device provided with element, and organic el display

Also Published As

Publication number Publication date
TW202131099A (en) 2021-08-16
JPWO2021106535A1 (en) 2021-06-03
WO2021106535A1 (en) 2021-06-03

Similar Documents

Publication Publication Date Title
JP7212029B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
JP6833053B2 (en) Photosensitive resin composition, resist film, pattern forming method and manufacturing method of electronic device
JP7295886B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for manufacturing electronic device
JP2023016886A (en) Photosensitive resin composition, production method therefor, resist film, pattern formation method, and method for producing electronic device
JP6727402B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
JPWO2020066342A1 (en) Actinic light-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
JP7309907B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method, and electronic device manufacturing method
JPWO2019054282A1 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and electronic device manufacturing method
JP7223765B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and electronic device manufacturing method
WO2019064961A1 (en) Photosensitive resin composition, resist film, method for forming pattern, and method for producing electronic device
JP7220229B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
JP2023090803A (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, and electronic device manufacturing method
JP7280957B2 (en) Actinic ray- or radiation-sensitive resin composition, actinic ray- or radiation-sensitive film, pattern forming method, and electronic device manufacturing method
JP7505003B2 (en) Actinic ray- or radiation-sensitive resin composition, method for manufacturing electronic device, and compound
JP7191981B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and electronic device manufacturing method
JP7124094B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
JP7084995B2 (en) Sensitive ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method, resin
JPWO2020105523A1 (en) Actinic light-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
JP7309888B2 (en) Actinic ray- or radiation-sensitive resin composition, actinic ray- or radiation-sensitive film, pattern forming method, and electronic device manufacturing method
JP7356568B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, pattern forming method, and electronic device manufacturing method
WO2021039429A1 (en) Active-light-sensitive or radiation-sensitive resin composition, active-light-sensitive or radiation-sensitive film, pattern forming method, and electronic device manufacturing method
WO2023157635A1 (en) Actinic-ray-sensitive or radiation-sensitive resin composition, actinic-ray-sensitive or radiation-sensitive film, pattern forming method, method for producing electronic device, and compound

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230331

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230627

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230705

R150 Certificate of patent or registration of utility model

Ref document number: 7309907

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150