JP7161543B2 - 再使用型サブ構造に依拠したナノワイヤ半導体構造の計測モデル - Google Patents

再使用型サブ構造に依拠したナノワイヤ半導体構造の計測モデル Download PDF

Info

Publication number
JP7161543B2
JP7161543B2 JP2020549056A JP2020549056A JP7161543B2 JP 7161543 B2 JP7161543 B2 JP 7161543B2 JP 2020549056 A JP2020549056 A JP 2020549056A JP 2020549056 A JP2020549056 A JP 2020549056A JP 7161543 B2 JP7161543 B2 JP 7161543B2
Authority
JP
Japan
Prior art keywords
model
nanowire
metrology
reusable
based semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020549056A
Other languages
English (en)
Other versions
JP2021518656A (ja
JPWO2019178424A5 (ja
Inventor
ホウサム シュエイブ
アレクサンダー クズネツォフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021518656A publication Critical patent/JP2021518656A/ja
Publication of JPWO2019178424A5 publication Critical patent/JPWO2019178424A5/ja
Application granted granted Critical
Publication of JP7161543B2 publication Critical patent/JP7161543B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • G06F30/17Mechanical parametric or variational design
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/308Contactless testing using non-ionising electromagnetic radiation, e.g. optical radiation
    • G01R31/309Contactless testing using non-ionising electromagnetic radiation, e.g. optical radiation of printed or hybrid circuits or circuit substrates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/308Contactless testing using non-ionising electromagnetic radiation, e.g. optical radiation
    • G01R31/311Contactless testing using non-ionising electromagnetic radiation, e.g. optical radiation of integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Nanotechnology (AREA)
  • Automation & Control Theory (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Sampling And Sample Adjustment (AREA)

Description

記載されている諸実施形態は計量システム及び方法に関し、より具体的には計測正確度が改善された方法及びシステムに関する。
(関連出願への相互参照)
本件特許出願は、「ナノワイヤサブ構造を伴う半導体デバイスモデルを生成する方法及びツール」(Methods And Tools For Generating Semiconductor Device Models With Nanowire Sub Structures)と題し2018年3月15日付で提出された米国仮特許出願第62/643322号に基づき米国特許法第119条の規定による優先権を主張するものであるので、参照によりその主題を丸ごと本願に繰り入れることにする。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を試料に適用することで製造される。それら半導体デバイスに備わる様々なフィーチャ(外形特徴)及び複数の構造階層がそれら処理工程によって形成される。例えば、それらのうちリソグラフィなる半導体製造プロセスではパターンが半導体ウェハ上に生成される。半導体製造プロセスの更なる例には、これに限られるものではないが化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作成した後、個別の半導体デバイスへと分けるようにするとよい。
計量プロセスは半導体製造プロセス中の様々な工程にて用いられており、それによりウェハ上の欠陥を検出して歩留まり向上を促進することができる。光学及びX線式計量技術により、標本破壊のリスクなしで高いスループットが得られる潜在性が提供されている。多数の技術、例えばスキャタロメトリ(散乱計測法)及びリフレクトメトリ(反射計測法)装置及びそれに関連する分析アルゴリズムが、限界寸法、膜厚、組成その他、ナノスケール構造のパラメタを解明すべく広く用いられている。
デバイス(例.論例デバイス及び記憶デバイス)はより小さなナノメートルスケール寸法へと移行しつつあり、それに伴い特性解明(キャラクタライゼーション)が困難化している。デバイスに複雑な三次元幾何が取り入れられ、また多様な物理特性を有する素材が取り入られたことで、特性解明困難性が助長されている。デバイス形状及びプロファイルが激変している。一例として、近年開発されたナノワイヤ依拠半導体デバイスには、新しい複雑な三次元幾何や、多様な向き及び物理特性を有する素材が取り入れられている。ナノワイヤデバイスは特性解明するのが難しく、とりわけ光学計量でのそれが難しい。
これらの難題に応ずべく、より複雑な光学計量ツールが開発されてきた。計測が幾つかのマシンパラメタ(例.波長、アジマス、入射角等々)の広範囲に亘り実行されており、またしばしば同時的に実行されている。結果として、信頼できる結果例えば計測レシピ及び正確な計測モデルを生成するための計測時間、情報処理時間及び合計時間が、顕著に増大している。
既存のモデル依拠計量方法には、通常、構造パラメタをモデル化(モデル表現)しその上で計測する一連のステップが含まれている。通常は、計測データ(例.DOEスペクトル)が一組の標本又はウェハ、格別な計量ターゲット、供試限界寸法ターゲット、インセル実デバイスターゲット、SRAMメモリターゲット等々から収集される。それら複雑な構造からの光学応答の正確なモデル、例えばその幾何学的特徴、分散パラメタ及びその計測システムのモデルが編成される。通常は、回帰を実行することでその幾何モデルが精細化される。加えて、シミュレーション近似(例.スラビング、厳密結合波分析(RCWA)等々)を実行することで、過度に大きな誤差の入り込みが回避される。離散化パラメタ及びRCWAパラメタが定義される。一連のシミュレーション、分析及び回帰を実行することで、その幾何モデルが精細化され、どのモデルパラメタを浮動させるかが決定される。合成スペクトルのライブラリが生成される。そして、そのライブラリ又は回帰を用いた計測がその幾何モデルで以てリアルタイムに実行される。
現状では、計測対象デバイス構造のモデルが、計測モデル化ツールのユーザにより基礎的(プリミティブ)構造構築ブロックから組み立てられている。それら基礎的構造構築ブロックは単純な幾何形状(例.正方錐台)であり、それらを一体に組み立てることでより複雑な構造を近似できるものである。それら基礎的構造構築ブロックをユーザがサイズ決めし、またときとしてユーザ入力に基づきカスタマイズすることで、各基礎的構造構築ブロックの形状詳細を指定することができる。一例としては、各基礎的構造構築ブロックに備わる一体型カスタマイゼーション制御パネルにて、ユーザが具体的なパラメタを入力することで、その形状詳細を決定しモデル化対象実物理構造にマッチさせる。同様に、やはりユーザによりマニュアル入力される拘束条件によって、基礎的構造構築ブロック同士を結合させる。例えば、ユーザにより入力される拘束条件によって、ある基礎的構築ブロックの頂点を別の構築ブロックの頂点に結びつける。それによって、ユーザは、ある構築ブロックのサイズが変化したときに、一連の実デバイス幾何を表すモデルを構築することができる。ユーザにより定義される基礎的構造構築ブロック間拘束条件によって、広範なモデル化柔軟性を実現することができる。例えば、マルチターゲット計測アプリケーションにて、相異なる基礎的構造構築ブロックの厚みや高さを拘束して単一パラメタにすることができる。更に、諸基礎的構造構築ブロックの幾何パラメタ表現は単純であり、ユーザがそれらを拘束してアプリケーション固有のパラメタ群にすることができる。例えば、レジストラインのサイドウォール(側壁)角をマニュアル拘束して、リソグラフィプロセスの焦点及び照射量を表すパラメタ群にすることができる。
モデルを基礎的構造構築ブロックから築くことで広範なモデル化柔軟性及びユーザ制御が提供されるけれども、ナノワイヤ依拠半導体構造をモデル化する際のモデル構築プロセスが、非常に複雑で誤差が起きやすいものとなる。ユーザには、基礎的構造構築ブロック同士を正確に組み立て、それらが正しく拘束されるようにすること、並びにそのモデルを幾何拘束的要領でパラメタ表現(パラメタ化)することが求められる。これを果たすことは容易なタスクではなく、ユーザが大量の時間を費やさねばそれらのモデルが正しいことを保証できない。多くの場合、全ての基礎的構造構築ブロックの形状及びパラメタ空間内所在個所がどのように変化するかを把握するのは難しいため、ユーザはそれらのモデルが矛盾していて不正確なことを察知することができない。具体的には、ある与えられた一組のパラメタ値に関し構造的に無矛盾なモデル群が、別の一組のパラメタ値に関しても構造的に無矛盾なままとなるのか、判別するのは非常に難しい。
図1Aには相異なる12個の基礎的構造構築ブロック11~22が示されており、それらを一体に組み立てることで、図1Bに示す光学限界寸法(OCD)モデル10を形成することができる。各基礎的構造構築ブロックの形状は長方形である。OCDモデル10を築くには、ユーザがそのモデルの所望寸法、拘束条件及び独立パラメタ(例.変動対象パラメタ)をマニュアルで定義しなければならない。諸基礎的構造構築ブロック(即ち基礎形状例えば長方形)をもとに築かれたモデルでは、通常、ユーザが変動範囲を定義しなければならない基礎要素、拘束条件及び独立パラメタが多数必要とされる。これによりモデル構築が非常に複雑になり、ユーザ誤差・過誤が起きやすくなる。
更に、モデルの複雑性により、他者により構築されたモデルを個々のユーザが理解するのが難しくなる。そのユーザはそのモデルの原オーナの意図を理解できねばならず、基礎的構造構築ブロック、拘束条件及び独立パラメタの個数が増すにつれそれがますます難題となる。従って、モデルの所有権の(例.アプリケーションエンジニアからプロセスエンジニアへの)移転が、時間を食う厄介なプロセスとなる。多くの場合、諸モデルの複雑性が同僚間の欲求不満につながり、場合によっては移転プロセスが全面完遂されることさえ妨げられる。事例によっては、同僚により生成されたモデルを模倣する目的で、ユーザが基礎的構造構築ブロック群から新規モデルを生成することがある。多くの場合、コンピュータ上での浮動小数点演算の非可換特性故に、そうしてもたらされるモデルはわずかしか違わず、従ってわずかに違う結果しかもたらさない。また例えば、そのモデルが別の企業により開発されてしまい、ユーザが知的所有権を投げ出し又は損逸することがある。
既存の基礎的構造構築ブロックは、プレーナ相補型金属酸化物半導体(CMOS)構造及び垂直FinFET構造をモデル化すべく設計されている。それらのアプリケーションに関しては、現状の基礎的構造構築ブロックにより、広範なモデル化柔軟性が見込まれ、且つ実幾何の穏当な近似が良好な計測正確度で以て提供される。これら旧来構造における物理的ギャップは限定的であり、既存の基礎的構造構築ブロックが有効であった。
不運なことに、既存の基礎的構造構築ブロックは、ナノワイヤ作成プロセスを用い作成されるナノワイヤ依拠半導体構造のモデル化には不適切である。そのモデル構築プロセスを既存の基礎的構造構築ブロックで以て行うと、非常に複雑になり誤差が起きやすい。ナノワイヤ依拠半導体構造のトポグラフィは、既存のプレーナ構造や垂直構造とは異なっている。既存の基礎的構造構築ブロックでは、十分に柔軟でないため、ナノワイヤ作成プロセスで出くわす諸形状を正確に表すことができない。結果としてモデル正確度が痛手を受ける。ユーザが数個の基礎的構造構築ブロックを正確に一体組立し、誤差のない正しい拘束条件を設定し、そして無矛盾なやり方でそのモデルを再パラメタ化した場合でさえ、もたらされるモデルが、現実のナノワイヤ依拠半導体構造を表せるほど正確なものとはならない。加えて、現在利用可能な構造構築ブロックで以てナノワイヤ依拠半導体構造の近似モデルを構築することは、誤差・過誤が起きやすいタスクである。ユーザが大量の時間を費やさないと、それらのモデルが計画通り、設計通りに確と実現されたことを保証できない。多くの場合、利用可能な構造構築ブロックの複雑な組立でもたらされるのは、矛盾があり不正確なモデルとなる。多くの場合、ナノワイヤ半導体構造のモデルを、既存の構造構築ブロックを用い正確にモデル化することはできない。更に、諸層のなかには、既存の構造構築ブロックを用いるのでは全くモデル化できないものがある。
米国特許第7826071号明細書 米国特許出願公開第2015/0110249号明細書 米国特許第8941336号明細書 米国特許第8749179号明細書 米国特許第7929667号明細書 米国特許出願公開第2019/0017946号明細書 米国特許第7478019号明細書 米国特許第8879073号明細書 米国特許出願公開第2018/0059019号明細書
まとめると、既存の構造構築ブロックでのナノワイヤ依拠半導体構造のモデル化には、多数の構造基礎要素、拘束条件及び独立パラメタの明細化が必要である。ユーザが大量の時間を費やさないと近似構造が構築されない。そのプロセスは誤差が起きやすく且つ柔軟でない。ユーザがマニュアルで所望寸法を定義し、拘束条件を設定し、独立パラメタの値を特定している。こうした努力にもかかわらず、多くの例で、もたらされるモデルが、ナノワイヤ依拠製造プロセスを多少とも有用な正確度で以てモデル化できないものとなる。
最近まで、計量構造が十分に単純なままであったため、それらにより新規モデルがプロジェクト毎に日常的に設計されていた。しかしながら、モデル化されるナノワイヤ依拠半導体構造が進展するにつれ、もたらされるモデルがますます複雑になり、不首尾な結果となってきている。ナノワイヤ依拠半導体構造がより一般的になってきており、しかもプロジェクト毎の時間が削られてきていることから、モデル化方法及びツールの改善が望まれている。
本願では、再使用型パラメトリックモデルをもとにナノワイヤ依拠半導体構造の計測モデルを生成する方法及びシステムが提示される。それらのモデルを採用する計量システムが、ナノワイヤ半導体製造プロセスに係る構造特性及び素材特性(例.構造及び膜の素材組成、寸法特性等々)を計測しうるよう構成される。ナノワイヤ依拠半導体構造のそれら再使用型パラメトリックモデルにより、かなり単純で誤差が起きにくくより正確な計測モデル生成が可能となる。結果として、複雑なナノワイヤ依拠構造をモデル化する際に特に、有用な計測結果に至る時間が顕著に減ることとなる。ナノワイヤ依拠半導体構造のそれら再使用型パラメトリックモデルは、光学計量及びX線計量双方、例えば軟X線計量や硬X線計量に係る計測モデルの生成に役立つ。
ある態様では、モデル構築ツールに、複雑なデバイスサブ構造の再使用型パラメトリックモデルであり、複雑なナノワイヤ依拠半導体デバイスのモデルにて構築ブロックとして用いうるものが、組み込まれる。これにより、そのモデル構築プロセスがより直観的で且つ誤差が起きにくいものとなる。更に、その再使用型パラメトリックサブ構造モデルがナノワイヤ依拠半導体構造及び計測アプリケーション向けに最適化されるため、もたらされる離散化計測モデルが、旧来のモデルよりも情報処理面でより効率的なものとなる。加えて、そのパラメトリックサブ構造モデルを保存しておき、様々なプロジェクト間、様々なユーザ間で共有することができる。
再使用型パラメトリックサブ構造モデルは、そのモデル構築ツールのユーザにより入力された独立パラメタの値によって、全面定義される。組成幾何要素間の内的拘束条件及びモデル形状に係る他の全ての変数は、そのモデル内で事前定義される。即ち、その再使用型パラメトリックサブ構造モデルを全面定義するのに、独立パラメタの値以外のユーザ入力が必要ない。これによりそのモデル構築プロセスが大きく単純化される。
更なる態様では、新たな一組のパラメトリックサブ構造モデル(即ち基礎的ナノワイヤ構築ブロック)が記述される。それら基礎的ナノワイヤ構築ブロックを採用するのは、ナノワイヤ作成プロセスに依拠した次世代半導体デバイスの幾何を、正確にモデル化するためである。ユーザにより入力された独立パラメタの値によって、各基礎的ナノワイヤ構築ブロックが全面定義される。その基礎的ナノワイヤ構築ブロックの形状を定義するのに、他のユーザ入力は必要とされない。これにより、ナノワイヤ依拠半導体構造に係るモデル構築プロセスが顕著に単純化される。これは、複雑なナノワイヤ依拠半導体デバイスの、小誤差でのかなり高速なモデル化につながる。
本願では、円筒形基礎的ナノワイヤ構築ブロック、正方形基礎的ナノワイヤ構築ブロック、長方形又はスラブ形基礎的ナノワイヤ構築ブロック、六角形基礎的ナノワイヤ構築ブロック、丸め縁を有する正方形ナノワイヤ構築ブロック、楕円形基礎的ナノワイヤ構築ブロック、二通りの相異なる断面を有する段差形基礎的ナノワイヤ構築ブロック、並びに二通りの相異なる断面を有する長尺ナノワイヤ構築ブロックを初め、幾通りかの相異なる基礎的ナノワイヤ構築ブロックが提示される。一般に、各ナノワイヤ構築ブロックを横に向けても垂直に向けてもよい。
幾つかの実施形態では、多数の基礎的ナノワイヤ構築ブロック、或いはより単純な再使用型パラメトリックサブ構造モデル(例.複合ナノワイヤ構築ブロック)でありユーザにより示されたものの複合物をもとに、モデル構築ツールによって再使用型パラメトリックサブ構造モデル(例.複合ナノワイヤ構築ブロック)が生成される。その複合物により個別モデルの集団を単一の再使用型パラメトリックサブ構造モデルへと変化させ、それを計測モデルの一要素として基礎的構築ブロックであるかの如く用いることができる。そのモデル構築ツールによりそのサブ構造モデルが後刻使用に備え保存される。内的には、下地をなす幾何基礎的全てを全面統合するのに必要な拘束条件が、そのサブ構造モデルに組み込まれる。それら拘束条件はそのサブ構造モデルの一部として保存され、そのサブ構造モデルの各インスタンスにて強制される。この形態では、ユーザが、共用される複雑な形状の集団を、事前定義されている拘束条件で以て生成することができる。そのサブ構造モデルを、アンロードしてファイル内に保存すること、プロジェクトへとリロードして用いること、並びにユーザ間で共有することができる。
本願では、均一厚ラッパ、不均一厚ラッパ、均一共形ライナラッパ、不均一共形ライナラッパ、共形スペーサラッパ及びインナスペーサを初め、幾通りかの相異なる複合ナノワイヤ構築ブロックが提示される。
別の更なる態様では、モデル構築ツールにより、1個又は複数個の再使用型パラメトリックモデルが統合され、複雑なナノワイヤ依拠半導体デバイスの計測モデルとなる。幾つかの実施形態では、モデル構築ツールによりユーザからの入力が受領され、それにより諸幾何基礎的が再使用型パラメトリックサブ構造モデルと組み合わされて計測モデルが形成される。他の幾つかの実施形態では、半導体デバイスの計測モデルが1個の再使用型パラメトリックモデルによって全面記述される。他の幾つかの実施形態では、半導体デバイスの計測モデルが2個以上の再使用型パラメトリックモデルの組合せによって全面記述される。
そのモデル構築ツールにより生成されたそれら再使用型パラメトリックサブ構造モデルにより、再使用可能なサブ構造のライブラリをユーザ又はユーザ集団が生成することが可能になる。同じサブ構造モデルの相異なるインスタンスを用いる相異なるユーザが、同じ数値結果の達成を期待することができる。
別の更なる態様では、複雑なデバイスサブ構造の再使用型パラメトリックモデルであり、それらのデザインに埋め込まれた特定の半導体プロセスの根幹特性を含むものが、そのモデル構築ツールにより生成され使用可能とされる。
別の更なる態様では、複雑なデバイスサブ構造の再使用型パラメトリックモデルであり、計測アプリケーションの具体的詳細(例.特定のアプリケーションから導出される拘束条件、寸法等々)を含むものが、そのモデル構築ツールにより生成され使用可能とされる。
更に別の態様では、特定ユーザとの繊細な知的所有権の共有を管制するためのセキュリティフィーチャが、そのモデル構築ツールに組み込まれる。
上掲のものは概要であり、随所に単純化、一般化及び細部省略が含まれているので、本件技術分野に習熟した者(いわゆる当業者)には察せられるように、この概要は専ら例証的なものであり、如何様であれ限定性のものではない。本願記載のデバイス・装置及び/又はプロセス・処理の他態様、新規特徴及び長所は、本願中で説明される非限定的詳細記述にて明らかとされよう。
12個の相異なる基礎的構造構築ブロック11~22であり、その一体組立により図1Bに示す光学限界寸法(OCD)モデル10が形成されるものを、描いた図である。 光学限界寸法(OCD)モデル10を描いた図である。 本願記載の如くナノワイヤ依拠半導体構造の再使用型パラメトリックサブ構造モデルが含まれている計測モデルを踏まえ半導体ウェハの特性を計測するシステム100の実施形態を描いた図である。 本願記載の如くナノワイヤ依拠半導体構造の再使用型パラメトリックサブ構造モデルを生成するよう構成されているモデル構築兼分析エンジン130の実施形態を描いた図である。 本願記載の如くナノワイヤ依拠半導体構造の再使用型パラメトリックサブ構造モデルが含まれている計測モデルを踏まえ半導体ウェハの特性を計測するシステム300の実施形態を描いた図である。 本願記載の如くナノワイヤ依拠半導体構造の再使用型パラメトリックサブ構造モデルを生成するよう構成されているモデル構築兼分析エンジン350の実施形態を描いた図である。 ナノワイヤ依拠半導体構造150を描いた図である。 円筒形基礎的ナノワイヤ構築ブロック160を描いた図である。 丸め縁を有する正方形基礎的ナノワイヤ構築ブロック161を描いた図である。 長方形又はスラブ形基礎的ナノワイヤ構築ブロック162を描いた図である。 六角形基礎的ナノワイヤ構築ブロック163を描いた図である。 楕円形基礎的ナノワイヤ構築ブロック164を描いた図である。 2個の相異なる断面を有する段差形基礎的ナノワイヤ構築ブロック165を描いた図である。 2個の相異なる断面を有する長尺形基礎的ナノワイヤ構築ブロック166を描いた図である。 ナノワイヤの周囲にラッピングされた2個の共形層を表す再使用型パラメトリックサブ構造モデル170を描いた図である。 不均一厚ラッパ構築ブロック171の斜視図である。 不均一厚ラッパ構築ブロック171の端面図である。 共形ライナラッパ構築ブロック180の等角図である。 共形ライナラッパ構築ブロック180の端面図である。 共形ライナラッパ構築ブロック180の側面図である。 不均一共形ライナラッパ構築ブロック190の等角図である。 不均一共形ライナラッパ構築ブロック190の端面図である。 不均一共形ライナラッパ構築ブロック190の側面図である。 共形スペーサラッパ構築ブロック200の等角図である。 共形スペーサラッパ構築ブロック200の端面図である。 共形スペーサラッパ構築ブロック200の側面図である。 インナスペーサ処理工程前のナノワイヤ構造210を示す図である。 インナスペーサ処理工程後のナノワイヤ構造220を示す図である。 インナスペーサ構築ブロック及びそれに対応するナノワイヤのアレイ220の端面図である。 インナスペーサ構築ブロック及びそれに対応するナノワイヤのアレイ220の側面図である。 インナスペーサ構築ブロック及びそれに対応するナノワイヤのアレイ220の等角図である。 本願記載の如く再使用型パラメトリック幾何構築ブロックで以て生成された計測モデルを踏まえナノワイヤ依拠半導体構造を計測する方法400を描いた図である。 本願記載の如くナノワイヤ依拠半導体構造の再使用型パラメトリックサブ構造モデルが含まれている計測モデルを踏まえ半導体ウェハの特性を計測するシステム500の実施形態を描いた図である。
以下、本発明の背景例及び幾つかの実施形態であり、その例が添付図面に描かれているものについて、詳細に参照することにする。
限界寸法(CD)、薄膜厚、光学特性及び組成、オーバレイ、リソグラフィ焦点/照射量等々に関するスキャタロメトリ依拠計量では、通常、下地をなす計測対象構造の幾何モデルが必要となる。その計測モデルは、その構造の物理寸法、素材特性及びパラメタ表現を含むものとされる。
本願では、再使用型パラメトリックモデルをもとにナノワイヤ依拠半導体構造の計測モデルを生成する方法及びシステムが提示される。それらのモデルを用いる計量システムが、ナノワイヤ半導体製造プロセスに係る構造特性及び素材特性(例.構造及び膜の素材組成、寸法特性等々)を計測しうるよう構成される。ナノワイヤ依拠半導体構造の再使用型パラメトリックモデルによって、かなり単純で誤差が起きにくくより正確な計測モデル生成が可能となる。結果として、複雑なナノワイヤ依拠構造をモデル化する際に特に、有用な計測結果に至る時間が顕著に短縮される。ナノワイヤ依拠半導体構造の再使用型パラメトリックモデルは光学計量及びX線計量双方、例えば軟X線計量及び硬X線計量に係る計測モデルの生成に役立つ。
図2には、半導体ウェハの特性を計測するシステム100が描かれている。図2に示すシステム100を用い、ウェハ位置決めシステム110上に配置された半導体ウェハ112に備わる1個又は複数個の構造114について、分光エリプソメトリ(楕円偏向法)計測を実行することができる。この態様の如く、本システム100には、照明器102及びスペクトロメータ(分光計)104が装備された分光エリプソメータ101(楕円偏向計)を設けることができる。本システム100の照明器102は、指定波長域(例.150~4500nm)の照明を生成し、半導体ウェハ112の表面上に位置する構造114へと差し向けるよう、構成されている。他方のスペクトロメータ104は、その半導体ウェハ112の表面からの光を受け取るよう構成されている。更に注記されることに、照明器102に発する光が偏向状態生成器107を用い偏向され、それにより偏向照明ビーム106が生成されている。ウェハ112上に位置する構造114により反射された輻射が、偏向状態アナライザ109に通されスペクトロメータ104に至っている。その輻射を、集光ビーム108の態でスペクトロメータ104の検出器により受光し、偏向状態に関し分析することで、そのアナライザを通過した輻射についてスペクトル分析を行うことができる。それらスペクトル111は、構造114の分析のため情報処理システム116に渡される。
更なる実施形態では、計量システム100たる計測システム100に1個又は複数個の情報処理システム116が設けられ、本願にて提供される記述に従いモデル構築兼分析ツール130を実行するようそれが構成される。好適実施形態ではモデル構築兼分析ツール130が一組のプログラム命令120とされ、キャリア媒体118上にそれらが格納される。キャリア媒体118上に格納されているプログラム命令120を情報処理システム116により読み出し実行することで、本願記載のモデル構築兼分析機能を実現することができる。当該1個又は複数個の情報処理システム116を、スペクトロメータ104に可通信結合させることができる。ある態様では、当該1個又は複数個の情報処理システム116が、試料112に備わる構造114の計測に係る計測データ111(例.限界寸法、膜厚、組成、プロセス等々)を受け取るよう構成される。ある実施例では、その計測データ111が、スペクトロメータ104に発し一通り又は複数通りの標本化プロセスに依拠して計測システム100によりその試料について計測されたスペクトル応答(例.波長の関数として計測された強度)の指示情報を、含むものとされる。ある種の実施形態では、当該1個又は複数個の情報処理システム116が更に、計測データ111から構造114の試料パラメタ値を求めるよう構成される。
ある種の実施例では、光学スキャタロメトリに依拠した計量に際し、事前定義されている計測モデルを計測データで以て逆解することでその標本の寸法が求められる。その計測モデルは、少数(10個オーダ)の可調パラメタを含んでいて、その試料の幾何特性及び光学特性並びにその計測システムの光学特性を表せるものとする。逆解法としては、これに限られるものではないが、モデル依拠回帰、トモグラフィ、機械学習又はそれらの何らかの組合せがある。こうしたやり方で、計測された光強度とモデル化結果との間の誤差が最小化されるパラメタ化計測モデルの値に関し解くことで、ターゲットプロファイルパラメタが推定される。
更なる態様では、情報処理システム116が、試料に備わる被計測構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、少なくとも1個の幾何パラメタを含む光学応答モデルをその構造モデルから生成し、そしてその光学応答モデルで以て光学計測データの当て嵌め分析を実行して少なくとも1個の試料パラメタ値を解明するよう、構成される。その分析エンジンを用い、それらシミュレートされた光学応答信号を計測データと比べることで、その標本の幾何特性及び素材特性の判別を行うことができる。図2に示す実施形態では情報処理システム116がモデル構築兼分析エンジン130として構成されており、本願記載の如くモデル構築及び分析機能が実現されるようそのエンジンが構成されている。
図3は、情報処理システム116により実現されるモデル構築兼分析エンジン130の例を描いた図である。図3に示すように、モデル構築兼分析エンジン130は、試料上に位置する被計測ナノワイヤ依拠半導体構造の構造モデル132を部分的にはユーザ入力113に基づき生成する、構造モデル構築モジュール131を有している。ある種の実施形態では、構造モデル132に試料の素材特性も組み込まれる。構造モデル132は光学応答関数構築モジュール133への入力として受領される。光学応答関数構築モジュール133は、その構造モデル132に少なくとも部分的に依拠して光学応答関数モデル135を生成する。
光学応答関数モデル135は、当て嵌め分析モジュール137への入力として受領される。当て嵌め分析モジュール137は、そのモデル化光学応答を対応する計測データ111と比べることで、その試料の幾何特性及び素材特性を判別する。
ある種の実施例では、その光学応答モデル135で以て光学計測データ111に対する当て嵌め分析を実行することによって、当て嵌め分析モジュール137により少なくとも1個の試料パラメタ値が解明される。
光学計量データの当て嵌めは、どのような種類のものであれ、注目幾何及び/又は素材パラメタに対し有感な光学計量テクノロジで益のあることである。その試料との光相互作用を記述する適正なモデルが用いられる限り、試料パラメタが決定論的(例.CD、SWA等々)でも統計的(例.サイドウォール粗さのrms高、粗さ相関長等々)でもかまわない。
一般には、リアルタイム限界寸法決定(RTCD)を用いリアルタイムにモデルパラメタ群にアクセスするよう情報処理システム116が構成されるが、それが事前計算済モデルのライブラリにアクセスして試料112に係る少なくとも1個の試料パラメタ値を決定するのでもよい。一般には、ある種の形態のCDエンジンを用い、試料の割当CDパラメタと、被計測試料に係るCDパラメタと、の間の差異を評価するのがよい。試料パラメタ値計算方法及びシステムの例が、KLA-Tencor Corp.に対し2010年11月2日付で発行された特許文献1にて記述されているので、参照によりその全容を本願に繰り入れることにする。
加えて、ある種の実施形態では、当該1個又は複数個の情報処理システム116が更に、ユーザ入力源103例えばグラフィカルユーザインタフェース、キーボード等々からユーザ入力113を受け取るよう構成される。当該1個又は複数個のコンピュータシステムが、更に、本願記載の再使用型パラメトリックサブ構造モデルを構成することで計測下ナノワイヤ依拠半導体構造の構造モデル(例.構造モデル132)を生成するよう、構成される。
ある種の実施形態では、計測システム100が更に、1個又は複数個の再使用型パラメトリックサブ構造モデル115をメモリ(例.キャリア媒体118)内に格納するよう、構成される。
図4には、本願にて提示されている方法例に従い試料の特性を計測するX線計量ツール300の実施形態が描かれている。図4に示すように、本システム300を用いることで、試料位置決めシステム340上に配置された試料301の検査エリア302に亘り、X線スキャタロメトリ計測を実行することができる。ある種の実施形態ではその検査エリア302のスポットサイズが500μm以下とされる。ある種の実施形態ではその検査エリア302のスポットサイズが50μm以下とされる。
図示実施形態では、計量ツール300が、X線スキャタロメトリ計測に適するX線輻射を生成するよう構成された、X線照明源310を有している。ある種の実施形態では、0.01nm~1nmの波長を生成するようX線照明システム310が構成される。X線照明源310により生成されたX線ビーム317は、試料301の検査エリア302上に入射する。
一般に、高スループット計量を実現するのに十分な光束レベルで高輝度X線を生成することが可能な、好適な高輝度X線照明源は全て、X線スキャタロメトリ計測向けのX線照明を供給しうるものと考えられる。ある種の実施形態によれば、X線源に可調モノクロメータを設けることで、そのX線源により送給されるX線輻射の波長を様々に選べるようにすることができる。
ある種の実施形態によれば、15keV超の光子エネルギを有する輻射を放射する1個又は複数個のX線源を用いることで、デバイス全体及びウェハ基板を通じ十分な伝搬が可能な波長の光をそのX線源により確と供給させることができる。例えば、これに限られないが、粒子加速器線源、液体アノード線源、回動アノード線源、静止固体アノード線源、マイクロフォーカス線源、マイクロフォーカス回動アノード線源及び逆コンプトン線源の何れも、X線源310として採用することができる。一例としては、米国カリフォルニア州パロアルト所在のLyncean Technologies,Inc.から入手可能な逆コンプトン線源を、想定することができる。逆コンプトン線源には、ある範囲の光子エネルギに亘りX線を生成することができ、従ってそのX線源により送給されるX線輻射の波長を様々に選択できる、という付加的長所がある。ある種の実施形態では、固体又は液体ターゲットに衝撃を与えてX線輻射を触発するよう構成された電子ビーム源が、X線源に組み込まれる。
ある実施形態では、その入射X線ビーム317が24.2keVのインジウムkα線に所在する。X線スキャタロメトリ計測用の多層X線光学系を用い、1mrad未満の発散になるまでそのX線ビームが平行化される。
ある種の実施形態では、その入射X線ビームのプロファイルが1個又は複数個のアパーチャ、スリット又はその組合せにより制御される。更なる実施形態では、試料の姿勢と協調して回動するようそのアパーチャ、スリット又はその双方を構成することで、個々の入射角、アジマス角又はその双方に関し入射ビームのプロファイルを最適化させる。
図4に示すように、X線光学系315は入射X線ビーム317を整形して試料301へと差し向ける。ある種の実施例では、その試料301上に入射するX線ビームを単色化するX線モノクロメータがX線光学系315に組み込まれる。一例としては結晶モノクロメータ例えばロクスレイ・タナー・ボーエンモノクロメータが採用され、それによりそのX線輻射ビームが単色化される。ある種の実施例では、X線光学系315にて、多層X線光学系を用い、1mrad未満の発散になるまで、X線ビーム317が平行化され或いは試料301の検査エリア302上に集束される。ある種の実施形態では、X線光学系315に、1個又は複数個のX線平行化鏡、X線アパーチャ、X線ビームストップ、屈折性X線光学系、回折光学系例えばゾーンプレート、鏡面X線光学系例えばかすめ入射楕円体鏡、ポリキャピラリ光学系例えば中空キャピラリX線導波路、多層光学系又はシステム、或いはそれらの何らかの組合せが組み込まれる。更なる詳細が特許文献2に記載されているので、参照によりその全容を本願に繰り入れることにする。
大略、この照明光学システムの焦平面は計測アプリケーション毎に最適化される。こうすることで、計測アプリケーション次第でその試料内の異なる深さに焦平面を所在させるよう、システム300が構成されることとなる。
X線検出器316は、試料301から散乱されてきたX線輻射325を集め、試料301の特性のうち入射X線輻射に対し感応的な特性を示す出力信号326を、X線スキャタロメトリ計測方式に従い生成する。ある種の実施形態では、試料位置決めシステム340により試料301を位置決め及び方向決めすることで角度分解散乱X線を生成しつつ、X線検出器316により散乱X線325が集められる。
ある種の実施形態では、X線スキャタロメトリシステムに、広いダイナミックレンジ(例.10超)を有する1個又は複数個の光子計数型検出器と、最小限の寄生後方散乱で以て損傷なしに直接ビーム(即ち0次ビーム)を吸収する厚手な高吸収性結晶基板とが組み込まれる。ある種の実施形態では、単一の光子計数型検出器により検出光子の位置及び個数が検出される。
ある種の実施形態では、そのX線検出器により一通り又は複数通りのX線光子エネルギに分解され、その試料の特性を示すX線エネルギ成分毎に信号が生成される。ある種の実施形態では、そのX線検出器316が、CCDアレイ、マイクロチャネルプレート、フォトダイオードアレイ、マイクロストリップ型比例計数器、気体充填型比例計数管、シンチレータ及び蛍光素材のうち何れかを有するものとされる。
このようにすると、その検出器におけるX線光子相互作用が、画素位置及び計数値に加えエネルギにより弁別される。ある種の実施形態では、それらX線光子相互作用が、そのX線光子相互作用のエネルギを所定の上閾値及び所定の下閾値と比較することで弁別される。ある実施形態ではこの情報が出力信号326により情報処理システム330に送られ、更なる処理及び格納に供される。
更なる態様では、X線スキャタロメトリシステム300を用い、一通り又は複数通りの計測強度に基づき試料の特性(例.構造パラメタ値)が求められる。図4に示すように、計量システム300に備わる情報処理システム330を用いることで、検出器316により生成された信号326を獲得することができ、それら獲得信号に少なくとも部分的に依拠してその試料の特性を求めることができる。
X線スキャタロメトリ計測では、構造(例.高アスペクト比垂直製造構造)によって、平行化又は集束X線ビームが様々な回折次数で回折される。各次数の回折光は、予測可能な特定の方向に進行する。回折次数間の角度間隔は、その試料の格子定数を波長で除したものに反比例する。それら回折光は、ウェハから幾ばくかの距離のところに置かれた検出器アレイによって検出される。その検出器の各画素によって、その画素に射突した光子の個数を示す信号が出力される。
諸次回折光の強度は形式I(m,n,θ,φ,λ)、但し{m,n}は回折次数を示す整数指数、{θ,φ}は入射ビームの仰角及びアジマス角(即ちウェハに固定された座標系を基準とした入射主光線の極座標値)、λはその入射X線の波長、という形式をなしている。
照明光は、照明源から出て試料の方へと伝搬する際に、幾つかのノイズ源によって擾乱される。擾乱の例には電子ビーム流ふらつき、温度誘起性光学系ドリフト等々がある。擾乱された入射光束はF(1+n)と表される。
ターゲットは、入射ビームのアジマス角及び仰角に依存する形態にてその入射輻射を散乱させる。次数(m,n)への光散乱の効率はSmn(θ,φ)として定義することができる。その回折光が試料から検出器へと伝搬する際に、そのビームが通過する他の散乱媒質によって、全ての次数が、幾ばくかのばらつき(1+n)及び寄生ノイズ(n)で以て同様の影響を受ける。即ち、時刻tにて計測された各次数の総強度Imnを、等式(1)により表すことができる。
Figure 0007161543000001
ある種の実施形態では、望ましいことに、図4に示す座標系346により示されるx軸及びy軸周りでの回動により記述される姿勢を、様々な姿勢にして計測が実行される。これにより、分析に利用可能なデータセットの個数及び多様性を増強させ、多様な大角面外れ姿勢を含めることで、パラメタ計測結果の精度及び正確度が高まりパラメタ間相関が低減される。より深みがありより多様なデータセットで以て試料パラメタを計測することでも、パラメタ間相関が低減され計測正確度が改善される。例えば垂直姿勢では、X線スキャタロメトリによりフィーチャの限界寸法を解明することができるが、フィーチャのサイドウォール角及び高さに対してはほとんど不感となる。しかしながら、計測データを広範な面外れ角度位置に亘り収集することで、フィーチャのサイドウォール角及び高さを解明することができる。
図4に描かれているように、計量ツール300は試料位置決めシステム340を有しており、試料301を整列させるよう、且つそのスキャタロメータ(散乱計)を基準としてある広範な面外れ角度方位に試料301を向けるよう、それが構成されている。言い換えれば、試料301の表面と同面内に整列している1本又は複数本の回動軸周りで、ある広い角度範囲に亘り試料301を回動させうるよう、試料位置決めシステム340が構成されている。ある種の実施形態では、試料301の表面と同面内に整列している1本又は複数本の回動軸周りで、少なくとも120°の範囲内で試料301を回動させるよう、試料位置決めシステムが構成される。この要領で、試料301の角度分解計測結果が、計量システム300によって、試料301の表面上の何個所にも亘って収集される。ある実施例では、情報処理システム330から試料位置決めシステム340のモーションコントローラ345へとコマンド信号が送られ、それにより試料301の所望位置が指し示される。これに応じ、モーションコントローラ345が、試料位置決めシステム340に備わる様々なアクチュエータに対するコマンド信号を生成することで、試料301の位置決めを所望通り達成することができる。
例えば、これに限られないが、図4に描かれているように、試料位置決めシステム340にエッジグリップチャック341を設け、それにより試料301を試料位置決めシステム340に固定装着することができる。回動アクチュエータ342が、エッジグリップチャック341及びそれに装着されている試料301をペリメータフレーム343に対し回動させるよう、構成される。図示実施形態では、回動アクチュエータ342が、図4に描かれている座標系346のx軸周りで試料301を回動させるよう、構成されている。図4に示されているように、試料301のz軸周り回動が試料301の面内回動である。x軸及びy軸(図示せず)周り回動が試料301の面外れ回動、即ち計量システム300の計量素子に対しその試料の表面を実効的に傾斜させる回動である。描かれてはいないが、第2の回動アクチュエータが、y軸周りで試料301を回動させるよう構成されている。リニアアクチュエータ344が、x方向にペリメータフレーム343を並進させるよう構成されている。もう一つのリニアアクチュエータ(図示せず)が、y方向にペリメータフレーム343を並進させるよう構成されている。こうすることで、試料301の表面上の各個所を、ある範囲の面外れ角度位置に亘る計測に利用することが、可能となる。例えばある実施形態では、試料301のうちある個所が、試料301の垂直姿勢に対し-45°~+45°の範囲内で、数個の角度増分に亘り計測される。
概して、これに限られるものではないがゴニオメータステージ、ヘキサポッドステージ、角度ステージ及びリニアステージを初め、何らかの好適な機械素子組合せを試料位置決めシステム340に組み込むことで、所望の直線位置決め性能及び角度位置決め性能を達成することができる。
ある種の実施例では、X線スキャタロメトリに依拠する計量に際し、その計測データで以て事前決定済計測モデルを逆解することでその標本の寸法が求められる。その計測モデルは、少数(例.10個オーダ)の可調パラメタを含み、その試料の幾何特性及び光学特性並びにその計測システムの光学特性を表せるものとする。逆解法には、これに限られるものではないがモデル依拠回帰、トモグラフィ、機械学習並びにそれらの何らかの組合せがある。こうして、計測された散乱X線強度とモデル化結果との間の誤差が最小化されるパラメタ化計測モデル値に関し解くことで、ターゲットプロファイルパラメタが推定される。
更なる態様では、情報処理システム330が、試料に備わる被計測構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、少なくとも1個の幾何パラメタを含むX線スキャタロメトリ応答モデルをその構造モデルから生成し、そしてそのX線スキャタロメトリ応答モデルで以てX線スキャタロメトリ計測データの当て嵌め分析を実行することで少なくとも1個の試料パラメタ値を解明するよう、構成される。この分析エンジンを用い、それらシミュレートされたX線スキャタロメトリ信号を計測データと比較することで、その標本の幾何特性及び素材特性例えば電子密度の判別を行うことができる。図4に示す実施形態では情報処理システム330がモデル構築兼分析エンジン350として構成されており、本願記載の如くモデル構築及び分析機能が実現されるようそのエンジンが構成されている。
図5は、情報処理システム330により実現されるモデル構築兼分析エンジン350の例を描いた図である。図5に示すように、モデル構築兼分析エンジン350は、ユーザ入力源303(例.グラフィカルユーザインタフェース、キーボード等々)から受領したユーザ入力313に部分的に依拠し、試料上に位置する被計測ナノワイヤ依拠半導体構造の構造モデル352を生成する、構造モデル構築モジュール351を有している。ある種の実施形態ではその試料の素材特性も構造モデル352に組み込まれる。その構造モデル352が、X線スキャタロメトリ応答関数構築モジュール353への入力として受領される。X線スキャタロメトリ応答関数構築モジュール353は、その構造モデル352に少なくとも部分的に依拠してX線スキャタロメトリ応答関数モデル355を生成する。ある種の実施例では、そのX線スキャタロメトリ応答関数モデル355が、X線フォームファクタ
Figure 0007161543000002
に基づくものとされる;但しFはフォームファクタ、qは散乱ベクトル、そしてρ(r)は球座標による試料の電子密度である。そして、X線散乱強度が
Figure 0007161543000003
により与えられる。X線スキャタロメトリ応答関数モデル355は、当て嵌め分析モジュール357への入力として受領される。その当て嵌め分析モジュール357は、そのモデル化X線スキャタロメトリ応答を対応する計測データ326と比較することで、その試料の幾何特性及び素材特性を判別する。
ある種の実施例では、実験データへのモデル化データの当て嵌めが、χ二乗値を最小化することで達成される。例えばX線スキャタロメトリ計測に関しては、χ二乗値を
Figure 0007161543000004
と定義することができる。
式中、S SAXS experimentは「チャネル」jにて計測されたX線スキャタロメトリ信号326であり、その指数jにより一組のシステムパラメタ、例えば回折次数、エネルギ、角度座標等々が記述されている。S SAXS model(v,…,v)は、「チャネル」jに係るモデル化X線スキャタロメトリ信号Sを一組の構造(ターゲット)パラメタv,…,vに関し評価したものであり、それらのパラメタにより幾何(CD、サイドウォール角、オーバレイ等々)及び素材(電子密度等々)が記述されている。σSAXS,jは第jチャネルに係る不確定性である。NSAXSはそのX線計量におけるチャネルの総数である。Lはその計量ターゲットを特徴付けるパラメタの個数である。
等式(4)では、別々のチャネルに係る不確定性が相関しないことが仮定されている。別々のチャネルに係る不確定性が相関する例では、それら不確定性間の共分散を計算することができる。こうした例では、X線スキャタロメトリ計測に係るχ二乗値を
Figure 0007161543000005
と表すことができる;但し、VSAXSはSAXSチャネル不確定性の共分散行列であり、Tは転置を表している。
ある種の実施例では、X線スキャタロメトリ応答モデル355で以てX線スキャタロメトリ計測データ326に対する当て嵌め分析を実行することによって、当て嵌め分析モジュール357により少なくとも1個の試料パラメタ値が解明される。ある種の実施例ではχ SAXSが最適化される。
本願既述の通り、X線スキャタロメトリデータの当て嵌めはχ二乗値の最小化により達成される。とはいえ、一般に、X線スキャタロメトリデータの当て嵌めは、他の関数によっても達成されうる。
X線スキャタロメトリ計量データの当て嵌めは、どのような種類のものであれ、注目幾何及び/又は素材パラメタに対し有感なX線スキャタロメトリテクノロジで益のあることである。試料とのX線スキャタロメトリビーム相互作用を記述する適正なモデルが用いられる限り、試料パラメタが決定論的(例.CD、SWA等々)でも統計的(例.サイドウォール粗さのrms高、粗さ相関長等々)でもかまわない。
一般に、情報処理システム330は、リアルタイム限界寸法決め(RTCD)を用いリアルタイムにモデルパラメタ群にアクセスするよう構成されるが、事前計算済モデルのライブラリにアクセスすることでも、試料301に係る少なくとも1個の試料パラメタ値を求めることができる。一般に、ある種の形態のCDエンジンを用い、試料の割当CDパラメタと、被計測試料に係るCDパラメタとの間の差異を、評価することができる。試料パラメタ値計算方法及びシステムの例が、2010年11月2日日付でKLA-Tencor Corp.に対し発行された特許文献1にて記述されているので、参照によりその全容を本願に繰り入れることにする。
図22には、試料の特性を計測する軟X線リフレクトメトリ(SXR)計量ツール500の実施形態が描かれている。ある種の実施形態では、ある範囲の波長、入射角及びアジマス角に亘り、ある小さなビームスポットサイズ(例.実効照明スポットの差し渡しが50μm未満)で以て、半導体ウェハのSXR計測が実行される。ある態様では、そうしたSXR計測が、軟X線域(即ち30~3000eV)内のX線輻射で以て、5~20°域内のかすめ入射角にて実行される。かすめ角を個別の計測アプリケーション向けに選択することで、計測下構造内への所望の浸透を果たすことができ、また小さなビームスポットサイズ(例.50μm未満)で以て計測情報コンテンツを最大化することができる。
図22に描かれているように、本システム500は、試料501のうち入射照明ビームスポットにより照明されている計測エリア502に亘り、SXR計測を実行する。
図示実施形態では、計量ツール500がX線照明源510、集束光学系511、ビーム発散制御スリット512及びスリット513を有している。そのX線照明源510が、SXR計測に適した軟X線輻射を生成するよう構成されている。X線照明源510は多色高輝度大エタンデュ線源である。ある種の実施形態では、30~3000eV域内のX線輻射を生成するようX線照明源510が構成される。概して、高スループットインライン計量を実現するのに十分な光束レベルにて高輝度軟X線を生成しうる好適な高輝度X線照明源であれば、どのようなものでも、SXR計測向けにX線照明を供給しうるものと考えられる。
ある種の実施形態によれば、X線源に可調モノクロメータを組み込み、そのX線源により送給されるX線輻射の波長を様々に選べるようにすることができる。ある種の実施形態では、計測下試料内への十分な浸透が可能な波長にてそのX線源により光が確と供給されるよう、1個又は複数個のX線源が採用される。
ある種の実施形態では照明源510が高次高調波生成(HHG)X線源とされる。他のある種の実施形態では、照明源510がウィグラ/アンジュレータシンクロトロン輻射源(SRS)とされる。ウィグラ/アンジュレータSRSの例が特許文献3及び4にて記述されているので、参照によりそれらの全容を本願に繰り入れることにする。
他のある種の実施形態では、照明源510がレーザ産生プラズマ(LPP)光源とされる。それら実施形態のうちある種のものでは、そのLPP光源内に、キセノン、クリプトン、アルゴン、ネオン及び窒素を放出する素材のうち何れかが組み込まれる。概して、好適なLPPターゲット素材の選択は共鳴軟X線域内輝度との関わりで最適化される。例えば、クリプトンにより放出されたプラズマはシリコンKエッジにて高輝度を呈する。また例えば、キセノンにより放出されたプラズマは(80~3000eV)なる軟X線域全体を通じ高輝度を呈する。そのため、広帯域軟X線照明が望まれているときには、キセノンが良好な放出素材選択肢となる。
LPPターゲット素材の選択は、高信頼長寿命光源動作との関わりでも最適化されうる。貴ガスターゲット素材例えばキセノン、クリプトン及びアルゴンは不活性であるので、最低限の汚染除去処理で以て、或いは汚染除去処理無しで、閉ループ動作にて再使用することができる。軟X線照明源の例が米国特許出願第15/867633号にて記述されているので、参照によりその全容を本願に繰り入れることにする。
更なる態様では、照明源(例.照明源510)により放射される波長が可選択とされる。ある種の実施形態によれば、照明源510たるLPP光源を情報処理システム530により制御し、一通り又は複数通りの選択スペクトル域における光束を最大化させることができる。そのターゲット素材でのレーザピーク強度によりプラズマ温度、ひいては放射される輻射のスペクトル域が制御される。レーザピーク強度は、パルスエネルギ、パルス幅又はその双方を調整することで変化させる。一例としては、100ピコ秒なるパルス幅が、軟X線輻射を生成するのに適している。図22に示すように、情報処理システム530から照明源510へとコマンド信号536を送ることで、照明源510にスペクトル範囲を調整させ、その範囲の諸波長を照明源510から放射させることができる。ある実施例によれば、照明源510をLPP光源とし、そのLPP光源にてパルス持続時間、パルス周波数及びターゲット素材組成のうち何れかを調整することで、所望スペクトル域の波長をそのLPP光源から放出させることができる。
例えば、これに限られないが、粒子加速器線源、液体アノード線源、回動アノード線源、静止固体アノード線源、マイクロフォーカス線源、マイクロフォーカス回動アノード線源、プラズマ依拠線源及び逆コンプトン線源の何れも、X線照明源510として採用することができる。
X線源の例としては、固体又は液体ターゲットに衝撃を与えX線輻射を触発するよう構成された電子ビーム源がある。高輝度液体金属X線照明生成方法及びシステムが、2011年4月19日付でKLA-Tencor Corp.に対し発行された特許文献5にて記述されているので、参照によりその全容を本願に繰り入れることにする。
X線照明源510は、X線輻射を、有限な横方向寸法(即ち非ゼロのビーム軸直交方向寸法)を有する線源エリアに亘り供給する。ある態様では、照明源510の線源エリアが、20μm未満の横方向寸法により特徴付けられる。ある種の実施形態では、その線源エリアが、10μm以下の横方向寸法により特徴付けられる。小さな線源サイズであれば、その試料上の小さなターゲットエリアを高輝度で照明することができ、ひいては計測精度、正確度及びスループットが改善されることとなる。
大略、X線光学系はX線輻射を整形して試料501へと差し向ける。ある種の実施例では、そのX線光学系にて、多層X線光学系を用い、1mrad未満の発散に至るまでそのX線ビームが平行化され、或いは試料501の計測エリア502上に集束される。ある種の実施形態では、そのX線光学系が、1個又は複数個のX線平行化鏡、X線アパーチャ、X線ビームストップ、屈折性X線光学系、回折光学系例えばゾーンプレート、シュヴァルツシルト光学系、カークパトリック・バエズ光学系、モンテル光学系、ウォルタ光学系、鏡面X線光学系例えば楕円体鏡、ポリキャピラリ光学系例えば中空キャピラリX線導波路、多層光学系又はシステム、或いはそれらの何らかの組合せを有するものとされる。更なる詳細が特許文献2にて記述されているので、参照によりその全容を本願に繰り入れることにする。
図22に示されているように、集束光学系511は、試料501上に所在する計量ターゲット上へと線源輻射を集束させる。線源の横方向寸法が有限であるためスポットサイズ502が有限となり、その線源の縁から来る光線516でありビームスリット512及び513によって何らかのビーム整形を受けたものにより、その有限スポットサイズが定まる。
ある種の実施形態では集束光学系511に楕円形集束光学素子が組み込まれる。図22に示す実施形態では、その楕円の中心における集束光学系511の拡大率が約1とされている。結果として、試料501の表面上に投射される照明スポットのサイズが、照明源とほぼ同サイズで、定格かすめ入射角(例.5~20°)によるビーム拡がりに関し調整されたものとなる。
更なる態様では、集束光学系511により線源放射が集められ、一通り又は複数通りの離散波長又はスペクトル帯が選択され、選択された光が5~20°域内のかすめ入射角にて試料501上に集束される。
その定格かすめ入射角の選択を通じ、所望の計量ターゲット浸透を達成することができ、ひいては計量ターゲット境界内に保ちつつ信号情報コンテンツを最大化することができる。硬X線の臨界角はごく小さいが、軟X線の臨界角はそれよりもかなり大きい。この付加的な計測柔軟性の結果として、SXR計測により、その構造内へとより深く、またそのかすめ入射角の厳密な値に対しては低感度で以て、探査されることとなる。
ある種の実施形態では、試料501上への投射向けに所望波長又は波長域を選択するグレーデッド多層が集束光学系511に組み込まれる。ある種の実施例では、ある波長を選択しその選択波長をある入射角範囲に亘り試料501上に投射するグレーデッド多層構造(例.層又は被覆)が、集束光学系511に組み込まれる。ある種の実施例では、ある波長域を選択しそれら選択波長をある入射角にて試料501上に投射するグレーデッド多層構造が、集束光学系511に組み込まれる。ある種の実施例では、ある波長域を選択しそれら選択波長をある入射角範囲に亘り試料501上に投射するグレーデッド多層構造が、集束光学系511に組み込まれる。
グレーデッド多層光学系により、望ましくも、単層格子構造が深過ぎるときに生じる光損失を最小化することができる。一般に、多層光学系では反射波長が選択される。選択波長のスペクトル帯幅により、試料501に供給される光束、被計測回折次数内の情報コンテンツが最適化され、検出器での回折ピーク重複及び角度分散を通じた信号の劣化が防止される。加えて、グレーデッド多層光学系を用い、発散を制御することができる。各波長での角度発散が光束に関し最適化され、検出器での空間的重複が最小になる。
ある種の実施例では、グレーデッド多層光学系による波長選択を通じ、特定の素材界面又は構造寸法に由来する回折信号の情報コンテンツ及びコントラストを増強することができる。例えば、元素固有共鳴域(例.シリコンKエッジ、窒素、酸素Kエッジ等々)に亘り拡がるよう、被選択波長を選ぶことができる。加えて、これらの実施例では、その照明源をチューニングすることで、その選択スペクトル域における光束を最大化させることもできる(例.HHGスペクトルチューニング、LPPレーザチューニング等々)。
ある種の実施形態では、それぞれ楕円形の表面形状を有する複数個の反射性光学素子が集束光学系511に組み込まれる。各反射性光学素子は、基板及び多層被覆を有し、相異なる波長又は波長域が反射されるようチューニングされたものである。ある種の実施形態では、それぞれ異なる波長又は波長域を反射させる複数個(例.1~5個)の反射性光学素子がめいめいの入射角にて配列される。更なる実施形態では、それぞれ異なる波長又は波長域を反射させる複数組(例.2~5組)の反射性光学素子が、めいめい一組の相異なる入射角にて配列される。ある種の実施形態では、それら複数組の反射性光学素子により、計測中に試料501上へと照明光が同時に投射される。他のある種の実施形態では、それら複数組の反射性光学素子により、計測中に試料501上へと照明光が順次投射される。これらの実施形態にて、能動シャッタ又はアパーチャを用い、試料501上に投射される照明光を制御することができる。
ある種の実施形態では、集束光学系511により複数通りの波長、アジマス及びAOIにて同じ計量ターゲットエリア上に光が集束される。
更なる態様では、そうした範囲の波長、AOI、アジマス又はそれらの何らかの組合せであり、同じ計量エリア上に投射されるものが、その集束光学系に備わる1個又は複数個の鏡素子を能動位置決めすることで調整される。図22に示されているように、情報処理システム530からアクチュエータシステム515へとコマンド信号を送ることで、アクチュエータシステム515に、集束光学系511に備わる光学素子のうち1個又は複数個の位置、アライメント又はその双方を調整させることができ、ひいては所望範囲の波長、AOI、アジマス又はそれらの何らかの組合せを試料501上に投射させることができる。
一般に、入射角を波長毎に選択することで、計測下計量ターゲットによる照明光の吸収及び浸透を最適化することができる。多くの実施例にて、多層構造を計測し入射角を選択することで、所望注目層に係る信号情報を最大化することができる。オーバレイ計量の実施例では、一通り又は複数通りの入射波長及び角度を選択して、先行層及び現層からの散乱間の干渉に由来する信号情報を最大化することができる。加えて、アジマス角を選択することでも信号情報コンテンツを最適化することができる。加えて、アジマス角を選択することで、検出器における回折ピークの角度分離を保証することができる。
更なる態様では、RSAX計量システム(例.計量ツール500)に備わる1個又は複数個のビームスリット又はアパーチャにより、試料501上に入射する照明ビーム514が整形され、さもなければ計測下計量ターゲットを照明することとなっていたはずの照明光部分がそれによって選択的に阻止される。1個又は複数個のビームスリットによりビームサイズ及び形状を規定することで、X線照明スポットを計測下計量ターゲットのエリア内に収めることができる。加えて、1個又は複数個のビームスリットにより照明ビーム発散を規定することで、検出器上での回折次数重複を小さくすることができる。
別の更なる態様では、RSAX計量システム(例.計量ツール500)に備わる1個又は複数個のビームスリット又はアパーチャにより一組の照明波長が選択され、それらにより計測下計量ターゲットが同時照明される。ある種の実施形態では、複数波長を含む照明を計測下計量ターゲット上に同時入射させる。これらの実施形態では、複数通りの照明波長を含む照明を通すよう1個又は複数個のスリットが構成される。一般に、計測下計量ターゲットの同時照明により、望ましくも、信号情報及びスループットを増大・向上させることができる。とはいえ、実際には、検出器における回折次数重複により照明波長域が制限される。ある種の実施形態では、相異なる照明波長を順次通すよう1個又は複数個のスリットが構成される。ある種の実施例では、ビーム発散が大き目なときには同時照明に比べ順次照明に係る信号対雑音比の方が高くなりうるため、大き目の角度発散での順次照明の方が高めのスループットが得られる。計測が順次実行される際には、回折次数重複問題は問題にならない。これにより計測柔軟性が増し信号対雑音比が改善される。
図22には、集束光学系511・ビーム整形スリット513間ビーム路に所在するビーム発散制御スリット512が示されている。ビーム発散制御スリット512により、計測下試料に供給される照明の発散が制限される。ビーム整形スリット513が、ビーム発散制御スリット512・試料501間ビーム路に所在している。ビーム整形スリット513によりその入射ビーム514が更に整形され、入射ビーム514の照明波長(群)が選択される。ビーム整形スリット513はビーム路上、試料501の直前に所在している。ある態様によれば、ビーム整形スリット513の諸スリットを試料501の至近に所在させることで、有限な線源サイズによってビーム発散が定まることによる入射ビームスポットサイズの拡大を、最小限にすることができる。
ある種の実施形態では、ビーム整形スリット513に、複数個の独立駆動式ビーム整形スリットが設けられる。ある実施形態では、ビーム整形スリット513に4個の独立駆動式ビーム整形スリットが設けられる。それら4個のビーム整形スリットにより入来ビームのうち一部分が効果的に阻止され、箱形状をした照明断面を有する照明ビーム514が生成される。
ビーム整形スリット513のスリット群は、散乱を小さくし入射輻射を効果的に阻止する素材で構成されている。素材の例としては単結晶素材例えばゲルマニウム、砒化ガリウム、燐化インジウム等々がある。通常は、そのスリット素材を、構造境界を横断しての散乱を小さくすべく、鋸断するのではなく結晶方向に沿い劈開させる。加えて、入来ビームを基準としてそのスリットの向きを定めることで、その入来輻射とスリット素材の内部構造との間の相互作用で生じる散乱を最小限にすることができる。それらの結晶を、高密度素材(例.タングステン)でされた個々のスリットホルダに取り付けることで、そのスリットの片側にてX線ビームを完全に阻止することができる。
X線検出器519は、試料501から散乱されてきたX線輻射518を集め、試料501の特性のうち入射X線輻射に対し感応的な特性を示す出力信号535を、SXR計測方式に従い生成する。ある種の実施形態では、試料位置決めシステム540により試料501の位置及び向きを定めることで角度分解散乱X線を生成しつつ、散乱X線518がX線検出器519により集められる。
ある種の実施形態では、SXRシステムに、広いダイナミックレンジ(例.10超)を有する1個又は複数個の光子計数検出器が組み込まれる。ある種の実施形態では、単一の光子計数検出器により検出光子の位置及び個数が検出される。
ある種の実施形態では、そのX線検出器により一通り又は複数通りのX線光子エネルギが分解され、X線エネルギ成分毎にその試料の特性を示す信号が生成される。ある種の実施形態では、そのX線検出器519が、CCDアレイ、マイクロチャネルプレート、フォトダイオードアレイ、マイクロストリップ式比例計数器、気体充填型比例計数器、シンチレータ及び蛍光素材のうち何れかを有するものとされる。
このようにすると、その検出器におけるX線光子相互作用が、画素位置及び計数値に加えエネルギにより弁別される。ある種の実施形態では、それらX線光子相互作用が、そのX線光子相互作用のエネルギを所定の上閾値及び所定の下閾値と比較することで弁別される。ある実施形態ではその情報が出力信号535により情報処理システム530に送られ、更なる処理及び格納に供される。
複数通りの照明波長による周期性ターゲットの同時照明で生じる回折パターンは、回折に際する角度分散により検出器平面にて分離される。これらの実施形態では積分型検出器が採用される。それら回折パターンがエリア検出器、例えば真空コンパチブル背面CCD又はハイブリッド画素アレイ検出器を用い計測される。角度標本化がブラッグピーク積分に関し最適化される。画素レベルモデル当て嵌めが採用される場合は、角度標本化が信号情報コンテンツに関し最適化される。標本化速度を選択することで、0次信号の飽和を防ぐことができる。
更なる態様では、SXRシステムを用い、散乱光の一通り又は複数通りの回折次数に基づき試料の特性(例.構造パラメタ値)が求められる。図22に示されているように、計量ツール500に備わる情報処理システム530を用い、検出器519により生成された信号535を獲得することができ、それら獲得信号に少なくとも部分的に依拠してその試料の特性を求めることができる。
望ましいことに、広範な波長、入射角及びアジマス角にて計測を実行することで、パラメタ値計測結果の精度及び正確度を高めることができる。この手法では、分析に利用可能なデータセットの個数及び多様性が拡張されるため、パラメタ間相関が低減される。
回折輻射強度の計測結果は、ウェハ表面法線を基準としたX線入射角及び照明波長と関連付けて収集される。それら複数通りの異次回折波に含まれている情報は、通常、考慮下にある各モデルパラメタ間でユニークである。そのため、誤差が小さく且つパラメタ相関が小さい注目パラメタ値推定結果がX線散乱によりもたらされる。
ある態様では、ウェハ501を固定的に支持するウェハチャック503が計量ツール500に設けられ、試料位置決めシステム540に結合される。試料位置決めシステム540が、照明ビーム514を基準にして6自由度に亘り試料501を能動位置決めするよう構成される。一例としては、情報処理システム530から試料位置決めシステム540へと、試料501の所望位置を示すコマンド信号(図示せず)が送られる。これに応じ、試料位置決めシステム540に備わる様々なアクチュエータに対するコマンド信号が試料位置決めシステム540にて生成され、それにより試料501の所望位置決めが達成される。
更なる態様では、SXRシステムの集束光学系によって、計測下試料上に照明源の像が少なくとも5の縮小率(即ち0.2以下の拡大率)で以て投射される。本願記載のSXRシステムでは、横方向寸法が20μm以下(即ち線源サイズが20μm以下)であることを特徴とする線源エリアを有する軟X線照明源が採用される。ある種の実施形態では、少なくとも5の縮小率を有する(即ち線源サイズよりも5倍小さな線源像をウェハ上に投射する)集束光学系が採用され、ひいては4μm以下の入射照明スポットサイズで以て試料上に照明が投射される。
ある種の実施例では、SXRに依拠した計量に際し、計測データで以て事前決定済計測モデルを逆解することでその標本の寸法が求められる。その計測モデルは、少数(10個オーダ)の可調パラメタを含み、その試料の幾何特性及び光学特性並びにその計測システムの光学特性を表せるものとする。逆解法としては、これに限られるものではないが、モデル依拠回帰、トモグラフィ、機械学習又はそれらの何らかの組合せがある。このやり方で、計測された散乱X線強度とモデル化結果との間の誤差が最小化されるパラメタ化計測モデル値に関し解くことで、ターゲットプロファイルパラメタが推定される。
軟X線式計量システムについての付加的記述が特許文献6にて提供されているので、参照によりその全容を本願に繰り入れることにする。
別の更なる態様では、情報処理システム530が、試料に備わる被計測構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、少なくとも1個の幾何パラメタを含むSXR応答モデルをその構造モデルから生成し、そしてそのSXR応答モデルで以てSXR計測データの当て嵌め分析を実行して少なくとも1個の試料パラメタ値を解明するよう構成される。その分析エンジンを用い、それらシミュレートされたSXR信号を計測データと比べることで、その標本の幾何特性及び素材特性例えば電子密度の判別を行うことができる。図22に示す実施形態では、情報処理システム530がモデル構築兼分析エンジン(例.モデル構築兼分析エンジン350)として構成されており、図5を参照して述べたモデル構築及び分析機能が実現されるようそのエンジンが構成されている。
ある種の実施例では、モデル構築兼分析エンジン130及び350にて、フィードサイドウェイ分析、フィードフォワード分析及びパラレル分析の何らかの組合せにより、パラメタ計測結果の正確度が改善される。フィードサイドウェイ分析とは、同じ試料の別エリア上で複数個のデータセットを採取し、第1データセットから求めた共通パラメタ群を第2データセット側に引き渡して分析に供することである。フィードフォワード分析とは、別々の試料上でデータセットを採取し、ステップ的コピーイグザクトパラメタフィードフォワード手法を用い爾後の分析に共通パラメタ群を先渡しすることである。パラレル分析とは、複数個のデータセットに対する非線形当て嵌め方法論の並列的又は同時的適用であり、当て嵌め中に少なくとも1個の共通パラメタが結合されるもののことである。
複数ツール構造分析とは、フィードフォワード、フィードサイドウェイ又はパラレル分析のうち、回帰に依拠するもの、ルックアップテーブルに依拠するもの(即ち「ライブラリ」マッチング)その他、複数データセットの当て嵌め手順に依拠するもののことである。複数ツール構造分析方法及びシステムの例が、2009年1月13日付でKLA-Tencor Corp.に対し発行された特許文献7にて記述されているので、参照によりその全容を本願に繰り入れることにする。
認識されるべきことに、本件開示の随所に記載されている様々なステップを、単一のコンピュータシステム116、330及び530により実行してもよいし、それに代え複数個のコンピュータシステム116、330及び530により実行してもよい。更に、システム100、300及び500の諸サブシステム例えば分光エリプソメータ101が、本願記載の諸ステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを有していてもよい。従って、上掲の記述は、本発明に対する限定事項としてではなく、単なる例証として解されるべきである。更に、当該1個又は複数個の情報処理システム116を、本願記載のどの方法実施形態のどの他ステップ(群)を実行するよう構成してもよい。
情報処理システム116、330及び530には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、並列プロセッサその他、本件技術分野で既知なあらゆる装置が包含されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包含されるよう、広く定義することができる。一般に、情報処理システム116、330及び530を、計測システム例えば計測システム100、300及び500のうち対応するものと統合させてもよいし、それに代え全ての計測システムから分離させてもよい。その意味で、情報処理システム116、330及び530は、対応するあらゆる計測源及びユーザ入力源から遠隔配置されそこから計測データ及びユーザ入力を受け取るものとされうる。
方法例えば本願記載のそれらを実現するプログラム命令120を、キャリア媒体118上で伝送させ又はその上に格納してもよい。そのキャリア媒体が伝送媒体、例えばワイヤ、ケーブル又は無線伝送リンクであってもよい。そのキャリア媒体にはコンピュータ可読媒体、例えばリードオンリメモリ、ランダムアクセスメモリ、磁気若しくは光ディスク又は磁気テープも含まれうる。
同様に、方法例えば本願記載のそれらを実現するプログラム命令334を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送させてもよい。例えば、図4に描かれているように、メモリ332内に格納されているプログラム命令群が、バス333上でプロセッサ331へと伝送される。プログラム命令334がコンピュータ可読媒体(例.メモリ332)内に格納される。コンピュータ可読媒体の例としては、リードオンリメモリ、ランダムアクセスメモリ、磁気若しくは光ディスク又は磁気テープがある。
同様に、方法例えば本願記載のそれらを実現するプログラム命令534を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送させてもよい。例えば、図22に描かれているように、メモリ532内に格納されているプログラム命令群が、バス533上でプロセッサ531へと伝送される。プログラム命令534がコンピュータ可読媒体(例.メモリ532)内に格納される。コンピュータ可読媒体の例としては、リードオンリメモリ、ランダムアクセスメモリ、磁気若しくは光ディスク又は磁気テープがある。
ナノワイヤ依拠半導体デバイスは、全周ゲートデバイスとしても知られている。その半導体チャネルはナノワイヤである。そのナノワイヤが一群の素材により全周に亘りラッピングされ、それら素材によりそのデバイスのゲートが形成される。それらラッパ素材には、例えば二酸化シリコン、酸化ハフニウム、窒化チタン、窒化タンタル等々といった素材が含まれる。それらラッパ素材が原子層堆積プロセスを用いそのナノワイヤ上に堆積され、それによりそのナノワイヤチャネルの全周に亘るラッピングゲートが形成される。
図6にナノワイヤ依拠半導体構造150を示す。構造150は、ナノワイヤ151A~C、ソース/ドレイン構造152及び153、並びに誘電体素材154を有している。図6に描かれているように、ナノワイヤ151A~Cは無支持状態でソース/ドレイン構造152及び153間に延設されている。結果として、隣接ナノワイヤ間に隙間(ボイド)が存在している。
ある態様ではモデル構築ツール、例えばモデル構築兼分析エンジン130及び350に、複雑なナノワイヤ依拠半導体デバイスサブ構造の再使用型パラメトリックモデルであり、複雑なナノワイヤ依拠半導体デバイスのモデルにて構築ブロックとして用いうるものが組み込まれる。これにより、そのモデル構築プロセスが、より直観的で誤差がより起きにくいものとなる。更に、それら再使用型パラメトリックサブ構造モデルが特定のナノワイヤ依拠構造及び計測アプリケーション向けに最適化されているため、もたらされる離散化計測モデルが旧来モデルに比べ情報処理的により効率的なものとなる。加えて、それらパラメトリックサブ構造モデルを保存し、別々のプロジェクト間、別々のユーザ間で共有することができる。
ある態様では、曰く、新たな一組のパラメトリックサブ構造モデル(即ち基礎的ナノワイヤ構築ブロック)を用い、ナノワイヤ製造プロセスに依拠した次世代半導体デバイスの幾何を正確にモデル化することができる。ある種の実施例では、それら基礎的ナノワイヤ構築ブロックにより、ユーザが、実ナノワイヤ幾何を高い正確度で以て表す計測モデルを構築すること、またそれにより全ての潜在的自由度を生かすことが可能となる。ある種の実施例では、計量システムにてそれらモデルを採用し、様々なナノワイヤ製造プロセスに係る幾何パラメタ、素材特性等々のモデル依拠計測を実行することができる。
更なる態様では、その再使用型パラメトリックサブ構造モデルが、そのモデル構築ツールのユーザにより入力された独立パラメタの値によって全面定義される。組成幾何要素間内的拘束条件及びモデル形状に係る他の変数は全て、そのモデル内で事前定義される。従って、それら独立パラメタの値以外は他のユーザ入力無しで、その再使用型パラメトリックサブ構造モデルを全面定義することができる。これによりそのモデル構築プロセスが大きく簡略化される。
各基礎的ナノワイヤ構築ブロックが、ユーザにより入力された独立パラメタの値によって全面定義される。他のユーザ入力無しで、その基礎的ナノワイヤ構築ブロックの形状を定義することができる。これにより、ナノワイヤ依拠半導体構造に係るモデル構築プロセスが顕著に簡略化される。これは、複雑なナノワイヤ依拠半導体デバイスをより小さな誤差で以てかなり速くモデル化することにつながる。
図7~図13には、非限定的な例により、幾通りかの相異なる基礎的ナノワイヤ構築ブロックが示されている。
図7には円筒形基礎的ナノワイヤ構築ブロック160が示されている。円筒形ナノワイヤ構築ブロック160は、長さL、直径D、ウェハ基板の表面に対し平行な平面における姿勢角、並びに1個又は複数個の素材パラメタによりパラメタ表現される。その姿勢角により、ウェハ基板の表面に対し平行な平面における円筒形ナノワイヤ160の姿勢が決まる。
図8には、丸め縁を有する正方形基礎的ナノワイヤ構築ブロック161が示されている。正方形ナノワイヤ構築ブロック161は、長さL、断面高H、断面幅W、各隅部の丸め半径R、ウェハ基板の表面に対し平行な平面における姿勢角、並びに1個又は複数個の素材パラメタによりパラメタ表現される。
図9には長方形又はスラブ形基礎的ナノワイヤ構築ブロック162が示されている。スラブ形ナノワイヤ構築ブロック162は、長さL、断面高H、断面幅W、ウェハ基板の表面に対し平行な平面における姿勢角、並びに1個又は複数個の素材パラメタによりパラメタ表現される。
図10には六角形基礎的ナノワイヤ構築ブロック163が示されている。正方形ナノワイヤ構築ブロック163は、長さL、断面辺長S、ウェハ基板の表面に対し平行な平面における姿勢角、並びに1個又は複数個の素材パラメタによりパラメタ表現される。
図11には楕円形基礎的ナノワイヤ構築ブロック164が示されている。楕円形ナノワイヤ構築ブロック164は、それぞれ長半径及び短半径の2倍たる形状パラメタD及びD、長さL、ウェハ基板の表面に対し平行な平面における姿勢角、並びに1個又は複数個の素材パラメタによりパラメタ表現される。
図12には段差形基礎的ナノワイヤ構築ブロック165が示されている。段差形ナノワイヤ構築ブロック165は相異なる2個の断面を有している。断面のうち一つによりこの段差形ブロックの中間部が定義され、もう一つの断面によりその中間部の両端にある端部が定義される。中間部は形状パラメタSinner及び長さLinnerによりパラメタ表現される。端部は、より小さな形状パラメタSouter及び長さLouterによりパラメタ表現される。段差形ブロック165は中間部断面から端部断面へと急峻に遷移している。段差形ブロック165は、更に、ウェハ基板の表面に対し平行な平面における姿勢角、並びに1個又は複数個の素材パラメタによりパラメタ表現される。
図13には長尺形基礎的ナノワイヤ構築ブロック166が示されている。長尺ナノワイヤ構築ブロック166は相異なる2個の断面を有している。断面のうち一つによりこの長尺形ブロックの中間部が定義され、もう一つの断面によりその中間部の両端にある端部が定義される。中間部は直径Dinner及び長さLinnerによりパラメタ表現される。端部は、より小さな直径Douter及び長さLouterによりパラメタ表現される。長尺形ブロック166は中間部断面から端部断面へと滑らかにテーパ付けされている(先細りしている)。長尺形ブロック166は、更に、ウェハ基板の表面に対し平行な平面における姿勢角、並びに1個又は複数個の素材パラメタによりパラメタ表現される。
一般に、どのナノワイヤブロックも、そのナノワイヤの中心軸例えば円筒形ナノワイヤ160の中心軸Aがウェハ基板の表面に対し平行な姿勢にすることができる(即ち横ナノワイヤ)。これは、図6に示したナノワイヤ151A~Cの姿勢に類似している。他のある種の実施例では、ナノワイヤを、そのナノワイヤの中心軸がウェハ基板の表面に対し垂直な姿勢にすることができる(即ち垂直ナノワイヤ)。
ナノワイヤの形状は、デバイス性能に顕著に影響することとなる。アプリケーションによっては、あるナノワイヤ形状が他の形状よりも望ましいとされよう。従って、ナノワイヤ構築ブロックを、あらゆる期待形状に対処しうる柔軟且つカスタマイズ可能なものとすべきである。
一般に、ユーザは、ナノワイヤ構造モデル構築モジュール(例.モデル構築兼分析エンジン130の構造モデル構築モジュール131、或いはモデル構築兼分析エンジン350の構造モデル構築モジュール351)のグラフィカルユーザインタフェースとのやり取りを通じ、望ましい基礎的ナノワイヤ構築ブロックを選択し、且つ独立パラメタの適正値を入力してその具体的形状を定義する。
図7~図13に示した通り、少数の独立パラメタのみで全面定義される単一の再使用型パラメトリックサブ構造モデルによって、多数の幾何基礎的並びに数十個の拘束条件及び形状パラメタ値を孕むモデルが置換される。
その一方で、図7~図13に示すナノワイヤの構造モデルでは、多くの既存基礎的構築ブロック(例.正方錐台)並びにそれらの相互関係及び拘束条件についての、各構築ブロック相互間での定義が、類似したナノワイヤ構造をモデル化するのに必要となることがある。即ち、既存の基礎的構築ブロックを用い複雑なナノワイヤデバイス構造を構築することに関連した困難性が、明らかにある。
ナノワイヤ半導体製造プロセスでは、例えば図6に示すゲートにて交互素材層が堆積される。一例としては、シリコン及びシリコンゲルマニウムの交互層が堆積される。ダミーゲート素材、例えばポリシリコンを除去することで、そのナノワイヤがリリースされる(発現する)。ナノワイヤリリースは高選択性エッチングプロセスであり、それにより例えばシリコンゲルマニウム層が排他エッチングされてシリコンナノワイヤ、例えば図6に示すナノワイヤ151A~Cが残される。ナノワイヤリリース後は、金属の堆積に先立ち一連の極薄素材がそのゲート上に堆積される。それらの素材、通常は非常に薄いそれの狙いは、ナノワイヤの全周をラッピングする(包み込む)ことにある。一例としては、二酸化シリコンの10オングストローム厚層がそのナノワイヤの全周に堆積される。その二酸化シリコンラッパ(包囲物)自体が、高K素材ラッパによりラッピングされる。その高K素材ラッパが続いて10オングストローム厚窒化チタンラッパ、次いで5~10オングストロームの障壁素材窒化タンタルラッパ、次いでもう一つの窒化チタンラッパ、次いでチタンアルミニウムカーバイドラッパ、そして窒化チタンラッパによりラッピングされる。これら堆積物は原子層堆積(ALD)により形成される。PMOSとNMOSとでは別々の高k金属ゲート(HKMG)プロセスフローが必要になり、それにより臨界層の個数が変わりうる。HKMGプロセスは、CMOSデバイスの製造ラインフロントエンド(FEOL;基板工程)ループの終わりに置かれる。ゲートを取り巻くラッパの個数及びそれらの厚みは、そのテクノロジノードやデバイスタイプ例えばNかPかにより変わりうる。
ある種の実施形態では、その再使用型パラメトリックサブ構造モデルが構造固有なものとされる。図14には、ナノワイヤの周りにラッピングされた2個の共形層を表す再使用型パラメトリックサブ構造モデル170が示されている。図14に示されているように、このモデルの形状を規定する独立パラメタは、各共形層の厚みD及びD、そのナノワイヤの直径D並びにラッピングされたナノワイヤ構造の長さLである。必須ではないが、各層に係る素材パラメタを、ユーザが定義できる独立変数として規定してもよい。
モデル構築ツールのユーザは、それらパラメタの値を入力するだけで、ラッピングされたナノワイヤを表すその再使用型パラメトリックサブ構造モデル170の幾何を、全面定義することができる。モデル形状及び内的拘束条件に係る他の変数が全てそのモデル内で事前定義されるので、更なる入力無しでモデル170の形状を全面定義することができる。
一般には、下地をなすナノワイヤの形状によってラッパの形状、例えば円筒形、長方形、楕円形等々が定まる。デフォルトでは、ユーザがそのナノワイヤにラッパを付加する際に、そのラッパがそのナノワイヤ形状に対し共形となる。ラッパの定義には土台構築ブロック、即ちそれに対しラッパが共形となる構築ブロックが組み込まれる。ラッパに係る土台構築ブロックは専らナノワイヤ又は先行ラッパである。
図14に示す実施形態では、各ラッパが、下地をなす土台ブロック(例.下地をなすナノワイヤ又は先行ラッパ)に沿い且つその全周に亘り、均一厚みを有する共形なものとなっている。
しかしながら、高K金属ゲート(HKMG)プロセスは不均一である。全周ラッパの厚みが姿勢によって変わる。例えば、所与高Kラッパ層の厚みが、ナノワイヤ上部にてナノワイヤ下部よりも大きくなることが、予期される。
更なる態様では、複合ナノワイヤ構築ブロックに不均一厚ラッパが組み込まれる。図15A及び図15Bには、順に不均一厚ラッパ構築ブロック171の斜視外観及び端面外観が示されている。図15Aに示されているように、不均一厚ラッパ構築ブロック171の幾何は、円筒形ナノワイヤの直径D及び長さLによってパラメタ表現される。図15Bに示されているように、不均一厚ラッパ構築ブロック171の幾何は、更に、その円筒形ナノワイヤの周りにあるラッパのナノワイヤ上方厚DT1及びナノワイヤ下方厚DB1によってパラメタ表現される。同様に、1個目のラッパの周りにあるラッパは、ナノワイヤ上方厚DT2及びナノワイヤ下方厚DB2によってパラメタ表現される。ナノワイヤの上方における厚みと下方における厚みの差異が、そのナノワイヤの上部・下部間の諸姿勢にて補間される。
別の更なる態様では、複合ナノワイヤ構築ブロックに均一共形ライナラッパが組み込まれる。図16A~図16Cには、順に共形ライナラッパ構築ブロック180の等角描像、端面外観及び側面外観が示されている。ウェハ上には本願既述のHKMG素材が堆積される。即ち、それらの素材によりナノワイヤの周りがラッピングされるだけでなく、それらの素材が構造トポグラフィの形状に対し共形となる。この共形ライナラッパ構築ブロックによって、全ての露出構造上での素材堆積(例.ゲート上面184上での堆積、ゲート壁面183上での堆積並びにナノワイヤ181A~B周りのラッピング182)がモデル化される。図16Bには、ラッピングされたナノワイヤ181A~B間にあるボイドが示されている。図16Bに示されているように、そのライナラッパ素材の厚みTが全表面に亘り均一である。従って、均一共形ライナラッパ構築ブロックは、堆積素材の厚みT及び素材特性により定義される。
別の更なる態様では、複合ナノワイヤ構築ブロックに不均一共形ライナラッパが組み込まれる。図17A~図17Cには、順に不均一共形ライナラッパ構築ブロック190の等角描像、端面外観及び側面外観が示されている。ウェハ上には本願既述のHKMG素材が堆積される。即ち、それらの素材によりナノワイヤの周りがラッピングされるだけでなく、それらの素材が構造トポグラフィの形状に対し共形となる。しかしながら、それらが全表面上に均一堆積されていない。この不均一共形ライナラッパ構築ブロックによって、全ての露出構造上での不均一素材堆積(例.ゲート上面194上での堆積、ゲート壁面193上での堆積並びにナノワイヤ191A~B周りのラッピング192)がモデル化される。図17Bには、ラッピングされたナノワイヤ191A~B間にあるボイドが示されている。図17Bに示されているように、そのライナのゲート上面194におけるライナラッパ素材の厚みTが、ゲート壁面193の厚みTと異なっている。図17Cに示されているように、そのナノワイヤ191A~Bの周りにあるラッパの厚みがTとなっている。従って、不均一共形ライナラッパ構築ブロック190は、上部ライナ厚T、ゲート壁ライナ厚T、ラッパ厚T及び素材特性により定義される。ある種の実施形態では、不均一共形ライナラッパ構築ブロック190の下部ゲート厚も、ユーザによって独立的に選択される。
図16A~図16C及び図17A~図17Cに示した諸実施形態では、各ライナラッパが、下地をなす土台ブロック(例.下地をなすナノワイヤ又は先行ラッパ)に沿い且つその全周を巡り共形となっている。
ある種の実施形態では、N本のワイヤの周りにあるM個のラッパと、ゲート壁、ゲート底部及びゲート頂部を覆う単一のライナとが、そのライナラッパ複合構築ブロックによって定義されるよう、ライナラッパが定義される。
別の更なる態様では、複合ナノワイヤ構築ブロックに共形スペーサラッパが組み込まれる。図18A~図18Cには、順に共形スペーサラッパ構築ブロック200の等角描像、端面外観及び側面外観が示されている。ウェハ上には本願既述のHKMG素材が堆積される。堆積後にそれら素材の高さが最適化される。より具体的には、そのライナの上部がエッチングにより除去され、その形状がスペーサとラッパの組合せになる。この共形スペーサラッパ構築ブロックにより、全ての露出構造上での素材エッチング(例.ゲート壁面203上でのエッチング)及びナノワイヤ201A~B周りのラッピング202がモデル化される。図18Bには、ラッピングされたナノワイヤ201A~B間にあるボイドが示されている。図18Bには、そのライナの上部近傍厚Tが示されている。図18Cには、エッチング後のそのスペーサラッパの高さHが示されている。従って、共形スペーサラッパ構築ブロック200は、ライナ厚T、スペーサ高H及び素材特性により定義される。ある種の実施形態ではそのスペーサラッパ厚が不均一とされる。ある種の実施例では、下部ゲート厚、ゲート壁厚及びラッパ厚のうち何れかが、ユーザによりやはり独立的に選択される。
図18A~図18Cに示す実施形態では、各スペーサラッパが、下地をなす土台ブロック(例.下地をなすナノワイヤ又は先行ラッパ)に沿い且つその全周に亘り共形である。
ある種の実施形態では、N本のワイヤの周りにあるM個のラッパと、ゲート壁及びゲート下部を覆う単一のスペーサとが、そのスペーサラッパ複合構築ブロックにより定義されるよう、スペーサラッパが定義される。
一般に、基礎的構築ブロック同士を統合及び拘束することで、複合構築ブロック例えばライナラッパ180及び190並びにスペーサラッパ200をモデル化することができる。個々の独立な複合構築ブロックに必要な拘束条件は内的に事前定義され、そのデザイン内にその複合構築ブロックの一部として埋め込まれる。それら拘束条件がその複合構築ブロックの各回使用時に強制される。
インナスペーサはナノワイヤ依拠デバイスに特有なテクノロジ的プロセスである。インナスペーサは、エクステンション領域内のソース/ドレイン構造とナノワイヤチャネルとの間の寄生静電容量を減らすのに必要である。インナスペーサは低K素材であり、Finエッチング後にそのソース/ドレイン領域内の2本のナノワイヤ間にあるギャップがそれにより満たされる。図19Aにはインナスペーサ処理工程前のナノワイヤ構造210が示されている。図19Aに示されているように、隣り合うナノワイヤ間にボイド211A~Cが存在している。図19Bにはインナスペーサ処理工程後のナノワイヤ構造220が示されている。図19Bに示されているように、ボイド211A~Cがインナスペーサ221A~Cで以て満たされている。
別の更なる態様では複合ナノワイヤ構築ブロックにインナスペーサが組み込まれる。そのインナスペーサ構築ブロックに係る土台ブロックはナノワイヤ構築ブロックである。デフォルトでは、そのナノワイヤ上への堆積時に、隣り合う2本のナノワイヤ毎に差しはさまるエアギャップがそれらインナスペーサにより満たされ、それらインナスペーサがエアギャップ形状に対し共形となる。ある種の実施例では、そのインナスペーサの厚み、高さ及びCDが自動拘束される。他のある種の実施例では、その自動拘束等式が編集可能なものとされる。ある実施例では、そのインナスペーサの厚みを編集可能、即ちユーザが独立に選択可能なものとされるので、横方向、即ちそのナノワイヤの長手軸に対し平行な方向にてそのエアギャップがインナスペーサにより半端に満たされる用例を、モデル化することができる。
図20A~図20Cには、順に、インナスペーサ構築ブロック及び対応するナノワイヤ222A-Cのアレイ220の端面外観、側面外観及び等角描像が示されている。図20A~図20Cには、ナノワイヤリリース処理工程後のナノワイヤに関わるインナスペーサが示されている。図20A~図20Cに示されているように、各インナスペーサは2本のシリコンナノワイヤ間に配置される。図20A及び図20Bに示されているように、各インナスペーサの幾何は6個のパラメタ、即ち横方向厚T、インナスペーサCD、インナスペーサ高H、2個の横方向インナスペーサ間を隔てるピッチP、インナスペーサのサイドウォール角、並びに素材パラメタにより定義される。デフォルトでは、インナスペーサの厚みがエアギャップ厚に縛られ、インナスペーサCDがエアギャップCDに縛られ、且つ高さHが隣り合う2本のナノワイヤ間の垂直方向間隔とされる。そのピッチはナノワイヤピッチでもある。ある種の実施例では、そのインナスペーサの厚み及び素材特性のみが、ユーザにより選択される独立パラメタとされ、残りが自動拘束、即ちその上にインナスペーサが構築される土台ブロック(群)により規定される。
図20A~図20Cに示す実施形態では、各インナスペーサが、下地をなす土台ブロック(例.下地をなすナノワイヤ又は先行ラッパ)上に構築される。
再使用型パラメトリックサブ構造モデル170、171、180、190、200、210及び220は複合ナノワイヤ構築ブロックの例である。複合ナノワイヤ構築ブロックには、複数個の基礎的ナノワイヤ構築ブロックの組合せが組み込まれる。ある種の実施例では、一通り又は複数通りの方向に沿いユーザ指定距離分だけ互いに空間的に隔てられた複数本のナノワイヤ(例.N本のナノワイヤ)が、複合ナノワイヤ構築ブロックに組み込まれる。例えば、図20Cに示すインナスペーサ複合構築ブロックには、ナノワイヤ及びインナスペーサの三次元アレイが組み込まれている。
別の更なる態様では、モデル構築ツールによって、1個又は複数個の再使用型パラメトリックモデルが複雑なナノワイヤ依拠半導体デバイスの計測モデルへと統合される。ある種の実施形態では、モデル構築ツールがユーザからの入力を受け取り、幾何的基礎要素を再使用型パラメトリックサブ構造モデルと組み合わせることで、計測モデルが形成される。他のある種の実施形態では、半導体デバイスの計測モデルが1個の再使用型パラメトリックモデルにより全面記述される。他のある種の実施形態では、半導体デバイスの計測モデルが2個以上の再使用型パラメトリックモデルの組合せにより全面記述される。
別のある態様では、モデル構築ツールにより、ユーザからの入力に基づき複合ナノワイヤ構築ブロックモデルが生成される。
ある種の実施形態では、多数の基礎的ナノワイヤ構築ブロック、或いはより単純な再使用型パラメトリックサブ構造モデル(例.複合ナノワイヤ構築ブロック)でありユーザにより示されたものの複合を踏まえ、モデル構築ツールにより再使用型パラメトリックサブ構造モデル(例.複合ナノワイヤ構築ブロック)が生成される。その複合により、個別モデルの集団を、基礎的構築ブロックであるかの如く計測モデルの一要素として用いうる単一の再使用型パラメトリックサブ構造モデルに、変化させることができる。そのモデル構築ツールによりそのサブ構造モデルが後刻使用に備え保存される。内的には、下地をなす幾何的基礎要素全てを全面統合するのに必要な拘束条件が、そのサブ構造モデルに組み込まれる。それら拘束条件はそのサブ構造モデルの一部として保存され、そのサブ構造モデルの各インスタンスにて強制される。こうすることで、ユーザは、よく用いられる複雑な形状の集団を、事前定義されている拘束条件で以て生成することができる。そのサブ構造モデルを、アンロードしてファイル内に保存すること、プロジェクトへとリロードして用いること、並びにユーザ間で共有することができる。
そのモデル構築ツールにより生成される再使用型パラメトリックサブ構造モデルにより、再使用可能なサブ構造のライブラリをユーザ又はユーザ集団が生成することが可能になる。同じサブ構造モデルの相異なるインスタンスを用いる相異なるユーザが、同じ数値結果を達成することを、期待することができる。
再使用型パラメトリックサブ構造モデルは様々なやり方で生成することができる。ある実施例では、ユーザからそのモデル構築ツールへと指令することで、ユーザ下で生成されたコンピュータコードにより、1個又は複数個の幾何的基礎要素、1個又は複数個の既存サブ構造モデル、或いは何らかの組合せを、結合させ且つ拘束することができる。これにより、より円滑なモデル離散化が果たされるため、離散化点の個数が少なくて情報処理上より効率的な計測モデルがもたらされることとなる。一般に、モデルに含まれる幾何構築ブロックが少なく且つ拘束条件が少ない方が、非常に多数の幾何構築ブロック及び拘束条件をその離散化エンジンにより一貫解析する必要がなくなるため、離散化が高速となる。ある種の実施形態によれば、第1再使用型パラメトリックモデルの離散化点を、第2再使用型パラメトリックモデルの離散化点に対し、下地をなす情報処理システムの浮動小数点精度以内で整列させることで、再現性のある情報処理結果をその結合モデルから得られるようになる。
他のある種の実施例では、ユーザによるグラフィカルユーザインタフェース(GUI)とのやり取りを通じ、ユーザが1個又は複数個の幾何的基礎要素、1個又は複数個の既存サブ構造モデル、或いは何らかの組合せを選択することができ、更にそのユーザの望みを示してそれら要素同士を集団化し所望の独立パラメタを選択することができる。これに応じモデル構築ツールにて適正な拘束条件が自動生成され、ひいては全面統合されたパラメトリックサブ構造モデルが実現される。その後、ユーザは、その新規生成パラメトリックサブ構造モデルをファイル内にエクスポートすることができ、またそのファイルを他者が用いることができる。別の実施例では、その新規生成パラメトリックサブ構造モデルを、利用可能な構築ブロックとしてそのモデル構築ツール内に列記することができ、それをユーザが選択して計測モデルを築くこと、更には別のより複雑なパラメトリックサブ構造モデルを築くことができる。それら再使用型パラメトリックサブ構造モデルにより、複数のユーザが複雑なモデルの相異なる部分を対象に協調作業し、それらを最終段階で一つに組み立てるといったことが、可能となる。
複雑なデバイスモデルを組み立てるのに必要なコンポーネントの個数は、幾何的基礎要素ではなく2個以上の再使用型パラメトリックサブ構造モデルを組み合わせることで、顕著に低減される。更に、ユーザが指定しなければならないコンポーネント間の関係の個数も顕著に低減される。これにより初期モデル構築プロセスが単純化され、誤差が起きにくくなり、且つ別々のユーザ間でモデルを引き渡すことがより容易になる。
別の更なる態様では、複雑なデバイスサブ構造の再使用型パラメトリックモデルであり、具体的な半導体プロセスの根幹特性がそれらのデザインに埋め込まれているものが、そのモデル構築ツールにより生成され、使用可能とされる。より具体的には、1個又は複数個の処理工程により生成されるウェハアーティファクトをユーザが指定できるようにする制御子が、再使用型パラメトリックサブ構造モデル内に組み込まれる。
ある実施形態では、再使用型パラメトリックモデルにより複数個の処理工程が表される。更に、どの処理工程をモデル化するかをユーザが選択することができる。例えば、トレンチエッチング処理工程をまずモデル化することをユーザが望んでいる場合は、ユーザによる制御で、その再使用型パラメトリックモデル内に、そのトレンチエッチを生成するに必要なプロセス群(即ち膜堆積工程及びトレンチエッチング工程)が組み込まれる。ユーザにより、その膜堆積工程にて用いられる素材が定義され、堆積される膜の厚みが定義され、且つトレンチの寸法が定義されることとなろう。平坦化工程をモデル化することをユーザが望んでいる場合は、先立って定義されているトレンチエッチングモデルを始点とし、ユーザによる制御で、その再使用型パラメトリックモデル内に、その平坦化構造を生成するのに必要なプロセス群(即ち共形堆積工程及び平坦化工程)が組み込まれる。ユーザにより、堆積毎に共形堆積物の個数及び素材/厚みが定義され、平坦化深度が定義されることとなろう。このようにして、ユーザが、その再使用型パラメトリックモデルにより表される処理工程それぞれを個別に制御することができる。即ち、単一のモデルを利用し複数個の処理工程につき計測することができる。
ある種のリソグラフィ焦点/照射アプリケーションでは、積層デバイス構造のレジストラインが、以下のやり方で拘束された積層台形としてモデル化される。1)隣り合う台形の上部限界寸法(TCD)及び下部限界寸法(BCD)を等値拘束する、2)個々の台形の高さを等値拘束する、3)ユーザが定義した焦点及び照射量パラメタの関数になるよう個別の限界寸法を拘束する、並びに4)上掲の焦点及び照射量パラメタの関数になるよう個々の台形の高さを拘束する。旧来は、これら拘束条件全てをユーザが設定する必要があった。
別の更なる態様では、複雑なデバイスサブ構造の再使用型パラメトリックモデルであり、計測アプリケーションの具体的子細(例.特定のアプリケーションから導出される拘束条件、寸法等々)を含むものが、そのモデル構築ツールにより生成され、使用可能とされる。
ある種の実施例では、個別寸法の等式が入っているファイルがそのモデル構築ツールによって読み込まれる。このファイルは、典型的にはリソグラフィシミュレータ、例えば米国カリフォルニア州ミルピタス所在のKLA-Tencor Corporationから入手可能なPROLITH(商標)ソフトウェアにより生成される。このアプリケーション情報に基づき、そのモデル構築ツールにより、その再使用型パラメトリックサブ構造モデルのパラメタ表現及び拘束条件が自動設定される。
別のある実施例では、また、ある種の光学計量アプリケーションにて用いられるフィールド増強素子を記述する再使用型パラメトリックサブ構造モデルを、そのモデル構築ツールを用い生成することができる。フィールド増強素子については、KLA-Tencor Corporationを譲受人とする特許文献8にて更に詳細に記述されているので、参照によりその主題の全容を本願に繰り入れることにする。そのモデル構築ツールを用い、フィールド増強素子の種類毎にまた様々なアプリケーションに関し、再使用型パラメトリックサブ構造モデルを生成することができる。
更に別の実施例では、また、計量ターゲット設計又はオーバレイ設計ソフトウェアにより生成された計量ターゲットを記述する再使用型パラメトリックサブ構造モデルが、モデル構築ツールを用い生成される。ある実施例では、そのモデル構築ツールにて、ソフトウェアシミュレータにより生成されたグラフィカルデータベースシステム(GDS)データが受領され、且つスペーサピッチ分岐のモルフォロジを予測する再使用型パラメトリックサブ構造モデルが自動生成される。
更に別の態様では、そのモデル構築ツールにセキュリティフィーチャを組み込み、それにより特定ユーザとの繊細な知的所有権の共有を管制することができる。例えば、あるエンティティがある計測モデルを別のエンティティと共有することを望んでいるが、その計測モデルのうち繊細な知的所有権を含んでいる特定側面を共有することは望んでいないことがある。ある種の実施例によれば、そのモデル構築ツールによって、ユーザが、1個又は複数個の再使用型パラメトリックサブ構造モデルのうち全て又は一部が表示されないようにしつつ、そのモデルを他のエンティティと共有することができる。ある種の実施例によれば、そのモデル構築ツールにより、ユーザが、1個又は複数個の再使用型パラメトリックサブ構造モデルのうち全て又は一部を省き、それら繊細な要素が別のエンティティと共有されないようにすることができる。他のある実施例によれば、そのモデル構築ツールにより、ユーザが、1個又は複数個の再使用型パラメトリックサブ構造モデルのうち全て又は一部に対するアクセスを制御すべくパスワード保護を取り入れ、繊細な要素の共有を権限のあるエンティティに限定することができる。このようにすることで、再使用型パラメトリックサブ構造モデルのある種の特徴に埋め込まれている繊細な知的所有権を、ユーザが守秘することができる。
本願で論ずる諸方法についてシステム100及び300を参照し説明したが、どのような光学又はX線計量システムであれ、試料を照明するよう且つそこで反射、透過又は回折された光を検出するよう構成されているものは、本願記載の諸方法例を実現する目的で採用することができる。システムの例としては、角度分解リフレクトメータ(反射計)、スキャタロメータ、リフレクトメータ、エリプソメータ、分光リフレクトメータ若しくはエリプソメータ、ビームプロファイルリフレクトメータ、多波長二次元ビームプロファイルリフレクトメータ、多波長二次元ビームプロファイルエリプソメータ、回動補償器分光エリプソメータ、透過X線スキャタロメータ、反射X線スキャタロメータ等々がある。例えば、これに限られないが、エリプソメータを、単一の回動補償器、複数個の回動補償器、回動ポラライザ、回動アナライザ、変調素子又は複数個の変調素子を有するもの又は変調素子を欠くものとすることができる。
注記されることに、ソース及び/又はターゲット計測システムからの出力を、その計測システムにて複数のテクノロジが用いられるよう、構成することができる。実際のところ、単一ツール内で或いは多種多様なツールに亘り利用可能な計量サブシステムの何らかの組合せが採用されるよう、アプリケーションを構成することができる。
本願記載の諸方法を実現するシステムは、また、多種多様なやり方で構成することができる。例えば、広範な波長(可視、紫外、赤外及びX線等)、入射角、偏向状態及びコヒーレンス状態を想定することができる。また例えば、そのシステムに、多種多様な光源(例.直接結合光源、レーザ維持プラズマ光源等々)の何れを組み込んでもよい。また例えば、そのシステムに、試料に差し向けられ又はその試料から収集される光を調光する素子(例.アポダイザ、フィルタ等々)を組み込んでもよい。
一般に、計測下ナノワイヤ依拠半導体構造の光学分散特性は、等方性なものとして近似することができる。この仮定下では、ナノワイヤ依拠構築ブロックに入力として供給される素材パラメタがスカラー値となる。これに代え、計測下ナノワイヤ依拠半導体構造の光学分散特性を、より正確に、異方性なものとして近似することもできる。この仮定下では、ナノワイヤ依拠構築ブロックに入力として供給される素材パラメタが、スカラー値ではなく、様々な値の行列とされよう。計測下異方性構造の処置に関する付加的詳細が特許文献9にて記述されているので、参照によりその全容を本願に繰り入れることにする。
図21には、本発明の計量システム100、300及び500による実現に適した方法400が描かれている。ある態様によれば、認識頂けるように、方法400の諸データ処理ブロックを、予めプログラミングされているアルゴリズムを情報処理システム116、330又は530に備わる1個又は複数個のプロセッサにより実行することで、実行することができる。以下の記述は計量システム100、300及び500の文脈で提示されているけれども、本願での認識によれば、計量システム100、300及び500の特定の構造的側面により限定事項が表されるわけではなく、それらは専ら例証として解されるべきである。
ブロック401では、1個又は複数個の計測サイトそれぞれにて、一群の輻射で以てナノワイヤ依拠半導体構造が照明される。
ブロック402では、そのナノワイヤ依拠半導体構造の計測に係る一群の計測データが、その一群の照明輻射に応じ検出される。
ブロック403では、第1ユーザによる第1再使用型パラメトリックモデルの選択の指図であり、そのナノワイヤ依拠半導体構造のうち少なくとも第1部分を記述するためのものが、受領される。その第1再使用型パラメトリックモデルは、複数個の幾何要素を含み、第1組の独立パラメタ値により全面定義されるものである。
ブロック404では、その第1組の独立パラメタ値についての選択の指図が受領される。
ブロック405では、1個又は複数個の計測サイトそれぞれに係る一群の計測データへの計測モデルの当て嵌めを踏まえ、1個又は複数個の計測サイトそれぞれにてそのナノワイヤ依拠半導体構造を特徴付ける注目パラメタの値が推定される。その計測モデルには第1再使用型パラメトリックモデルが含まれる。
本願記載の語「限界寸法」には、構造のあらゆる限界寸法(例.下部限界寸法、中部限界寸法、上部限界寸法、サイドウォール角、格子高さ等々)、何れか2個以上の構造間の限界寸法(例.2個の構造間の距離)、2個以上の構造間のずれ(例.重なり合う格子構造間のオーバレイ位置ずれ等々)、並びに構造若しくはその構造の一部分にて用いられる素材の分散特性値が包含される。構造には三次元構造、パターン化構造、オーバレイ構造等々が含まれうる。
本願記載の語「限界寸法アプリケーション」又は「限界寸法計測アプリケーション」にはあらゆる限界寸法計測が包含される。
本願記載の語「計量システム」には、その態様を問わず試料の特性解明に少なくとも部分的に採用されるシステム全てが包含される。とはいえ、これらの技術用語により本願記載の語「計量システム」の範囲が制限されるわけではない。加えて、計量システム100をパターニング済ウェハの計測向け、及び/又は、未パターニングウェハの計測向けに構成してもよい。その計量システムを、LED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール又はマルチモード検査ツール(1個又は複数個のプラットフォームから同時にデータを得るものを含む)その他、限界寸法データに基づくシステムパラメタの校正から利を受ける何れの計量又は検査ツールとして構成してもよい。
本願には、試料の処理に用いられうる半導体処理システム(例.検査システムやリソグラフィシステム)に関し、様々な実施形態が記載されている。本願中の用語「試料」は、本件技術分野で既知な手段で処理(例.印刷又は欠陥検査)されうるウェハ、レティクルその他何らかの標本上にある1個若しくは複数個のサイトのことを指している。ある種の実施例では、その試料が、1個又は複数個の計測ターゲットを有する単一のサイトを有するものとされ、その同時的結合計測が1回の試料計測又は参照計測として扱われる。他のある種の実施例では、その試料がサイトの集積物とされ、その集積計測サイトに係る計測データがそれら複数個のサイトそれぞれに係るデータの統計的集積物とされる。更に、それら複数個のサイトそれぞれに、試料又は参照計測に係る1個又は複数個の計測ターゲットを設けてもよい。
本願中の用語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板のことを指している。その例としては、これに限られるものではないが、単結晶シリコン、ヒ化ガリウム及び燐化インジウムがある。そうした基板は半導体製造設備にて普通に見いだすこと及び/又は処理することができる。場合によっては、ウェハが基板のみで構成されることがある(いわゆるベアウェハ)。そうではなく、ウェハが、基板上に形成された1個又は複数個の異種素材層を有することもある。ウェハ上に形成された1個又は複数個の層が「パターニング」されていることも「未パターニング」なこともありうる。例えば、ウェハ内に複数個のダイがありそれらが可反復パターンフィーチャを有していることがありうる。
「レティクル」は、レティクル製造プロセスのどの段階にあるレティクルでもよいし、レティクルの完成品でもよいし、また半導体製造設備での使用向けにリリースされていてもいなくてもよい。レティクル或いは「マスク」は、一般に、その上にほぼ不透明な領域が形成されておりその領域がパターンをなしているほぼ透明な基板として定義される。その基板には、例えば、ガラス素材例えばアモルファスSiOが含有されうる。レジストで覆われたウェハの上方にレティクルを配してリソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストへと転写することができる。
ウェハ上に形成された1個又は複数個の層がパターニングされていても未パターニングであってもよい。例えば、ウェハ内の複数個のダイそれぞれが可反復パターンフィーチャを有していてもよい。そうした素材層の形成及び処理によって、最終的にはデバイスの完成品を得ることができる。ウェハ上には多くの相異なる種類のデバイスが形成されうるところ、本願中の用語ウェハには、本件技術分野で既知な何らかの種類のデバイスがその上に作成されるウェハを包括する意図がある。
1個又は複数個の例示的実施形態によれば、上述の機能をハードウェア、ソフトウェア、ファームウェア又はそれらの何らかの組合せで実現することができる。ソフトウェアで実現するのであれば、それらの機能を1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納し又はその媒体上で伝送すればよい。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体の双方、例えばコンピュータプログラムをある場所から別の場所へと転送するのに役立つ媒体全てが包含される。格納媒体は、汎用又は専用コンピュータによるアクセスが可能な何れの入手可能媒体でもよい。例えば、これに限られないが、そうしたコンピュータ可読媒体は、RAM、ROM、EEPROM、CD-ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置その他、命令又はデータ構造の形態を採る所望のプログラムコード手段を搬送又は格納するのに用いることができ、且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサによるアクセスが可能な、あらゆる媒体を以て構成することができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、そのソフトウェアをウェブサイト、サーバその他のリモートソースから送信するに当たり同軸ケーブル、光ファイバケーブル、ツイストペア(撚り線対)、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波が用いられるのであれば、それら同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波は媒体の定義に収まる。本願中の用語ディスクには、コンパクトディスク(CD)、レーザディスク、ディジタルバーサタイルディスク(DVD(登録商標))、フロッピー(登録商標)ディスク及びblu-ray(登録商標)ディスクを初め、通常はデータが磁気的に再生されるディスク(disk)及びレーザで以てデータが光学的に再生されるディスク(disc)が包含される。上掲のものの組合せもまたコンピュータ可読媒体の範囲内に包含されるべきである。
ある種の具体的諸実施形態を教示目的で上述したが、本特許出願の教示は一般的な適用可能性を有するものであり、上述の具体的諸実施形態に限定されるものではない。従って、上述の諸実施形態の様々な特徴については、特許請求の範囲中で説明されている発明の技術的範囲から離隔することなく、様々な修正、適合化及び組合せを実施することができる。

Claims (20)

  1. 計量システムであって、
    1個又は複数個の計測サイトそれぞれにて一群の輻射で以てナノワイヤ依拠半導体構造を照明するよう構成された照明サブシステムと、
    前記一群の輻射に応じ前記ナノワイヤ依拠半導体構造の計測に係る一群の計測データを検出するよう構成された検出器と、
    情報処理システムと、
    を備え、その情報処理システムが、
    第1再使用型パラメトリックモデルについての第1ユーザによる選択の指図を、前記ナノワイヤ依拠半導体構造のうち少なくとも第1部分を記述するため受領するよう、構成され、
    前記ナノワイヤ依拠半導体構造が半導体チャネルであり、半導体ソース構造と半導体ドレイン構造とのあいだに無支持状態で延設され、前記第1再使用型パラメトリックモデルが複数個の幾何要素を含み、第1組の独立パラメタ値により全面定義され、
    前記情報処理システムがさらに、
    それら第1組の独立パラメタ値についての選択の指図を受領するよう、且つ
    前記ナノワイヤ依拠半導体構造を特徴付ける注目パラメタの値を、前記1個又は複数個の計測サイトそれぞれにて、当該1個又は複数個の計測サイトそれぞれに係る前記一群の計測データへの、前記第1再使用型パラメトリックモデルを含む計測モデルの当て嵌めを踏まえ、推定するよう、
    構成されている計量システム。
  2. 請求項1に記載の計量システムであって、前記ナノワイヤ依拠半導体構造が実半導体デバイスのうち少なくとも一部分を構成する計量システム。
  3. 請求項1に記載の計量システムであって、前記ナノワイヤ依拠半導体構造の計測モデルが前記第1再使用型パラメトリックモデルにより全面記述される計量システム。
  4. 請求項1に記載の計量システムであって、前記情報処理システムが、更に、
    第2再使用型パラメトリックモデルについての前記第1ユーザによる選択の指図を、前記ナノワイヤ依拠半導体構造のうち少なくとも第2部分を記述するため、複数個の幾何要素を含み第2組の独立パラメタ値により全面定義される第2再使用型パラメトリックモデルについて、受領するよう、
    それら第2組の独立パラメタ値についての選択の指図を受領するよう、
    第1及び第2再使用型パラメトリックモデルの組合せに少なくとも部分的に依拠し前記ナノワイヤ依拠半導体構造の計測モデルを決定するよう、且つ
    その計測モデルをメモリ内に格納するよう、
    構成されている計量システム。
  5. 請求項4に記載の計量システムであって、前記第1再使用型パラメトリックモデルの離散化点複数個を、前記第2再使用型パラメトリックモデルの離散化点複数個に対し、前記情報処理システムの浮動小数点精度以内で整列させる計量システム。
  6. 請求項1に記載の計量システムであって、前記第1再使用型パラメトリックモデルを定義する前記第1組の独立パラメタ値の選択が前記第1ユーザにより行われる計量システム。
  7. 請求項1に記載の計量システムであって、前記情報処理システムが、更に、
    プロセスシミュレーションツールにより生成された出力ファイルを受領するよう、且つ
    その出力ファイルから前記第1組の独立パラメタ値を決定するよう、
    構成されている計量システム。
  8. 請求項1に記載の計量システムであって、前記第1再使用型パラメトリックモデルが横ナノワイヤ又は垂直ナノワイヤのパラメトリック幾何モデルを含む計量システム。
  9. 請求項8に記載の計量システムであって、前記パラメトリック幾何モデルにより、円筒形断面、長方形断面、丸め隅部付の長方形断面、楕円形断面、六角形断面、段差付断面及びテーパ付断面のうち何れかを有する横ナノワイヤ又は垂直ナノワイヤが記述される計量システム。
  10. 請求項1に記載の計量システムであって、前記第1再使用型パラメトリックモデルが、単一のパラメトリック幾何モデル内に統合された複数個の基礎的幾何要素を含む複合ナノワイヤ構築ブロックである計量システム。
  11. 請求項10に記載の計量システムであって、前記複合ナノワイヤ構築ブロックが、均一共形ラッパモデル、不均一共形ラッパモデル、均一共形ライナラッパモデル、不均一共形ライナラッパモデル、共形スペーサラッパモデル及びインナスペーサモデルのうち何れかを含む計量システム。
  12. 請求項10に記載の計量システムであって、前記複合ナノワイヤ構築ブロックが、ナノワイヤ、ラッパ、ライナラッパ、スペーサラッパ、インナスペーサ又はそれらの何らかの組合せのアレイのモデルを含む計量システム。
  13. 請求項1に記載の計量システムであって、前記照明サブシステム及び前記検出器が光学計量システム又はX線式計量システムを構成している計量システム。
  14. 請求項1に記載の計量システムであって、前記ナノワイヤ依拠半導体構造のうち少なくとも第1部分の第1再使用型パラメトリックモデルが、ある特定の計測アプリケーションに固有な幾何フィーチャ及び幾何フィーチャ間相互関係を含むものである計量システム。
  15. 計量システムであって、
    1個又は複数個の計測サイトそれぞれにて一群の輻射で以てナノワイヤ依拠半導体構造を照明するよう構成された照明サブシステムと、
    前記一群の輻射に応じ前記ナノワイヤ依拠半導体構造の計測に係る一群の計測データを検出するよう構成された検出器と、
    情報処理システムと、
    を備え、その情報処理システムが、
    複数個の基礎的幾何モデル化要素についての、ユーザによる選択の指図を受領するよう、
    そのユーザからの指図であり、それら複数個の基礎的幾何モデル化要素それぞれの所望位置を、他の基礎的幾何モデル化要素を基準として指し示すものを受領するよう、
    そのユーザからの指図であり、それら複数個の基礎的幾何モデル化要素の所望パラメタ表現を指し示すものを受領するよう、
    前記ナノワイヤ依拠半導体構造のうち少なくとも一部分の第1再使用型パラメトリックモデルを、前記複数個の基礎的幾何モデル化要素の組合せに基づき決定するよう、且つ
    その第1再使用型パラメトリックモデルをメモリ内に格納するよう、
    構成され、
    前記ナノワイヤ依拠半導体構造が半導体チャネルであり、半導体ソース構造と半導体ドレイン構造とのあいだに無支持状態で延設され、前記第1再使用型パラメトリックモデルが前記所望パラメタ表現に係る一組の独立パラメタにより全面定義される、計量システム。
  16. 請求項15に記載の計量システムであって、前記第1再使用型パラメトリックモデルの前記決定に際し、前記一組の独立パラメタにより全面定義される前記第1再使用型パラメトリックモデル内に前記複数個の基礎的幾何モデル化要素を全面統合する一組の拘束関係を生成する計量システム。
  17. 請求項15に記載の計量システムであって、前記情報処理システムが、更に、
    前記第1再使用型パラメトリックモデルについての、前記ユーザによる選択の指図を受領するよう、
    第2再使用型パラメトリックモデルであり、複数個の幾何要素を含み第2組の独立パラメタ値により全面定義される第2再使用型パラメトリックモデルについての、そのユーザによる選択の指図を受領するよう、
    第1及び第2再使用型パラメトリックモデルの組合せに少なくとも部分的に依拠し、前記ナノワイヤ依拠半導体構造の計測モデルを決定するよう、且つ
    の計測モデルをメモリ内に格納するよう、
    構成されている計量システム。
  18. 1個又は複数個の計測サイトそれぞれにて一群の輻射で以てナノワイヤ依拠半導体構造を照明し、
    その一群の輻射に応じ前記ナノワイヤ依拠半導体構造の計測に係る一群の計測データを検出し、
    第1再使用型パラメトリックモデルについての第1ユーザによる選択の指図を、前記ナノワイヤ依拠半導体構造のうち少なくとも第1部分を記述するため受領し、前記ナノワイヤ依拠半導体構造が半導体チャネルであり、半導体ソース構造と半導体ドレイン構造とのあいだに無支持状態で延設され、前記第1再使用型パラメトリックモデルが複数個の幾何要素を含み、第1組の独立パラメタ値により全面定義され、
    それら第1組の独立パラメタ値についての選択の指図を受領し、且つ
    前記ナノワイヤ依拠半導体構造を特徴付ける注目パラメタの値を、前記1個又は複数個の計測サイトそれぞれにて、当該1個又は複数個の計測サイトそれぞれに係る前記一群の計測データへの、前記第1再使用型パラメトリックモデルを含む計測モデルの当て嵌めを踏まえ推定する、
    方法。
  19. 請求項18に記載の方法であって、前記第1再使用型パラメトリックモデルが横ナノワイヤ又は垂直ナノワイヤのパラメトリック幾何モデルを含む方法。
  20. 請求項19に記載の方法であって、前記パラメトリック幾何モデルにより、円筒形断面、長方形断面、丸め隅部付の長方形断面、楕円形断面、六角形断面、段差付断面及びテーパ付断面のうち何れかを有する横ナノワイヤ又は垂直ナノワイヤが記述される方法。
JP2020549056A 2018-03-15 2019-03-14 再使用型サブ構造に依拠したナノワイヤ半導体構造の計測モデル Active JP7161543B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862643322P 2018-03-15 2018-03-15
US62/643,322 2018-03-15
US16/352,776 US11036898B2 (en) 2018-03-15 2019-03-13 Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US16/352,776 2019-03-13
PCT/US2019/022370 WO2019178424A1 (en) 2018-03-15 2019-03-14 Measurement models of nanowire semiconductor structures based on re-usable sub-structures

Publications (3)

Publication Number Publication Date
JP2021518656A JP2021518656A (ja) 2021-08-02
JPWO2019178424A5 JPWO2019178424A5 (ja) 2022-03-18
JP7161543B2 true JP7161543B2 (ja) 2022-10-26

Family

ID=67905716

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020549056A Active JP7161543B2 (ja) 2018-03-15 2019-03-14 再使用型サブ構造に依拠したナノワイヤ半導体構造の計測モデル

Country Status (6)

Country Link
US (1) US11036898B2 (ja)
JP (1) JP7161543B2 (ja)
KR (1) KR102477026B1 (ja)
CN (1) CN111837230B (ja)
TW (1) TWI781300B (ja)
WO (1) WO2019178424A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020126248A1 (en) * 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11579099B2 (en) * 2019-10-14 2023-02-14 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11644412B2 (en) * 2020-08-02 2023-05-09 Aizhong Zhang Thin film spectroellipsometric imaging
CN113175992B (zh) * 2021-04-25 2023-01-06 南京大学 一种纳米锥光谱分析器件及光谱分析方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160097983A1 (en) 2013-05-21 2016-04-07 Asml Netherlands B.V. Inspection Method and Apparatus, Substrates for use Therein and Device Manufacturing Method
JP2017507479A (ja) 2014-01-15 2017-03-16 ケーエルエー−テンカー コーポレイション 再利用可能な下位構造を含む半導体デバイスモデル

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
EP1319244A1 (en) 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7430051B2 (en) 2005-10-12 2008-09-30 Sematech Inc. Methods for characterizing semiconductor material using optical metrology
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7596422B2 (en) 2007-01-12 2009-09-29 Tokyo Electron Limited Determining one or more profile parameters of a structure using optical metrology and a correlation between profile models and key profile shape variables
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
CN107533020B (zh) * 2015-04-28 2020-08-14 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
US10458912B2 (en) * 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160097983A1 (en) 2013-05-21 2016-04-07 Asml Netherlands B.V. Inspection Method and Apparatus, Substrates for use Therein and Device Manufacturing Method
JP2017507479A (ja) 2014-01-15 2017-03-16 ケーエルエー−テンカー コーポレイション 再利用可能な下位構造を含む半導体デバイスモデル

Also Published As

Publication number Publication date
KR20200122404A (ko) 2020-10-27
KR102477026B1 (ko) 2022-12-12
CN111837230A (zh) 2020-10-27
WO2019178424A1 (en) 2019-09-19
US11036898B2 (en) 2021-06-15
US20190286787A1 (en) 2019-09-19
JP2021518656A (ja) 2021-08-02
CN111837230B (zh) 2022-06-14
TWI781300B (zh) 2022-10-21
TW201946175A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
US11333621B2 (en) Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11536674B2 (en) Systems and methods for combined reflectometry and photoelectron spectroscopy
JP7161543B2 (ja) 再使用型サブ構造に依拠したナノワイヤ半導体構造の計測モデル
CN111819675B (zh) 用于实时测量控制的方法及系统
US11460418B2 (en) Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry
JP2019519759A (ja) 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
JP2020516900A (ja) 透過型小角x線散乱計量システム
US11698251B2 (en) Methods and systems for overlay measurement based on soft X-ray Scatterometry
US20230169255A1 (en) Methods And Systems For Data Driven Parameterization And Measurement Of Semiconductor Structures
US20220196576A1 (en) Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220310

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220310

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220907

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221014

R150 Certificate of patent or registration of utility model

Ref document number: 7161543

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150