JP6992166B2 - Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device - Google Patents

Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device Download PDF

Info

Publication number
JP6992166B2
JP6992166B2 JP2020510420A JP2020510420A JP6992166B2 JP 6992166 B2 JP6992166 B2 JP 6992166B2 JP 2020510420 A JP2020510420 A JP 2020510420A JP 2020510420 A JP2020510420 A JP 2020510420A JP 6992166 B2 JP6992166 B2 JP 6992166B2
Authority
JP
Japan
Prior art keywords
group
atom
repeating unit
ring
sensitive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020510420A
Other languages
Japanese (ja)
Other versions
JPWO2019187803A1 (en
Inventor
敬史 川島
明弘 金子
研由 後藤
大輔 浅川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Corp
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of JPWO2019187803A1 publication Critical patent/JPWO2019187803A1/en
Application granted granted Critical
Publication of JP6992166B2 publication Critical patent/JP6992166B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F234/00Copolymers of cyclic compounds having no unsaturated aliphatic radicals in a side chain and having one or more carbon-to-carbon double bonds in a heterocyclic ring
    • C08F234/02Copolymers of cyclic compounds having no unsaturated aliphatic radicals in a side chain and having one or more carbon-to-carbon double bonds in a heterocyclic ring in a ring containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Description

本発明は、感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、及び電子デバイスの製造方法に関する。 The present invention relates to a sensitive light-sensitive or radiation-sensitive resin composition, a resist film, a pattern forming method, and a method for manufacturing an electronic device.

従来、IC(Integrated Circuit、集積回路)及びLSI(Large Scale Integrated circuit、大規模集積回路)等の半導体デバイスの製造プロセスにおいては、フォトレジスト組成物(以下、「感活性光線性又は感放射線性樹脂組成物」ともいう。)を用いたリソグラフィーによる微細加工が行われている。近年、集積回路の高集積化に伴い、サブミクロン領域又はクオーターミクロン領域の超微細パターン形成が要求されるようになってきている。それに伴い、露光波長もg線からi線に、更にKrFエキシマレーザー光に、というように短波長化の傾向が見られる。更には、現在では、エキシマレーザー光以外にも、電子線、X線、又はEUV(Extreme Ultra Violet、極紫外光)を用いたリソグラフィーも開発が進んでいる。 Conventionally, in the manufacturing process of semiconductor devices such as ICs (Integrated Circuits) and LSIs (Large Scale Integrated Circuits), photoresist compositions (hereinafter, "sensitive light-sensitive or radiation-sensitive resins" have been used. Fine processing is performed by lithography using "composition"). In recent years, with the increasing integration of integrated circuits, the formation of ultrafine patterns in the submicron region or the quartermicron region has been required. Along with this, there is a tendency for the exposure wavelength to be shortened from g-line to i-line and further to KrF excimer laser light. Furthermore, at present, in addition to excimer laser light, lithography using electron beam, X-ray, or EUV (Extreme Ultra Violet) is also being developed.

感活性光線性又は感放射線性樹脂組成物として、例えば、特許文献1には、EUV露光等に適用可能なポジ型レジスト組成物が開示されている。 As a sensitive light-sensitive or radiation-sensitive resin composition, for example, Patent Document 1 discloses a positive resist composition applicable to EUV exposure and the like.

特開2007-094139号公報Japanese Unexamined Patent Publication No. 2007-094139

ところで、EUV光(波長13.5nm)は、例えばArFエキシマレーザー光(波長193nm)と比較すると短波長であるため、レジスト膜の露光において、同じ感度としたときに入射フォトン数が少ない特徴がある。これにより、EUV光によるリソグラフィーでは、確率的にフォトンの数がばらつく「フォトンショットノイズ」の影響が大きく、LER(lineedge roughness)悪化の主要因となっている。
フォトンショットノイズを減らすには、露光量を大きくして(言い換えると、低感度化して)入射フォトン数を増やすことが有効であるが、これは昨今の高感度化要求とトレードオフの関係となる。また、レジスト膜の膜厚を大きくして吸収フォトン数を増やすことも有効であるが、形成されるパターンのアスペクト比が大きくなるため、L/S(ライン/スペース)パターンでは倒れ抑制性の劣化が生じやすい。
したがって、EUV光によるリソグラフィーにおいては、感度が高く、且つ、倒れ抑制性に優れたパターンを形成し得る感活性光線性又は感放射線性樹脂組成物(特に、感度が高く、且つ、倒れ抑制性及びLERに優れたパターンを形成し得る感活性光線性又は感放射線性樹脂組成物)が求められている。
By the way, EUV light (wavelength 13.5 nm) has a shorter wavelength than, for example, ArF excimer laser light (wavelength 193 nm), so that the number of incident photons is small when the same sensitivity is used in the exposure of the resist film. .. As a result, in lithography using EUV light, the influence of "photon shot noise", in which the number of photons stochastically varies, is large, which is a major factor in the deterioration of LER (line edge roughness).
In order to reduce photon shot noise, it is effective to increase the exposure amount (in other words, reduce the sensitivity) and increase the number of incident photons, but this is a trade-off relationship with the recent demand for higher sensitivity. .. It is also effective to increase the film thickness of the resist film to increase the number of absorbed photons, but since the aspect ratio of the formed pattern becomes large, the L / S (line / space) pattern deteriorates the fall suppression property. Is likely to occur.
Therefore, in the lithography using EUV light, a sensitive light-sensitive or radiation-sensitive resin composition (particularly, high sensitivity and anti-tilt property) capable of forming a pattern having high sensitivity and excellent anti-tilt property. A sensitive light-sensitive or radiation-sensitive resin composition (which can form an excellent pattern for LER) is required.

上記背景の下、本発明者らは、昨今、フッ素原子及びヨウ素原子等のEUV光吸収効率の高い元素をレジスト膜(感活性光線性又は感放射線性樹脂組成物の塗膜)に多く導入する方法によれば、レジスト膜は、膜厚が小さくてもEUV光吸収効率に優れる(言い換えると、感度に優れる)ことを知見している。一方で、上記元素が樹脂に多く含まれるほど、形成されるパターンの倒れ抑制性が劣化し易い傾向があることも確認している。 Against the above background, the present inventors have recently introduced a large amount of elements having high EUV light absorption efficiency such as fluorine atom and iodine atom into a resist film (coating film of a sensitive light-sensitive or radiation-sensitive resin composition). According to the method, it has been found that the resist film is excellent in EUV light absorption efficiency (in other words, excellent in sensitivity) even if the film thickness is small. On the other hand, it has also been confirmed that the more the above-mentioned elements are contained in the resin, the more easily the collapse suppressing property of the formed pattern tends to deteriorate.

そこで、本発明は、高感度であり、且つ、形成されるパターンが倒れ抑制性に優れる感活性光線性又は感放射線性樹脂組成物を提供することを課題とする。
また、本発明は、上記感活性光線性又は感放射線性樹脂組成物を用いたレジスト膜、パターン形成方法、及び電子デバイスの製造方法を提供することも課題とする。
Therefore, it is an object of the present invention to provide a sensitive light-sensitive or radiation-sensitive resin composition having high sensitivity and excellent ability to suppress the formed pattern from collapsing.
Another object of the present invention is to provide a resist film, a pattern forming method, and a method for manufacturing an electronic device using the above-mentioned sensitive light-sensitive or radiation-sensitive resin composition.

本発明者らは、上記課題を達成すべく鋭意検討した結果、感活性光線性又は感放射線性樹脂組成物が、後述する一般式(B-1)で表される繰り返し単位と、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子とを含む酸分解性樹脂を含むことにより上記課題が解決できることを見出し、本発明を完成させた。
すなわち、以下の構成により上記目的を達成できることを見出した。
As a result of diligent studies to achieve the above problems, the present inventors have found that the sensitive light-sensitive or radiation-sensitive resin composition has a repeating unit represented by the general formula (B-1) described later, a fluorine atom and a fluorine atom. We have found that the above problems can be solved by containing an acid-degradable resin containing at least one halogen atom selected from the group consisting of iodine atoms, and completed the present invention.
That is, it was found that the above object can be achieved by the following configuration.

〔1〕 活性光線又は放射線の照射により酸を発生する化合物と、
酸の作用により極性が増大する樹脂と、を含み、
上記樹脂が、後述する一般式(B-1)で表される繰り返し単位を含み、かつ、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を含む、感活性光線性又は感放射線性樹脂組成物。
〔2〕 上記一般式(B-1)で表される繰り返し単位が、後述する一般式(B-2)で表される繰り返し単位である、〔1〕に記載の感活性光線性又は感放射線性樹脂組成物。
〔3〕 上記一般式(B-2)で表される繰り返し単位が、後述する一般式(B-3)で表される繰り返し単位である、〔2〕に記載の感活性光線性又は感放射線性樹脂組成物。
〔4〕 L及びLの少なくとも一方が、-CO-、-SO-、又は-SO-を表す、〔3〕に記載の感活性光線性又は感放射線性樹脂組成物。
〔5〕 上記一般式(B-3)で表される繰り返し単位が、後述する一般式(B-4)で表される繰り返し単位である、〔3〕又は〔4〕に記載の感活性光線性又は感放射線性樹脂組成物。
〔6〕 上記樹脂の重量平均分子量が、2,000~30,000である、〔1〕~〔5〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物。
〔7〕 上記樹脂が、フッ素原子を含む、〔1〕~〔6〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物。
〔8〕 〔1〕~〔7〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物により形成されたレジスト膜。
〔9〕 〔1〕~〔7〕のいずれかに記載の感活性光線性又は感放射線性樹脂組成物を用いてレジスト膜を形成するレジスト膜形成工程と、
上記レジスト膜を露光する露光工程と、
露光された上記レジスト膜を、現像液を用いて現像する現像工程と、を含むパターン形成方法。
〔10〕 上記露光工程が、極紫外光で上記レジスト膜を露光する工程である、〔9〕に記載のパターン形成方法。
〔11〕 上記現像液が、有機溶剤を含む現像液であり、〔9〕又は〔10〕に記載のパターン形成方法。
〔12〕 〔9〕~〔11〕のいずれかに記載のパターン形成方法を含む、電子デバイスの製造方法。
[1] Compounds that generate acid by irradiation with active light or radiation, and
Containing a resin whose polarity increases due to the action of acid,
The resin contains a repeating unit represented by the general formula (B-1) described later, and contains at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom. Radiation resin composition.
[2] The actinic cheilitis or radiation-sensitive radiation according to [1], wherein the repeating unit represented by the general formula (B-1) is a repeating unit represented by the general formula (B-2) described later. Sex resin composition.
[3] The actinic cheilitis or radiation-sensitive radiation according to [2], wherein the repeating unit represented by the general formula (B-2) is a repeating unit represented by the general formula (B-3) described later. Sex resin composition.
[4] The actinic light-sensitive or radiation-sensitive resin composition according to [3], wherein at least one of L 3 and L 4 represents -CO-, -SO-, or -SO 2- .
[5] The sensitive light beam according to [3] or [4], wherein the repeating unit represented by the general formula (B-3) is a repeating unit represented by the general formula (B-4) described later. Sexual or radiation sensitive resin composition.
[6] The actinic light-sensitive or radiation-sensitive resin composition according to any one of [1] to [5], wherein the resin has a weight average molecular weight of 2,000 to 30,000.
[7] The actinic light-sensitive or radiation-sensitive resin composition according to any one of [1] to [6], wherein the resin contains a fluorine atom.
[8] A resist film formed of the sensitive light-sensitive or radiation-sensitive resin composition according to any one of [1] to [7].
[9] A resist film forming step of forming a resist film using the sensitive light-sensitive or radiation-sensitive resin composition according to any one of [1] to [7].
The exposure process for exposing the resist film and
A pattern forming method including a developing step of developing the exposed resist film using a developing solution.
[10] The pattern forming method according to [9], wherein the exposure step is a step of exposing the resist film with extreme ultraviolet light.
[11] The developer according to [9] or [10], wherein the developer is a developer containing an organic solvent.
[12] A method for manufacturing an electronic device, which comprises the pattern forming method according to any one of [9] to [11].

本発明によれば、高感度であり、且つ、形成されるパターンが倒れ抑制性に優れる感活性光線性又は感放射線性樹脂組成物を提供できる。
また、本発明によれば、上記感活性光線性又は感放射線性樹脂組成物を用いたレジスト膜、パターン形成方法、及び電子デバイスの製造方法を提供できる。
INDUSTRIAL APPLICABILITY According to the present invention, it is possible to provide a sensitive light-sensitive or radiation-sensitive resin composition having high sensitivity and excellent ability to suppress the formed pattern from collapsing.
Further, according to the present invention, it is possible to provide a resist film, a pattern forming method, and a method for manufacturing an electronic device using the above-mentioned sensitive light-sensitive or radiation-sensitive resin composition.

以下、本発明について詳細に説明する。
以下に記載する構成要件の説明は、本発明の代表的な実施態様に基づいてなされることがあるが、本発明はそのような実施態様に制限されない。
本明細書中における「活性光線」又は「放射線」とは、例えば、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線、X線、及び電子線(EB:Electron Beam)等を意味する。本明細書中における「光」とは、活性光線又は放射線を意味する。
本明細書中における「露光」とは、特に断らない限り、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線、及びX線等による露光のみならず、電子線、及びイオンビーム等の粒子線による描画も含む。
本明細書において、「~」とはその前後に記載される数値を下限値及び上限値として含む意味で使用される。
Hereinafter, the present invention will be described in detail.
The description of the constituent elements described below may be based on the representative embodiments of the present invention, but the present invention is not limited to such embodiments.
As used herein, the term "active light" or "radiation" means, for example, the emission line spectrum of a mercury lamp, far ultraviolet rays represented by an excimer laser, extreme ultraviolet rays, X-rays, electron beams (EB), and the like. do. As used herein, "light" means active light or radiation.
Unless otherwise specified, the term "exposure" as used herein refers to not only exposure to the emission line spectrum of a mercury lamp, far ultraviolet rays typified by excimer lasers, extreme ultraviolet rays, and X-rays, but also electron beams, ion beams, and the like. Also includes drawing with particle beams.
In the present specification, "to" is used to mean that the numerical values described before and after it are included as the lower limit value and the upper limit value.

本明細書において、(メタ)アクリレートは、アクリレート及びメタクリレートを表す。 As used herein, (meth) acrylate represents acrylate and methacrylate.

本明細書中における基(原子団)の表記について、置換及び無置換を記していない表記は、置換基を有さない基と共に置換基を有する基をも包含する。例えば、「アルキル基」とは、置換基を有さないアルキル基(無置換アルキル基)のみならず、置換基を有するアルキル基(置換アルキル基)をも包含する。また、本明細書中における「有機基」とは、少なくとも1個の炭素原子を含む基をいう。 Regarding the notation of a group (atomic group) in the present specification, the notation not describing substitution and non-substitution includes a group having a substituent as well as a group having no substituent. For example, the "alkyl group" includes not only an alkyl group having no substituent (unsubstituted alkyl group) but also an alkyl group having a substituent (substituted alkyl group). Further, the "organic group" in the present specification means a group containing at least one carbon atom.

また、本明細書において、「置換基を有していてもよい」というときの置換基の種類、置換基の位置、及び置換基の数は特に制限されない。置換基の数は例えば、1つ、2つ、3つ、又はそれ以上であってもよい。置換基の例としては水素原子を除く1価の非金属原子団を挙げることができ、例えば、以下の置換基群Tから選択できる。
(置換基T)
置換基Tとしては、フッ素原子、塩素原子、臭素原子及びヨウ素原子等のハロゲン原子;メトキシ基、エトキシ基及びtert-ブトキシ基等のアルコキシ基;フェノキシ基及びp-トリルオキシ基等のアリールオキシ基;メトキシカルボニル基、ブトキシカルボニル基及びフェノキシカルボニル基等のアルコキシカルボニル基;アセトキシ基、プロピオニルオキシ基及びベンゾイルオキシ基等のアシルオキシ基;アセチル基、ベンゾイル基、イソブチリル基、アクリロイル基、メタクリロイル基及びメトキサリル基等のアシル基;メチルスルファニル基及びtert-ブチルスルファニル基等のアルキルスルファニル基;フェニルスルファニル基及びp-トリルスルファニル基等のアリールスルファニル基;アルキル基;シクロアルキル基;アリール基;ヘテロアリール基;水酸基;カルボキシ基;ホルミル基;スルホ基;シアノ基;アルキルアミノカルボニル基;アリールアミノカルボニル基;スルホンアミド基;シリル基;アミノ基;モノアルキルアミノ基;ジアルキルアミノ基;アリールアミノ基;並びにこれらの組み合わせが挙げられる。
Further, in the present specification, the type of the substituent, the position of the substituent, and the number of the substituents when "may have a substituent" are not particularly limited. The number of substituents may be, for example, one, two, three, or more. As an example of the substituent, a monovalent non-metal atomic group excluding a hydrogen atom can be mentioned, and for example, it can be selected from the following substituent group T.
(Substituent T)
The substituent T includes a halogen atom such as a fluorine atom, a chlorine atom, a bromine atom and an iodine atom; an alkoxy group such as a methoxy group, an ethoxy group and a tert-butoxy group; an aryloxy group such as a phenoxy group and a p-tolyloxy group; Alkoxycarbonyl groups such as methoxycarbonyl group, butoxycarbonyl group and phenoxycarbonyl group; acyloxy groups such as acetoxy group, propionyloxy group and benzoyloxy group; acetyl group, benzoyl group, isobutyryl group, acryloyl group, methacryloyl group and metoxalyl group and the like. Acrylic groups of: alkylsulfanyl groups such as methylsulfanyl and tert-butylsulfanyl groups; arylsulfanyl groups such as phenylsulfanyl and p-tolylsulfonyl groups; alkyl groups; cycloalkyl groups; aryl groups; heteroaryl groups; hydroxyl groups; Carboxy group; formyl group; sulfo group; cyano group; alkylaminocarbonyl group; arylaminocarbonyl group; sulfonamide group; silyl group; amino group; monoalkylamino group; dialkylamino group; arylamino group; Can be mentioned.

また、本明細書において、樹脂の重量平均分子量(Mw)、数平均分子量(Mn)、及び分散度(分子量分布ともいう)(Mw/Mn)は、GPC(Gel Permeation Chromatography)装置(東ソー社製HLC-8120GPC)によるGPC測定(溶媒:テトラヒドロフラン、流量(サンプル注入量):10μL、カラム:東ソー社製TSK gel Multipore HXL-M、カラム温度:40℃、流速:1.0mL/分、検出器:示差屈折率検出器(Refractive Index Detector))によるポリスチレン換算値として定義される。 Further, in the present specification, the weight average molecular weight (Mw), the number average molecular weight (Mn), and the degree of dispersion (also referred to as molecular weight distribution) (Mw / Mn) of the resin are referred to as GPC (Gel Permeation Chromatography) apparatus (manufactured by Toso Co., Ltd.). GPC measurement by HLC-8120GPC) (solvent: tetrahydrofuran, flow rate (sample injection amount): 10 μL, column: TSK gel Multipore HXL-M manufactured by Toso Co., Ltd., column temperature: 40 ° C., flow velocity: 1.0 mL / min, detector: It is defined as a polystyrene-equivalent value by a differential index detector.

[感活性光線性又は感放射線性樹脂組成物]
本発明の感活性光線性又は感放射線性樹脂組成物(以下、「本発明の組成物」ともいう。)の特徴点としては、後述する一般式(B-1)で表される繰り返し単位と、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子と、を含む樹脂を含む点が挙げられる。
本発明の組成物中に含まれる樹脂は、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を含むことで、EUV光吸収効率に優れる(言い換えると、感度に優れる)。
更に、上記樹脂は、一般式(B-1)で表される繰り返し単位を含むことで、ガラス転移温度(Tg)が高く、これによりパターンの倒れ抑制性に優れる。なお、後述するように、一般式(B-1)で表される繰り返し単位は、繰り返し単位中のX、Y、R、及びRの種別によっては酸の作用により環Wが分解し得る(言い換えると、一般式(B-1)で表される繰り返し単位は、酸分解性基を含む繰り返し単位として機能し得る)が、一般式(B-1)で表される繰り返し単位は、エキソメチレン由来の骨格を有するため、酸分解後においてもパターンの倒れ抑制性に優れている。また、樹脂は、一般式(B-1)で表される繰り返し単位を含むことで、上述した、EUV光吸収効率のために導入されるフッ素原子及びヨウ素原子によるパターンの倒れ抑制性の劣化による影響も受けにくい。
上記作用機序により、本発明の組成物は、感度が高く、且つ、倒れ抑制性に優れるパターンを形成できる。更に、本発明の組成物により形成されるパターンはLERにも優れる。
[Actinic cheilitis or radiation-sensitive resin composition]
The feature of the actinic light-sensitive or radiation-sensitive resin composition of the present invention (hereinafter, also referred to as “composition of the present invention”) is a repeating unit represented by the general formula (B-1) described later. , A resin containing at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom.
The resin contained in the composition of the present invention is excellent in EUV light absorption efficiency (in other words, excellent in sensitivity) by containing at least one halogen atom selected from the group consisting of fluorine atoms and iodine atoms.
Further, the resin contains a repeating unit represented by the general formula (B-1), so that the glass transition temperature (Tg) is high, and thus the pattern collapse inhibitory property is excellent. As will be described later, in the repeating unit represented by the general formula (B-1), the ring W 1 is decomposed by the action of an acid depending on the types of X, Y, R 1 and R 2 in the repeating unit. (In other words, the repeating unit represented by the general formula (B-1) can function as a repeating unit containing an acid-degradable group), but the repeating unit represented by the general formula (B-1) is. Since it has a skeleton derived from exomethylene, it is excellent in suppressing pattern collapse even after acid decomposition. Further, since the resin contains a repeating unit represented by the general formula (B-1), it is due to the deterioration of the pattern collapse inhibitory property due to the fluorine atom and the iodine atom introduced for EUV light absorption efficiency described above. It is not easily affected.
By the above mechanism of action, the composition of the present invention can form a pattern having high sensitivity and excellent anti-falling property. Further, the pattern formed by the composition of the present invention is also excellent in LER.

以下、本発明の組成物に含まれる成分について詳述する。なお、本発明の組成物は、いわゆるレジスト組成物であり、ポジ型のレジスト組成物であっても、ネガ型のレジスト組成物であってもよい。また、アルカリ現像用のレジスト組成物であっても、有機溶剤現像用のレジスト組成物であってもよい。
本発明の組成物は、典型的には、化学増幅型のレジスト組成物である。
Hereinafter, the components contained in the composition of the present invention will be described in detail. The composition of the present invention is a so-called resist composition, and may be a positive type resist composition or a negative type resist composition. Further, it may be a resist composition for alkaline development or a resist composition for organic solvent development.
The composition of the present invention is typically a chemically amplified resist composition.

〔樹脂〕
<樹脂(X)>
本発明の組成物は、下記条件〔1〕及び〔2〕を満たす、酸の作用により極性が増大する樹脂(以下、「樹脂(X)」ともいう。)を含む。
条件〔1〕:後述する一般式(B-1)で表される繰り返し単位を含む。
条件〔2〕:フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を含む。
なお、樹脂(X)は、上述のとおり、酸の作用により極性が増大する樹脂である。したがって、後述する本発明のパターン形成方法において、典型的には、現像液としてアルカリ現像液を採用した場合には、ポジ型パターンが好適に形成され、現像液として有機系現像液を採用した場合には、ネガ型パターンが好適に形成される。
なお、後述するように、樹脂(X)は、酸の作用により分解して極性が増大する基(以下、「酸分解性基」ともいう。)を有することが好ましい。
〔resin〕
<Resin (X)>
The composition of the present invention contains a resin (hereinafter, also referred to as "resin (X)") whose polarity is increased by the action of an acid, which satisfies the following conditions [1] and [2].
Condition [1]: Contains a repeating unit represented by the general formula (B-1) described later.
Condition [2]: Contains at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom.
As described above, the resin (X) is a resin whose polarity is increased by the action of an acid. Therefore, in the pattern forming method of the present invention described later, typically, when an alkaline developer is used as the developer, a positive pattern is preferably formed, and when an organic developer is used as the developer. A negative pattern is preferably formed in.
As will be described later, it is preferable that the resin (X) has a group (hereinafter, also referred to as “acid-decomposable group”) which is decomposed by the action of an acid and whose polarity is increased.

また、樹脂(X)は、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子(以下、「特定ハロゲン原子」ともいう。)を含む(条件〔2〕)。樹脂(X)中における特定ハロゲン原子の導入位置は特に制限されない。
樹脂(X)中、特定ハロゲン原子の含有量は特に制限されないが、レジスト組成物の感度がより優れる点で、樹脂の全質量に対して、2質量%以上であることが好ましく、4質量%以上がより好ましく、16質量%以上が更に好ましく、20質量%以上が特に好ましい。なお、上限は特に制限されないが、例えば70質量%以下である。
Further, the resin (X) contains at least one halogen atom (hereinafter, also referred to as “specific halogen atom”) selected from the group consisting of a fluorine atom and an iodine atom (condition [2]). The introduction position of the specific halogen atom in the resin (X) is not particularly limited.
The content of the specific halogen atom in the resin (X) is not particularly limited, but is preferably 2% by mass or more, preferably 4% by mass, based on the total mass of the resin, in that the sensitivity of the resist composition is more excellent. The above is more preferable, 16% by mass or more is further preferable, and 20% by mass or more is particularly preferable. The upper limit is not particularly limited, but is, for example, 70% by mass or less.

以下、樹脂(X)に含まれる一般式(B-1)で表される繰り返し単位、及び任意で含まれていてもよい、その他の繰り返し単位について詳述する。
(一般式(B-1)で表される繰り返し単位)
Hereinafter, the repeating unit represented by the general formula (B-1) contained in the resin (X) and other repeating units which may be optionally contained will be described in detail.
(Repeating unit represented by the general formula (B-1))

Figure 0006992166000001
Figure 0006992166000001

一般式(B-1)中、X及びYは、各々独立に、酸素原子、硫黄原子、又は-NR-を表す。また、Rは、水素原子又は有機基を表す。
で表される有機基としては特に制限されず、例えば、上述した置換基群Tで例示された基が挙げられ、例えば、アルキル基、アリール基、アラルキル基、及びアルケニル基等が挙げられる。
In the general formula (B-1), X and Y each independently represent an oxygen atom, a sulfur atom, or -NR 3- . Further, R 3 represents a hydrogen atom or an organic group.
The organic group represented by R 3 is not particularly limited, and examples thereof include the groups exemplified in the above-mentioned substituent group T, and examples thereof include an alkyl group, an aryl group, an aralkyl group, and an alkenyl group. ..

で表されるアルキル基としては特に制限されないが、形成されるレジスト膜の感度がより優れ、且つ、形成されるパターンの倒れ抑制性及びLERがより優れる点で、炭素数1~8のアルキル基(直鎖状、分岐鎖状、及び環状のいずれであってもよい)が好ましく、例えば、メチル基、エチル基、プロピル基、n-ブチル基、sec-ブチル基、へキシル基、及びオクチル基等が挙げられる。なかでも、炭素数1~4の直鎖状又は分岐鎖状のアルキル基がより好ましい。
なお、Rで表されるアルキル基は、更に置換基を有していてもよい。置換基としては、例えば、上述した置換基群Tで例示された基が挙げられ、具体的には、特定ハロゲン原子等が挙げられる。
The alkyl group represented by R 3 is not particularly limited, but has 1 to 8 carbon atoms in that the sensitivity of the resist film to be formed is more excellent, the pattern collapse inhibitory property to be formed, and the LER is more excellent. Alkyl groups (which may be linear, branched or cyclic) are preferred, for example methyl, ethyl, propyl, n-butyl, sec-butyl, hexyl and hexyl groups. Examples include octyl groups. Of these, a linear or branched alkyl group having 1 to 4 carbon atoms is more preferable.
The alkyl group represented by R 3 may further have a substituent. Examples of the substituent include the groups exemplified in the above-mentioned substituent group T, and specific examples thereof include a specific halogen atom and the like.

で表されるアリール基としては特に制限されないが、形成されるレジスト膜の感度がより優れ、且つ、形成されるパターンの倒れ抑制性及びLERがより優れる点で、炭素数6~10のアリール基が好ましい。アリール基としては、例えば、フェニル基、ナフチル基、及びアントリル基等が挙げられ、フェニル基、又はナフチル基が好ましい。
上記アリール基は、更に置換基を有していてもよい。置換基としては、例えば、上述した置換基群Tで例示された基が挙げられ、具体的には、特定ハロゲン原子等が挙げられる。
The aryl group represented by R 3 is not particularly limited, but has 6 to 10 carbon atoms in that the sensitivity of the resist film to be formed is more excellent, the pattern collapse inhibitory property to be formed, and the LER is more excellent. Aryl groups are preferred. Examples of the aryl group include a phenyl group, a naphthyl group, an anthryl group and the like, and a phenyl group or a naphthyl group is preferable.
The aryl group may further have a substituent. Examples of the substituent include the groups exemplified in the above-mentioned substituent group T, and specific examples thereof include a specific halogen atom and the like.

で表されるアラルキル基としては特に制限されないが、例えば、アラルキル基中のアルキル基の炭素数は1~6が好ましく、炭素数1~3がより好ましい。アラルキル基としては、ベンジル基、及びフェネチル基等が挙げられる。
上記Rで表されるアラルキル基は、更に置換基を有していてもよい。置換基としては、例えば、上述した置換基群Tで例示された基が挙げられ、具体的には、特定ハロゲン原子等が挙げられる。
The aralkyl group represented by R 3 is not particularly limited, but for example, the alkyl group in the aralkyl group preferably has 1 to 6 carbon atoms, and more preferably 1 to 3 carbon atoms. Examples of the aralkyl group include a benzyl group and a phenethyl group.
The aralkyl group represented by R 3 may further have a substituent. Examples of the substituent include the groups exemplified in the above-mentioned substituent group T, and specific examples thereof include a specific halogen atom and the like.

で表されるアルケニル基としては特に制限されないが、炭素数2~8のアルケニル基(直鎖状、分岐鎖状、及び環状のいずれであってもよい)が好ましく、炭素数2~4の直鎖状又は分岐鎖状のアルケニル基がより好ましい。アルケニル基としては、ビニル基、アリル基、ブテニル基、及びシクロへキセニル基等が挙げられる。
上記Rで表されるアルケニル基は、更に置換基を有していてもよい。置換基としては、例えば、上述した置換基群Tで例示された基が挙げられ、具体的には、特定ハロゲン原子等が挙げられる。
The alkenyl group represented by R 3 is not particularly limited, but an alkenyl group having 2 to 8 carbon atoms (which may be linear, branched or cyclic) is preferable, and 2 to 4 carbon atoms are preferable. Linear or branched alkenyl groups are more preferred. Examples of the alkenyl group include a vinyl group, an allyl group, a butenyl group, a cyclohexenyl group and the like.
The alkenyl group represented by R3 may further have a substituent. Examples of the substituent include the groups exemplified in the above-mentioned substituent group T, and specific examples thereof include a specific halogen atom and the like.

としては、なかでも、水素原子が好ましい。As R3 , a hydrogen atom is particularly preferable.

X及びYとしては、なかでも、少なくとも一方が酸素原子を表すことが好ましく、双方が酸素原子を表すことがより好ましい。 As X and Y, it is preferable that at least one of them represents an oxygen atom, and it is more preferable that both of them represent an oxygen atom.

及びRは、各々独立に、水素原子又は有機基を表す。
及びRで表される有機基としては特に制限されず、例えば、上述した置換基群Tで例示された基が挙げられ、より具体的には、アルキル基、アリール基、アラルキル基、及びアルケニル基等が挙げられる。
及びRで表されるアルキル基としては特に制限されないが、形成されるレジスト膜の感度がより優れ、且つ、形成されるパターンの倒れ抑制性及びLERがより優れる点で、炭素数1~12のアルキル基(直鎖状、分岐鎖状、及び環状のいずれであってもよい)が好ましく、例えば、メチル基、エチル基、プロピル基、n-ブチル基、sec-ブチル基、tert-ブチル基、へキシル基、シクロヘキシル基、及びオクチル基等が挙げられる。R及びRで表されるアルキル基の炭素数は、なかでも、1~10がより好ましく、1~8が更に好ましい。
R 1 and R 2 each independently represent a hydrogen atom or an organic group.
The organic group represented by R 1 and R 2 is not particularly limited, and examples thereof include the groups exemplified in the above-mentioned substituent group T, and more specifically, an alkyl group, an aryl group, an aralkyl group, and the like. And an alkenyl group and the like.
The alkyl group represented by R 1 and R 2 is not particularly limited, but the number of carbon atoms is 1 in that the sensitivity of the resist film to be formed is more excellent, the collapse inhibitory property of the formed pattern is more excellent, and the LER is more excellent. Alkyl groups of up to 12 (which may be linear, branched, or cyclic) are preferred, for example, methyl group, ethyl group, propyl group, n-butyl group, sec-butyl group, tert-. Examples thereof include a butyl group, a hexyl group, a cyclohexyl group, and an octyl group. The number of carbon atoms of the alkyl group represented by R 1 and R 2 is more preferably 1 to 10, and even more preferably 1 to 8.

上記アルキル基は、更に置換基(例えば、上述した置換基群Tで例示された基)を有していてもよい。置換基としては、例えば、特定ハロゲン原子が挙げられる。つまり、アルキル基は、少なくとも1つの特定ハロゲン原子で置換されたアルキル基であってもよい。
上記少なくとも1つの特定ハロゲン原子で置換されたアルキル基の炭素数は、1~12が好ましく、1~10がより好ましく、1~8が更に好ましく、1~6が特に好ましく、1~3が最も好ましい。
また、上記少なくとも1つの特定ハロゲン原子で置換されたアルキル基は、更に置換基(例えば、上述した置換基群Tで例示された基)を有していてもよい。置換基としては、例えば、水酸基等が挙げられる。
上記少なくとも1つの特定ハロゲン原子で置換されたアルキル基としては、形成されるレジスト膜の感度がより優れ、且つ、形成されるパターンの倒れ抑制性及びLERがより優れる点で、なかでも、パーフルオロアルキル基(好ましくは炭素数1~3のパーフルオロアルキル基)、又は、ヘキサフルオロイソプロパノール基を含むアルキル基が好ましい。
The alkyl group may further have a substituent (for example, the group exemplified in the above-mentioned substituent group T). Examples of the substituent include a specific halogen atom. That is, the alkyl group may be an alkyl group substituted with at least one specific halogen atom.
The number of carbon atoms of the alkyl group substituted with at least one specific halogen atom is preferably 1 to 12, more preferably 1 to 10, further preferably 1 to 8, particularly preferably 1 to 6, and most preferably 1 to 3. preferable.
Further, the alkyl group substituted with at least one specific halogen atom may further have a substituent (for example, the group exemplified in the above-mentioned substituent group T). Examples of the substituent include a hydroxyl group and the like.
As the alkyl group substituted with at least one specific halogen atom, the sensitivity of the resist film formed is more excellent, and the pattern collapse inhibitory property and LER of the formed pattern are more excellent. An alkyl group (preferably a perfluoroalkyl group having 1 to 3 carbon atoms) or an alkyl group containing a hexafluoroisopropanol group is preferable.

及びRで表されるアリール基としては特に制限されないが、形成されるレジスト膜の感度がより優れ、且つ、形成されるパターンの倒れ抑制性及びLERがより優れる点で、炭素数6~14のアリール基が好ましく、炭素数6~10のアリール基がより好ましい。アリール基としては、例えば、フェニル基、ナフチル基、及びアントリル基等が挙げられ、フェニル基、又はナフチル基が好ましい。
上記アリール基は、更に置換基(例えば、上述した置換基群Tで例示された基)を有していてもよい。置換基としては、例えば、特定ハロゲン原子、又は少なくとも1つの特定ハロゲン原子で置換されたアルキル基が挙げられ、なかでも、特定ハロゲン原子、又は炭素数1~3のパーフルオロアルキル基が好ましい。
The aryl group represented by R 1 and R 2 is not particularly limited, but has 6 carbon atoms in that the sensitivity of the resist film formed is more excellent, the pattern collapse inhibitory property to be formed, and the LER is more excellent. An aryl group of about 14 to 14 is preferable, and an aryl group having 6 to 10 carbon atoms is more preferable. Examples of the aryl group include a phenyl group, a naphthyl group, an anthryl group and the like, and a phenyl group or a naphthyl group is preferable.
The aryl group may further have a substituent (for example, the group exemplified in the above-mentioned substituent group T). Examples of the substituent include a specific halogen atom or an alkyl group substituted with at least one specific halogen atom, and among them, a specific halogen atom or a perfluoroalkyl group having 1 to 3 carbon atoms is preferable.

及びRで表されるアラルキル基としては特に制限されないが、例えば、アラルキル基中のアルキル基の炭素数は1~6が好ましく、炭素数1~3がより好ましい。アラルキル基としては、ベンジル基、及びフェネチル基等が挙げられる。
及びRで表されるアラルキル基は、更に置換基(例えば、上述した置換基群Tで例示された基)を有していてもよい。置換基としては、例えば、特定ハロゲン原子等が挙げられる。
The aralkyl group represented by R 1 and R 2 is not particularly limited, but for example, the alkyl group in the aralkyl group preferably has 1 to 6 carbon atoms, and more preferably 1 to 3 carbon atoms. Examples of the aralkyl group include a benzyl group and a phenethyl group.
The aralkyl groups represented by R 1 and R 2 may further have a substituent (for example, the group exemplified in the above-mentioned substituent group T). Examples of the substituent include a specific halogen atom and the like.

及びRで表されるアルケニル基としては特に制限されないが、炭素数2~8のアルケニル基(直鎖状、分岐鎖状、及び環状のいずれであってもよい)が好ましく、炭素数2~4の直鎖状又は分岐鎖状のアルケニル基がより好ましい。アルケニル基としては、ビニル基、アリル基、ブテニル基、及びシクロへキセニル基等が挙げられる。
上記R及びRで表されるアルケニル基は、更に置換基(例えば、上述した置換基群Tで例示された基)を有していてもよい。置換基としては、例えば、特定ハロゲン原子等が挙げられる。
The alkenyl group represented by R 1 and R 2 is not particularly limited, but an alkenyl group having 2 to 8 carbon atoms (which may be linear, branched or cyclic) is preferable, and the alkenyl group has a carbon number of 2 to 8. 2-4 linear or branched alkenyl groups are more preferred. Examples of the alkenyl group include a vinyl group, an allyl group, a butenyl group, a cyclohexenyl group and the like.
The alkenyl groups represented by R 1 and R 2 may further have a substituent (for example, the group exemplified in the above-mentioned substituent group T). Examples of the substituent include a specific halogen atom and the like.

及びRとしては、なかでも、形成されるレジスト膜の感度がより優れ、且つ、形成されるパターンの倒れ抑制性及びLERがより優れる点で、アルキル基、又はアリール基が好ましい。Among R 1 and R 2 , an alkyl group or an aryl group is preferable because the sensitivity of the resist film to be formed is more excellent, the pattern collapse inhibitory property to be formed, and the LER are more excellent.

一般式(B-1)中、環Wは、2つの炭素原子とXとYとを少なくとも含み、置換基を有していてもよい環を表す。
上述する2つの炭素原子とは、一般式(B-1)中に明示された炭素原子であって、主鎖と結合する位置の炭素原子、並びに、上記X及び上記Yと連結する位置の炭素原子を意図する。
環Wの環員数は特に制限されないが、例えば6~10であり、形成されるパターンの倒れ抑制性がより優れる点で、6又は7が好ましく、6がより好ましい。
また、環Wは、置換基を有していてもよい。置換基としては、例えば、上述した置換基群Tで例示された基が挙げられる。
In the general formula (B-1), the ring W 1 represents a ring containing at least two carbon atoms, X and Y, and may have a substituent.
The above-mentioned two carbon atoms are carbon atoms specified in the general formula (B-1), the carbon atom at the position where the main chain is bonded, and the carbon at the position where the above X and the above Y are connected. Intended to be an atom.
The number of ring members of the ring W1 is not particularly limited, but is, for example, 6 to 10, and 6 or 7 is preferable, and 6 is more preferable in that the formed pattern is more excellent in the collapse suppressing property.
Further, the ring W 1 may have a substituent. Examples of the substituent include the groups exemplified in the above-mentioned substituent group T.

なお、RとRとは、互いに結合して環を形成してもよい。また、R及びRは、各々独立に、環W中に含まれる原子と互いに結合して環を形成してもよい。また、X及びYの少なくとも1種以上が-NR-を表す場合、Rは、各々独立に、R、R、又は環W中に含まれる原子と互いに結合して環を形成してもよい。
上記環としては特に制限されず、脂環、芳香環、及び複素環(芳香族性を有するものだけでなく、芳香族性を有さないものも含む)等が挙げられる。
In addition, R 1 and R 2 may be bonded to each other to form a ring. Further, R 1 and R 2 may independently bond with the atoms contained in the ring W 1 to form a ring. Further, when at least one of X and Y represents -NR 3- , each of R 3 independently bonds with an atom contained in R 1 , R 2 , or ring W 1 to form a ring. You may.
The ring is not particularly limited, and examples thereof include an alicyclic ring, an aromatic ring, and a heterocycle (including not only those having aromaticity but also those having no aromaticity).

上記一般式(B-1)で表される繰り返し単位としては、下記一般式(B-2)で表される繰り返し単位が好ましい。 As the repeating unit represented by the general formula (B-1), the repeating unit represented by the following general formula (B-2) is preferable.

Figure 0006992166000002
Figure 0006992166000002

一般式(B-2)中、X、Y、R、及びRは、一般式(B-1)中のX、Y、R、及びRと同義であり、また好適態様も同じである。
一般式(B-2)中、Lは、式中に明示されるXと、主鎖と結合する位置の炭素原子と、を連結する2価の連結基を表し、Lは、式中に明示されるYと、主鎖と結合する位置の炭素原子と、を連結する2価の連結基を表す。
及びLは、具体的には、-CO-、-C(R)(R)-、-SO-、-SO-、及びその組み合わせからなる群より選択される2価の連結基を表す。
In the general formula (B-2), X, Y, R 1 and R 2 are synonymous with X, Y, R 1 and R 2 in the general formula (B-1), and the preferred embodiments are also the same. Is.
In the general formula (B-2), L 1 represents a divalent linking group that connects X specified in the formula and a carbon atom at a position bonded to the main chain, and L 2 is represented in the formula. Represents a divalent linking group that links Y specified in 1 and a carbon atom at a position bonded to the main chain.
L 1 and L 2 are specifically divalent selected from the group consisting of -CO-, -C (R 4 ) (R 5 )-, -SO-, -SO 2- , and combinations thereof. Represents a linking group.

及びRは、各々独立に、水素原子又は有機基を表す。
及びRで表される有機基としては、上述した一般式(B-1)中のRで表される有機基と同様のものが挙げられる。
及びRとしては、なかでも、水素原子が好ましい。
R 4 and R 5 each independently represent a hydrogen atom or an organic group.
Examples of the organic group represented by R 4 and R 5 include the same organic groups represented by R 3 in the above-mentioned general formula (B-1).
Of these, hydrogen atoms are preferable as R 4 and R 5 .

及びLで表される2価の連結基としては、例えば、*-CO-**、*-SO-**、*-SO-**、*-C(R)(R)-**、及び*-C(R)(R)-C(R)(R)-**等が挙げられる。なお、*は、X又はYとの連結位置であり、**は、一般式(B-2)中の主鎖と結合する位置の炭素原子との連結位置を表す。
なかでも、形成されるパターンの倒れ抑制性がより優れる点で、Lで表される2価の連結基及びLで表される2価の連結基のうち、少なくとも一方が-CO-、-SO-、又は-SO-を含んでいることが好ましい。
Examples of the divalent linking group represented by L 1 and L 2 include * -CO-**, * -SO-**, * -SO 2 -**, * -C (R 4 ) (R). 5 )-** and * -C (R 4 ) (R 5 ) -C (R 4 ) (R 5 ) -** and the like can be mentioned. Note that * indicates a connection position with X or Y, and ** represents a connection position with a carbon atom at a position bonded to the main chain in the general formula (B-2).
Among them, at least one of the divalent linking group represented by L1 and the divalent linking group represented by L2 is -CO-, in that the collapse inhibitory property of the formed pattern is more excellent. It preferably contains -SO- or -SO 2- .

2つの炭素原子とXとYとLとLとから形成される環(以下「環W」ともいう。)の環員数は特に制限されないが、例えば6~10であり、形成されるパターンの倒れ抑制性がより優れる点で、6又は7が好ましく、6がより好ましい。The number of ring members of the ring formed from the two carbon atoms, X, Y, L 1 and L 2 (hereinafter, also referred to as “ring W 2 ”) is not particularly limited, but is, for example, 6 to 10, and is formed. 6 or 7 is preferable, and 6 is more preferable, in that the pattern collapse inhibitory property is more excellent.

なお、RとRとは、互いに結合して環を形成してもよい。RとRとは、互いに結合して環を形成してもよい。また、X及びYの少なくとも1種以上が-NR-を表す場合、Rは、各々独立に、R、R、R、又はRと互いに結合して環を形成してもよい。In addition, R 1 and R 2 may be bonded to each other to form a ring. R 4 and R 5 may be coupled to each other to form a ring. Further, when at least one of X and Y represents −NR 3 −, R 3 may independently combine with R 1 , R 2 , R 4 or R 5 to form a ring. good.

上記一般式(B-2)で表される繰り返し単位としては、下記一般式(B-3)で表される繰り返し単位が好ましい。 As the repeating unit represented by the general formula (B-2), the repeating unit represented by the following general formula (B-3) is preferable.

Figure 0006992166000003
Figure 0006992166000003

一般式(B-3)中、X、Y、R、及びRは、一般式(B-1)中のX、Y、R、及びRと同義であり、また好適態様も同じである。
一般式(B-3)中、L及びLは、各々独立に、-CO-、-C(R)(R)-、-SO-、又は-SO-を表す。なかでも、形成されるパターンの倒れ抑制性がより優れる点で、L及びLの少なくとも一方が、-CO-、-SO-、又は-SO-を表すことが好ましい。なお、L及びLの一方が、-CO-、-SO-、又は-SO-を表す場合、他方は、-C(R)(R)-を表すことが好ましい。
及びRは、各々独立に、水素原子又は有機基を表す。R及びRで表される有機基としては、上述した一般式(B-2)において詳述したR及びRで表される有機基と同義であり、好適態様も同じである。
なお、RとRとは、互いに結合して環を形成してもよい。RとRとは、互いに結合して環を形成してもよい。
In the general formula (B-3), X, Y, R 1 and R 2 are synonymous with X, Y, R 1 and R 2 in the general formula (B-1), and the preferred embodiments are also the same. Is.
In the general formula (B-3), L 3 and L 4 independently represent -CO-, -C (R 4 ) (R 5 )-, -SO-, or -SO 2- . Among them, it is preferable that at least one of L 3 and L 4 represents -CO-, -SO-, or -SO 2-- because the formed pattern is more excellent in the collapse suppressing property. When one of L 3 and L 4 represents -CO-, -SO-, or -SO 2- , the other preferably represents -C (R 4 ) (R 5 )-.
R 4 and R 5 each independently represent a hydrogen atom or an organic group. The organic group represented by R 4 and R 5 has the same meaning as the organic group represented by R 4 and R 5 described in detail in the above-mentioned general formula (B-2), and the preferred embodiment is also the same.
In addition, R 1 and R 2 may be bonded to each other to form a ring. R 4 and R 5 may be coupled to each other to form a ring.

上記一般式(B-3)で表される繰り返し単位としては、形成されるパターンの倒れ抑制性及びLERがより優れる点で、なかでも、下記一般式(B-4)で表される繰り返し単位が好ましい。 As the repeating unit represented by the above general formula (B-3), the repeating unit represented by the following general formula (B-4) is particularly excellent in that the formed pattern is more excellent in the collapse suppressing property and the LER. Is preferable.

Figure 0006992166000004
Figure 0006992166000004

一般式(B-4)中、R及びRは、一般式(B-1)中のR及びRと同義であり、また好適態様も同じである。なお、RとRとは、互いに結合して環を形成してもよい。In the general formula (B-4), R 1 and R 2 are synonymous with R 1 and R 2 in the general formula (B-1), and the preferred embodiments are also the same. In addition, R 1 and R 2 may be bonded to each other to form a ring.

上記一般式(B-1)で表される繰り返し単位は、なかでも、形成されるレジスト膜が感度により優れ、且つ、形成されるパターンの倒れ抑制性及びLERがより優れる点で、特定ハロゲン原子を含むことが好ましい。上記一般式(B-1)で表される繰り返し単位が特定ハロゲン原子を含む場合、その含有量(以下、「特定ハロゲン原子含有率」ともいう。)は、繰り返し単位の全質量に対して、10質量%以上であることが好ましく、20質量%以上がより好ましい。なお、上限値は特に制限されないが、例えば、60質量%以下である。 The repeating unit represented by the above general formula (B-1) is a specific halogen atom in that the resist film formed is more excellent in sensitivity, and the pattern collapse inhibitory property and LER of the formed pattern are more excellent. It is preferable to include. When the repeating unit represented by the above general formula (B-1) contains a specific halogen atom, the content thereof (hereinafter, also referred to as “specific halogen atom content”) is the total mass of the repeating unit. It is preferably 10% by mass or more, and more preferably 20% by mass or more. The upper limit is not particularly limited, but is, for example, 60% by mass or less.

以下に、一般式(B-1)で表される繰り返し単位の具体例を挙げるが、本発明は、これらの具体例に制限されない。なお、下記に示す具体例中、例えば、上段左から1番目の化合物、及び上段左から2番目の繰り返し単位は、酸の作用により分解し得る(言い換えると、酸分解性基を含む繰り返し単位として機能し得る)。 Specific examples of the repeating unit represented by the general formula (B-1) will be given below, but the present invention is not limited to these specific examples. In the specific examples shown below, for example, the first compound from the upper left and the second repeating unit from the upper left can be decomposed by the action of an acid (in other words, as a repeating unit containing an acid-degradable group). Can work).

Figure 0006992166000005
Figure 0006992166000005

Figure 0006992166000006
Figure 0006992166000007
Figure 0006992166000006
Figure 0006992166000007

Figure 0006992166000008
Figure 0006992166000009
Figure 0006992166000008
Figure 0006992166000009

Figure 0006992166000010
Figure 0006992166000010

Figure 0006992166000011
Figure 0006992166000011

樹脂(X)中、上記一般式(B-1)で表される繰り返し単位の含有量は特に制限されないが、樹脂(X)中の全繰り返し単位に対して、例えば、5~100質量%であり、5~80質量%が好ましく、5~70質量%がより好ましく、10~60質量%が更に好ましい。
なお、樹脂(X)中、上記一般式(B-1)で表される繰り返し単位が、酸分解性基を含む繰り返し単位として機能する場合、酸分解性基を含む繰り返し単位である上記一般式(B-1)で表される繰り返し単位と、後述する繰り返し単位Y1との合計含有量は、樹脂(X)中の全繰り返し単位に対して、5~80質量%が好ましく、5~70質量%がより好ましく、10~60質量%が更に好ましく、20~60質量%が特に好ましい。
The content of the repeating unit represented by the above general formula (B-1) in the resin (X) is not particularly limited, but is, for example, 5 to 100% by mass with respect to all the repeating units in the resin (X). Yes, 5 to 80% by mass is preferable, 5 to 70% by mass is more preferable, and 10 to 60% by mass is further preferable.
In the resin (X), when the repeating unit represented by the above general formula (B-1) functions as a repeating unit containing an acid-degradable group, the above-mentioned general formula is a repeating unit containing an acid-degradable group. The total content of the repeating unit represented by (B-1) and the repeating unit Y1 described later is preferably 5 to 80% by mass, preferably 5 to 70% by mass, based on all the repeating units in the resin (X). % Is more preferable, 10 to 60% by mass is further preferable, and 20 to 60% by mass is particularly preferable.

(その他の繰り返し単位)
樹脂(X)は、上記一般式(B-1)で表される繰り返し単位以外に、更に別の繰り返し単位を含んでいてもよい。以下に、樹脂(X)が含み得る他の繰り返し単位を詳述する。なお、樹脂(X)は、上記一般式(B-1)で表される繰り返し単位以外に含み得るその他の繰り返し単位としては、倒れ抑制性能がより優れる点で、Tgがより高い繰り返し単位(ホモポリマーとしたときのTgがより高いモノマーに由来する繰り返し単位)を含むことが好ましい。
(Other repeating units)
The resin (X) may contain still another repeating unit in addition to the repeating unit represented by the above general formula (B-1). The other repeating units that the resin (X) may contain are described in detail below. The resin (X) is a repeating unit having a higher Tg (homogeneous) in that it has better fall suppressing performance as other repeating units that can be contained in addition to the repeating unit represented by the above general formula (B-1). It is preferable to include a repeating unit derived from a monomer having a higher Tg when made into a polymer).

≪酸分解性基を有する繰り返し単位≫
樹脂(X)は、酸分解性基を有する繰り返し単位(以下、「繰り返し単位Y1」ともいう。)を含むことが好ましい。
酸分解性基としては、極性基が酸の作用により分解して脱離する基(脱離基)で保護された構造を有することが好ましい。
極性基としては、カルボキシ基、フェノール性水酸基、フッ素化アルコール基、スルホン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及びトリス(アルキルスルホニル)メチレン基等の酸性基(2.38質量%テトラメチルアンモニウムヒドロキシド水溶液中で解離する基)、並びにアルコール性水酸基等が挙げられる。
≪Repeating unit with acid-degradable group≫
The resin (X) preferably contains a repeating unit having an acid-decomposable group (hereinafter, also referred to as “repeating unit Y1”).
The acid-degradable group preferably has a structure in which a polar group is protected by a group (leaving group) that is decomposed and desorbed by the action of an acid.
The polar group includes a carboxy group, a phenolic hydroxyl group, a fluorinated alcohol group, a sulfonic acid group, a sulfonamide group, a sulfonylimide group, a (alkylsulfonyl) (alkylcarbonyl) methylene group, and a (alkylsulfonyl) (alkylcarbonyl) imide group. , Bis (alkylcarbonyl) methylene group, bis (alkylcarbonyl) imide group, bis (alkylsulfonyl) methylene group, bis (alkylsulfonyl) imide group, tris (alkylcarbonyl) methylene group, tris (alkylsulfonyl) methylene group, etc. Examples thereof include an acidic group (a group that dissociates in a 2.38 mass% tetramethylammonium hydroxide aqueous solution) and an alcoholic hydroxyl group.

なお、アルコール性水酸基とは、炭化水素基に結合した水酸基であって、芳香環上に直接結合した水酸基(フェノール性水酸基)以外の水酸基をいい、水酸基としてα位がフッ素原子等の電子求引性基で置換された脂肪族アルコール(例えば、ヘキサフルオロイソプロパノール基等)は除く。アルコール性水酸基としては、pKa(酸解離定数)が12以上20以下の水酸基であることが好ましい。 The alcoholic hydroxyl group is a hydroxyl group bonded to a hydrocarbon group and refers to a hydroxyl group other than the hydroxyl group directly bonded on the aromatic ring (phenolic hydroxyl group), and the α-position of the hydroxyl group is electron attraction such as a fluorine atom. Excludes aliphatic alcohols substituted with sex groups (eg, hexafluoroisopropanol groups, etc.). The alcoholic hydroxyl group is preferably a hydroxyl group having a pKa (acid dissociation constant) of 12 or more and 20 or less.

好ましい極性基としては、カルボキシ基、フェノール性水酸基、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール基)、及びスルホン酸基が挙げられる。 Preferred polar groups include a carboxy group, a phenolic hydroxyl group, a fluorinated alcohol group (preferably a hexafluoroisopropanol group), and a sulfonic acid group.

酸分解性基として好ましい基は、これらの基の水素原子を酸の作用により脱離する基(脱離基)で置換した基である。
酸の作用により脱離する基(脱離基)としては、例えば、-C(R36)(R37)(R38)、-C(R36)(R37)(OR39)、及び-C(R01)(R02)(OR39)等が挙げられる。
式中、R36~R39は、それぞれ独立して、アルキル基、シクロアルキル基、アリール基、アラルキル基、又はアルケニル基を表す。R36とR37とは、互いに結合して環を形成してもよい。
01及びR02は、それぞれ独立して、水素原子、アルキル基、シクロアルキル基、アリール基、アラルキル基、又はアルケニル基を表す。
A preferable group as an acid-degradable group is a group in which the hydrogen atom of these groups is replaced with a group (leaving group) that is eliminated by the action of an acid.
Examples of the group desorbed by the action of an acid (leaving group) include -C (R 36 ) (R 37 ) (R 38 ), -C (R 36 ) (R 37 ) (OR 39 ), and-. Examples thereof include C (R 01 ) (R 02 ) (OR 39 ).
In the formula, R 36 to R 39 each independently represent an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, or an alkenyl group. R 36 and R 37 may be coupled to each other to form a ring.
R 01 and R 02 independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, or an alkenyl group.

36~R39、R01、及びR02で表されるアルキル基としては、炭素数1~8のアルキル基が好ましく、例えば、メチル基、エチル基、プロピル基、n-ブチル基、sec-ブチル基、へキシル基、及びオクチル基等が挙げられる。
36~R39、R01及びR02で表されるシクロアルキル基としては、単環でも、多環でもよい。単環のシクロアルキル基としては、炭素数3~8のシクロアルキル基が好ましく、例えば、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロへキシル基、及びシクロオクチル基等が挙げられる。多環のシクロアルキル基としては、炭素数6~20のシクロアルキル基が好ましく、例えば、アダマンチル基、ノルボルニル基、イソボルニル基、カンファニル基、ジシクロペンチル基、α-ピネン基、トリシクロデカニル基、テトラシクロドデシル基、及びアンドロスタニル基等が挙げられる。なお、シクロアルキル基中の少なくとも1つの炭素原子が酸素原子等のヘテロ原子によって置換されていてもよい。
36~R39、R01及びR02で表されるアリール基としては、炭素数6~10のアリール基が好ましく、例えば、フェニル基、ナフチル基、及びアントリル基等が挙げられる。
36~R39、R01及びR02で表されるアラルキル基は、炭素数7~12のアラルキル基が好ましく、例えば、ベンジル基、フェネチル基、及びナフチルメチル基等が挙げられる。
36~R39、R01及びR02で表されるアルケニル基は、炭素数2~8のアルケニル基が好ましく、例えば、ビニル基、アリル基、ブテニル基、及びシクロへキセニル基等が挙げられる。
36とR37とが互いに結合して形成される環としては、シクロアルキル基(単環又は多環)であることが好ましい。シクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基、又はノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。
As the alkyl group represented by R 36 to R 39 , R 01 , and R 02 , an alkyl group having 1 to 8 carbon atoms is preferable, and for example, a methyl group, an ethyl group, a propyl group, an n-butyl group, or sec- Examples thereof include a butyl group, a hexyl group, and an octyl group.
The cycloalkyl group represented by R 36 to R 39 , R 01 and R 02 may be monocyclic or polycyclic. The monocyclic cycloalkyl group is preferably a cycloalkyl group having 3 to 8 carbon atoms, and examples thereof include a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, and a cyclooctyl group. The polycyclic cycloalkyl group is preferably a cycloalkyl group having 6 to 20 carbon atoms, for example, an adamantyl group, a norbornyl group, an isobornyl group, a camphanyl group, a dicyclopentyl group, an α-pinene group, a tricyclodecanyl group, Examples thereof include a tetracyclododecyl group and an androstanyl group. In addition, at least one carbon atom in the cycloalkyl group may be substituted with a heteroatom such as an oxygen atom.
As the aryl group represented by R 36 to R 39 , R 01 and R 02 , an aryl group having 6 to 10 carbon atoms is preferable, and examples thereof include a phenyl group, a naphthyl group, and an anthryl group.
The aralkyl group represented by R 36 to R 39 , R 01 and R 02 is preferably an aralkyl group having 7 to 12 carbon atoms, and examples thereof include a benzyl group, a phenethyl group and a naphthylmethyl group.
The alkenyl group represented by R 36 to R 39 , R 01 and R 02 is preferably an alkenyl group having 2 to 8 carbon atoms, and examples thereof include a vinyl group, an allyl group, a butenyl group, and a cyclohexenyl group. ..
The ring formed by bonding R 36 and R 37 to each other is preferably a cycloalkyl group (monocyclic or polycyclic). As the cycloalkyl group, a monocyclic cycloalkyl group such as a cyclopentyl group and a cyclohexyl group, or a polycyclic cycloalkyl group such as a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group is preferable. ..

繰り返し単位Y1としては、なかでも、-COO-基が脱離基で保護された構造を有する繰り返し単位、又はフェノール性水酸基が脱離基で保護された構造を有する繰り返し単位が好ましい。
なお、-COO-基が脱離基で保護された構造としては、クミルエステル基、エノールエステル基、アセタールエステル基、又は第3級のアルキルエステル基等が好ましく、アセタールエステル基、又は第3級アルキルエステル基がより好ましい。
As the repeating unit Y1, a repeating unit having a structure in which the -COO- group is protected by a leaving group or a repeating unit having a structure in which a phenolic hydroxyl group is protected by a leaving group is preferable.
As the structure in which the -COO- group is protected by the desorption group, a cumyl ester group, an enol ester group, an acetal ester group, a tertiary alkyl ester group or the like is preferable, and an acetal ester group or a tertiary group is preferable. Alkyl ester groups are more preferred.

・-COO-基が脱離基で保護された構造を有する繰り返し単位
-COO-基が脱離基で保護された構造を有する繰り返し単位としては、下記一般式(AI)で表される繰り返し単位が好ましい。
-A repeating unit having a structure in which a COO-group is protected by a leaving group-A repeating unit having a structure in which a COO-group is protected by a leaving group is a repeating unit represented by the following general formula (AI). Is preferable.

Figure 0006992166000012
Figure 0006992166000012

一般式(AI)において、
Xaは、水素原子、ハロゲン原子、又は置換基を有していてもよいアルキル基を表す。
Tは、単結合、又は2価の連結基を表す。
Rx~Rxは、それぞれ独立して、アルキル基(直鎖状、又は分岐鎖状)、又はシクロアルキル基(単環、又は多環)を表す。ただし、Rx~Rxの全てがアルキル基(直鎖状、又は分岐鎖状)である場合、Rx~Rxのうち少なくとも2つはメチル基であることが好ましい。
Rx~Rxの2つが結合して、シクロアルキル基(単環若しくは多環)を形成してもよい。
In the general formula (AI)
Xa 1 represents an alkyl group which may have a hydrogen atom, a halogen atom, or a substituent.
T represents a single bond or a divalent linking group.
Rx 1 to Rx 3 independently represent an alkyl group (linear or branched chain) or a cycloalkyl group (monocyclic or polycyclic). However, when all of Rx 1 to Rx 3 are alkyl groups (linear or branched), it is preferable that at least two of Rx 1 to Rx 3 are methyl groups.
Two of Rx 1 to Rx 3 may be bonded to form a cycloalkyl group (monocyclic or polycyclic).

Xaで表される、置換基を有していてもよいアルキル基としては、例えば、メチル基、及び-CH-R11で表される基が挙げられる。R11は、ハロゲン原子(フッ素原子等)、水酸基、又は1価の有機基を表し、例えば、炭素数5以下のアルキル基、及び炭素数5以下のアシル基が挙げられ、炭素数3以下のアルキル基が好ましく、メチル基がより好ましい。
Xaで表されるハロゲン原子としては、フッ素原子、塩素原子、臭素原子、及びヨウ素原子が挙げられ、フッ素原子又はヨウ素原子が好ましい。
Xaとしては、水素原子、フッ素原子、ヨウ素原子、メチル基、トリフルオロメチル基、又はヒドロキシメチル基が好ましい。
Examples of the alkyl group represented by Xa 1 which may have a substituent include a methyl group and a group represented by −CH2 - R11 . R 11 represents a halogen atom (fluorine atom or the like), a hydroxyl group, or a monovalent organic group, and examples thereof include an alkyl group having 5 or less carbon atoms and an acyl group having 5 or less carbon atoms and having 3 or less carbon atoms. Alkyl groups are preferred, and methyl groups are more preferred.
Examples of the halogen atom represented by Xa 1 include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom, and a fluorine atom or an iodine atom is preferable.
As Xa 1 , a hydrogen atom, a fluorine atom, an iodine atom, a methyl group, a trifluoromethyl group, or a hydroxymethyl group is preferable.

Tで表される2価の連結基としては、アルキレン基、アリーレン基、-COO-Rt-基、及び-O-Rt-基等が挙げられる。式中、Rtは、アルキレン基、又はシクロアルキレン基を表す。
Tは、単結合又は-COO-Rt-基が好ましい。Tが-COO-Rt-基を表す場合、Rtは、炭素数1~5のアルキレン基が好ましく、-CH-基、-(CH-基、又は-(CH-基がより好ましい。
Examples of the divalent linking group represented by T include an alkylene group, an arylene group, an -COO-Rt- group, an -O-Rt- group and the like. In the formula, Rt represents an alkylene group or a cycloalkylene group.
T is preferably a single bond or a -COO-Rt- group. When T represents a -COO-Rt- group, Rt is preferably an alkylene group having 1 to 5 carbon atoms, preferably a -CH 2- group, a- (CH 2 ) 2- group, or a- (CH 2 ) 3- group. Is more preferable.

Rx~Rxで表されるアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、及びt-ブチル基等の炭素数1~4のアルキル基が好ましい。
Rx~Rxで表されるシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基が好ましく、その他にも、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。なかでも、炭素数5~6の単環のシクロアルキル基が好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基は、例えば、環を構成するメチレン基の1つが、酸素原子等のヘテロ原子、又はカルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
The alkyl group represented by Rx 1 to Rx 3 includes an alkyl having 1 to 4 carbon atoms such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group, and a t-butyl group. Groups are preferred.
Examples of the cycloalkyl group represented by Rx 1 to Rx 3 include a monocyclic cycloalkyl group such as a cyclopentyl group and a cyclohexyl group, or a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group. Etc., a polycyclic cycloalkyl group is preferable.
As the cycloalkyl group formed by bonding two of Rx 1 to Rx 3 , a monocyclic cycloalkyl group such as a cyclopentyl group and a cyclohexyl group is preferable, and in addition, a norbornyl group, a tetracyclodecanyl group, and the like. Polycyclic cycloalkyl groups such as a tetracyclododecanyl group and an adamantyl group are preferred. Of these, a monocyclic cycloalkyl group having 5 to 6 carbon atoms is preferable.
In the cycloalkyl group formed by bonding two of Rx 1 to Rx 3 , for example, one of the methylene groups constituting the ring is replaced with a hetero atom such as an oxygen atom or a group having a hetero atom such as a carbonyl group. May be.

上記各基が置換基を有する場合、置換基としては、例えば、アルキル基(炭素数1~4)、ハロゲン原子、水酸基、アルコキシ基(炭素数1~4)、カルボキシ基、及びアルコキシカルボニル基(炭素数2~6)等が挙げられる。置換基中の炭素数は、8以下が好ましい。 When each of the above groups has a substituent, the substituents include, for example, an alkyl group (1 to 4 carbon atoms), a halogen atom, a hydroxyl group, an alkoxy group (1 to 4 carbon atoms), a carboxy group, and an alkoxycarbonyl group (1 to 4 carbon atoms). Examples thereof include 2 to 6) carbon atoms. The number of carbon atoms in the substituent is preferably 8 or less.

以下に、繰り返し単位Y1の具体例を挙げるが、本発明は、これらの具体例に限定されない。
具体例中、Rxは、水素原子、フッ素原子、ヨウ素原子、CH、CF、又はCHOHを表す。Rxa及びRxbは、それぞれ独立して、炭素数1~4のアルキル基を表す。Zは、極性基を含む置換基を表し、複数存在する場合は、それぞれ同一であっても異なっていてもよい。pは0又は正の整数を表す。Zにより表される極性基を含む置換基としては、例えば、水酸基、シアノ基、アミノ基、アルキルアミド基、又はスルホンアミド基を有する、直鎖状若しくは分岐鎖状のアルキル基又は脂環基が挙げられ、水酸基を有するアルキル基が好ましい。分岐鎖状アルキル基としては、イソプロピル基が好ましい。
Specific examples of the repeating unit Y1 will be given below, but the present invention is not limited to these specific examples.
In the specific example, Rx represents a hydrogen atom, a fluorine atom, an iodine atom, CH 3 , CF 3 , or CH 2 OH. Rxa and Rxb each independently represent an alkyl group having 1 to 4 carbon atoms. Z represents a substituent including a polar group, and when a plurality of substituents are present, they may be the same or different from each other. p represents 0 or a positive integer. Examples of the substituent containing a polar group represented by Z include a linear or branched alkyl group or an alicyclic group having a hydroxyl group, a cyano group, an amino group, an alkylamide group, or a sulfonamide group. Alkyl groups having a hydroxyl group are preferred. As the branched chain alkyl group, an isopropyl group is preferable.

Figure 0006992166000013
Figure 0006992166000013

・フェノール性水酸基が脱離基で保護された構造を有する繰り返し単位
本明細書において、フェノール性水酸基とは、芳香族炭化水素基の水素原子をヒドロキシル基で置換してなる基である。芳香族炭化水素基の芳香環は単環又は多環の芳香環であり、ベンゼン環及びナフタレン環等が挙げられる。
-A repeating unit in which a phenolic hydroxyl group has a structure protected by a desorbing group In the present specification, a phenolic hydroxyl group is a group formed by substituting a hydrogen atom of an aromatic hydrocarbon group with a hydroxyl group. The aromatic ring of the aromatic hydrocarbon group is a monocyclic or polycyclic aromatic ring, and examples thereof include a benzene ring and a naphthalene ring.

酸の作用により分解して脱離する脱離基としては、例えば、式(Y1)~(Y4)で表される基を挙げることができる。
式(Y1):-C(Rx)(Rx)(Rx
式(Y2):-C(=O)OC(Rx)(Rx)(Rx
式(Y3):-C(R36)(R37)(OR38
式(Y4):-C(Rn)(H)(Ar)
Examples of the leaving group that decomposes and is eliminated by the action of an acid include groups represented by the formulas (Y1) to (Y4).
Equation (Y1): -C (Rx 1 ) (Rx 2 ) (Rx 3 )
Equation (Y2): -C (= O) OC (Rx 1 ) (Rx 2 ) (Rx 3 )
Equation (Y3): -C (R 36 ) (R 37 ) (OR 38 )
Equation (Y4): -C (Rn) (H) (Ar)

式(Y1)、(Y2)中、Rx~Rxは、各々独立に、アルキル基(直鎖状若しくは分岐鎖状)又はシクロアルキル基(単環若しくは多環)を表す。但し、Rx~Rxの全てがアルキル基(直鎖状若しくは分岐鎖状)である場合、Rx~Rxのうち少なくとも2つはメチル基であることが好ましい。
なかでも、Rx~Rxは、各々独立に、直鎖状又は分岐鎖状のアルキル基を表す繰り返し単位であることがより好ましく、Rx~Rxが、各々独立に、直鎖状のアルキル基を表す繰り返し単位であることが更に好ましい。
Rx~Rxの2つが結合して、単環若しくは多環を形成してもよい。
Rx~Rxのアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、及びt-ブチル基等の炭素数1~4のアルキル基が好ましい。
Rx~Rxのシクロアルキル基としては、シクロペンチル基、シクロヘキシル基等の単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。なかでも、炭素数5~6の単環のシクロアルキル基がより好ましい。
Rx~Rxの2つが結合して形成されるシクロアルキル基は、例えば、環を構成するメチレン基の1つが、酸素原子等のヘテロ原子、又は、カルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
式(Y1)及び(Y2)で表される基は、例えば、Rxがメチル基又はエチル基であり、RxとRxとが結合して上述のシクロアルキル基を形成している態様が好ましい。
In the formulas (Y1) and (Y2), Rx 1 to Rx 3 independently represent an alkyl group (linear or branched chain) or a cycloalkyl group (monocyclic or polycyclic). However, when all of Rx 1 to Rx 3 are alkyl groups (linear or branched), it is preferable that at least two of Rx 1 to Rx 3 are methyl groups.
Among them, Rx 1 to Rx 3 are more preferably repeating units each independently representing a linear or branched alkyl group, and Rx 1 to Rx 3 are each independently linear. It is more preferably a repeating unit representing an alkyl group.
Two of Rx 1 to Rx 3 may be combined to form a monocyclic or polycyclic ring.
As the alkyl group of Rx 1 to Rx 3 , an alkyl group having 1 to 4 carbon atoms such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group and a t-butyl group is preferable. ..
Examples of the cycloalkyl group of Rx 1 to Rx 3 include a monocyclic cycloalkyl group such as a cyclopentyl group and a cyclohexyl group, or a polycyclic group such as a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group. Cycloalkyl group is preferred.
Examples of the cycloalkyl group formed by bonding two of Rx 1 to Rx 3 include a monocyclic cycloalkyl group such as a cyclopentyl group and a cyclohexyl group, or a norbornyl group, a tetracyclodecanyl group, and a tetracyclododecanyl. Polycyclic cycloalkyl groups such as groups and adamantyl groups are preferred. Of these, a monocyclic cycloalkyl group having 5 to 6 carbon atoms is more preferable.
The cycloalkyl group formed by bonding two of Rx 1 to Rx 3 is, for example, a group in which one of the methylene groups constituting the ring has a hetero atom such as an oxygen atom or a hetero atom such as a carbonyl group. It may be replaced.
The group represented by the formulas (Y1) and (Y2) is, for example, an embodiment in which Rx 1 is a methyl group or an ethyl group, and Rx 2 and Rx 3 are bonded to form the above-mentioned cycloalkyl group. preferable.

式(Y3)中、R36~R38は、各々独立に、水素原子又は1価の有機基を表す。R37とR38とは、互いに結合して環を形成してもよい。1価の有機基としては、アルキル基、シクロアルキル基、アリール基、アラルキル基、及び、アルケニル基等が挙げられる。R36は、水素原子であることが好ましい。In formula (Y3), R 36 to R 38 each independently represent a hydrogen atom or a monovalent organic group. R 37 and R 38 may be coupled to each other to form a ring. Examples of the monovalent organic group include an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkenyl group and the like. R 36 is preferably a hydrogen atom.

式(Y4)中、Arは、芳香族炭化水素基を表す。Rnは、アルキル基、シクロアルキル基、又はアリール基を表す。RnとArとは互いに結合して非芳香族環を形成してもよい。Arはより好ましくはアリール基である。 In formula (Y4), Ar represents an aromatic hydrocarbon group. Rn represents an alkyl group, a cycloalkyl group, or an aryl group. Rn and Ar may be combined with each other to form a non-aromatic ring. Ar is more preferably an aryl group.

フェノール性水酸基が脱離基で保護された構造を有する繰り返し単位としては、フェノール性水酸基における水素原子が式(Y1)~(Y4)で表される基によって保護された構造を有するものが好ましい。 As the repeating unit having a structure in which the phenolic hydroxyl group is protected by a leaving group, it is preferable that the hydrogen atom in the phenolic hydroxyl group has a structure protected by a group represented by the formulas (Y1) to (Y4).

フェノール性水酸基が脱離基で保護された構造を有する繰り返し単位としては、下記一般式(AII)で表される繰り返し単位が好ましい。 As the repeating unit having a structure in which the phenolic hydroxyl group is protected by a leaving group, a repeating unit represented by the following general formula (AII) is preferable.

Figure 0006992166000014
Figure 0006992166000014

一般式(AII)中、
61、R62及びR63は、各々独立に、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基、又はアルコキシカルボニル基を表す。但し、R62はArと結合して環を形成していてもよく、その場合のR62は単結合又はアルキレン基を表す。
は、単結合、-COO-、又は-CONR64-を表す。R64は、水素原子又はアルキル基を表す。
は、単結合又はアルキレン基を表す。
Arは、(n+1)価の芳香族炭化水素基を表し、R62と結合して環を形成する場合には(n+2)価の芳香族炭化水素基を表す。
は、n≧2の場合には各々独立に、水素原子又は酸の作用により脱離する基を表す。但し、Yの少なくとも1つは、酸の作用により脱離する基を表す。Yとしての酸の作用により脱離する基は、式(Y1)~(Y4)であることが好ましい。
nは、1~4の整数を表す。
In the general formula (AII),
R 61 , R 62 and R 63 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group, or an alkoxycarbonyl group. However, R 62 may be bonded to Ar 6 to form a ring, in which case R 62 represents a single bond or an alkylene group.
X 6 represents a single bond, -COO-, or -CONR 64- . R 64 represents a hydrogen atom or an alkyl group.
L 6 represents a single bond or an alkylene group.
Ar 6 represents a (n + 1) -valent aromatic hydrocarbon group, and when combined with R 62 to form a ring, represents a (n + 2) -valent aromatic hydrocarbon group.
Y 2 represents a group desorbed by the action of a hydrogen atom or an acid independently when n ≧ 2. However, at least one of Y 2 represents a group that is eliminated by the action of an acid. The groups desorbed by the action of the acid as Y2 are preferably of the formulas (Y1) to (Y4).
n represents an integer of 1 to 4.

上記各基は置換基を有していてもよく、置換基としては、例えば、アルキル基(炭素数1~4)、ハロゲン原子、水酸基、アルコキシ基(炭素数1~4)、カルボキシル基、及びアルコキシカルボニル基(炭素数2~6)等が挙げられ、炭素数8以下のものが好ましい。 Each of the above groups may have a substituent, and examples of the substituent include an alkyl group (1 to 4 carbon atoms), a halogen atom, a hydroxyl group, an alkoxy group (1 to 4 carbon atoms), a carboxyl group, and a substituent. Examples thereof include an alkoxycarbonyl group (2 to 6 carbon atoms), and those having 8 or less carbon atoms are preferable.

Figure 0006992166000015
Figure 0006992166000015

Figure 0006992166000016
Figure 0006992166000016

樹脂(X)は、繰り返し単位Y1を、1種単独で含んでもよく、2種以上を併用して含んでもよい。 The resin (X) may contain the repeating unit Y1 alone or in combination of two or more.

樹脂(X)が繰り返し単位Y1を含む場合(繰り返し単位Y1が複数存在する場合はその合計)、繰り返し単位Y1の含有量は、樹脂(X)中の全繰り返し単位に対し、5~60質量%が好ましく、10~60質量%がより好ましく、10~50質量%が更に好ましい。 When the resin (X) contains the repeating unit Y1 (the total if there are a plurality of repeating units Y1), the content of the repeating unit Y1 is 5 to 60% by mass with respect to all the repeating units in the resin (X). Is preferable, 10 to 60% by mass is more preferable, and 10 to 50% by mass is further preferable.

≪フェノール性水酸基を有する繰り返し単位≫
樹脂(X)は、フェノール性水酸基を有する繰り返し単位(以下、「繰り返し単位Y2」ともいう。)を含んでいてもよい。
繰り返し単位Y2としては、例えば、下記一般式(I)で表される繰り返し単位が挙げられる。
≪Repeating unit with phenolic hydroxyl group≫
The resin (X) may contain a repeating unit having a phenolic hydroxyl group (hereinafter, also referred to as “repeating unit Y2”).
Examples of the repeating unit Y2 include a repeating unit represented by the following general formula (I).

Figure 0006992166000017
Figure 0006992166000017

式(I)中、
41、R42及びR43は、それぞれ独立して、水素原子、アルキル基、シクロアルキル基、ハロゲン原子、シアノ基、又はアルコキシカルボニル基を表す。但し、R42はArと結合して環を形成していてもよく、その場合のR42は単結合又はアルキレン基を表す。
は、単結合、-COO-、又は-CONR64-を表し、R64は、水素原子、又はアルキル基を表す。
は、単結合又は2価の連結基を表す。
Arは、(n+1)価の芳香族基を表し、R42と結合して環を形成する場合には(n+2)価の芳香族基を表す。
nは、1~5の整数を表す。
一般式(I)で表される繰り返し単位を高極性化する目的では、nが2以上の整数、又はXが-COO-、又は-CONR64-であることも好ましい。
In formula (I),
R 41 , R 42 and R 43 independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group, or an alkoxycarbonyl group. However, R 42 may be bonded to Ar 4 to form a ring, in which case R 42 represents a single bond or an alkylene group.
X 4 represents a single bond, -COO-, or -CONR 64- , and R 64 represents a hydrogen atom or an alkyl group.
L 4 represents a single bond or a divalent linking group.
Ar 4 represents a (n + 1) -valent aromatic group, and when combined with R 42 to form a ring, represents a (n + 2) -valent aromatic group.
n represents an integer of 1 to 5.
For the purpose of increasing the polarity of the repeating unit represented by the general formula (I), it is also preferable that n is an integer of 2 or more, or X4 is -COO- or -CONR 64- .

一般式(I)におけるR41、R42、及びR43で表されるアルキル基としては、置換基を有していてもよい、メチル基、エチル基、プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、ヘキシル基、2-エチルヘキシル基、オクチル基、及びドデシル基等の炭素数20以下のアルキル基が好ましく、炭素数8以下のアルキル基がより好ましく、炭素数3以下のアルキル基が更に好ましい。The alkyl group represented by R 41 , R 42 , and R 43 in the general formula (I) may have a substituent, such as a methyl group, an ethyl group, a propyl group, an isopropyl group, and an n-butyl group. , Se-butyl group, hexyl group, 2-ethylhexyl group, octyl group, dodecyl group and other alkyl groups having 20 or less carbon atoms are preferable, alkyl groups having 8 or less carbon atoms are more preferable, and alkyl groups having 3 or less carbon atoms are more preferable. Is more preferable.

一般式(I)におけるR41、R42、及びR43で表されるシクロアルキル基としては、単環でも、多環でもよい。置換基を有していてもよい、シクロプロピル基、シクロペンチル基、及びシクロヘキシル基等の炭素数3~8個で単環のシクロアルキル基が好ましい。
一般式(I)におけるR41、R42、及びR43で表されるハロゲン原子としては、フッ素原子、塩素原子、臭素原子及びヨウ素原子等が挙げられ、フッ素原子が好ましい。
一般式(I)におけるR41、R42、及びR43で表されるアルコキシカルボニル基に含まれるアルキル基としては、上記R41、R42、及びR43におけるアルキル基と同様のものが好ましい。
The cycloalkyl group represented by R 41 , R 42 , and R 43 in the general formula (I) may be monocyclic or polycyclic. A monocyclic cycloalkyl group having 3 to 8 carbon atoms such as a cyclopropyl group, a cyclopentyl group, and a cyclohexyl group, which may have a substituent, is preferable.
Examples of the halogen atom represented by R 41 , R 42 , and R 43 in the general formula (I) include a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, and the like, and a fluorine atom is preferable.
The alkyl group contained in the alkoxycarbonyl group represented by R 41 , R 42 , and R 43 in the general formula (I) is preferably the same as the alkyl group in R 41 , R 42 , and R 43 .

上記各基における好ましい置換基としては、例えば、アルキル基、シクロアルキル基、アリール基、アミノ基、アミド基、ウレイド基、ウレタン基、ヒドロキシル基、カルボキシル基、ハロゲン原子、アルコキシ基、チオエーテル基、アシル基、アシロキシ基、アルコキシカルボニル基、シアノ基、及びニトロ基等が挙げられ、置換基の炭素数は8以下が好ましい。 Preferred substituents in each of the above groups include, for example, an alkyl group, a cycloalkyl group, an aryl group, an amino group, an amide group, a ureido group, a urethane group, a hydroxyl group, a carboxyl group, a halogen atom, an alkoxy group, a thioether group and an acyl group. Examples thereof include a group, an acyloxy group, an alkoxycarbonyl group, a cyano group, a nitro group and the like, and the substituent preferably has 8 or less carbon atoms.

Arは、(n+1)価の芳香族基を表す。nが1である場合における2価の芳香族炭化水素基は、置換基を有していてもよく、例えば、フェニレン基、トリレン基、ナフチレン基、及びアントラセニレン基等の炭素数6~18のアリーレン基、又は、例えば、チオフェン、フラン、ピロール、ベンゾチオフェン、ベンゾフラン、ベンゾピロール、トリアジン、イミダゾール、ベンゾイミダゾール、トリアゾール、チアジアゾール、及びチアゾール等のヘテロ環を含む芳香族炭化水素基が好ましい。Ar 4 represents an (n + 1) -valent aromatic group. The divalent aromatic hydrocarbon group when n is 1 may have a substituent, and for example, an arylene having 6 to 18 carbon atoms such as a phenylene group, a tolylen group, a naphthylene group, and an anthrasenylene group. A group or an aromatic hydrocarbon group containing a heterocycle such as thiophene, furan, pyrrole, benzothiophene, benzofuran, benzopyrrole, triazine, imidazole, benzoimidazole, triazole, thiadiazol, and thiazole is preferred.

nが2以上の整数である場合における(n+1)価の芳香族基の具体例としては、2価の芳香族基の上記した具体例から、(n-1)個の任意の水素原子を除してなる基を好適に挙げることができる。
(n+1)価の芳香族基は、更に置換基を有していてもよい。
上記(n+1)価の芳香族基における好ましい置換基としては、例えば、ハロゲン原子(フッ素原子又はヨウ素原子が好ましい。)、及びハロゲン化アルキル基(パーフルオロアルキル基が好ましい。)が挙げられる。
As a specific example of the (n + 1) -valent aromatic group when n is an integer of 2 or more, (n-1) arbitrary hydrogen atoms are removed from the above-mentioned specific example of the divalent aromatic group. A suitable group can be mentioned.
The (n + 1) -valent aromatic group may further have a substituent.
Preferred substituents in the above (n + 1) -valent aromatic group include, for example, a halogen atom (preferably a fluorine atom or an iodine atom) and an alkyl halide group (preferably a perfluoroalkyl group).

により表される-CONR64-(R64は、水素原子又はアルキル基を表す)におけるR64のアルキル基としては、置換基を有していてもよい、メチル基、エチル基、プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、ヘキシル基、2-エチルヘキシル基、オクチル基、及びドデシル基等の炭素数20以下のアルキル基が好ましく、炭素数8以下のアルキル基がより好ましい。
としては、単結合、-COO-、又は-CONH-が好ましく、単結合、又は-COO-がより好ましく、単結合が更に好ましい。
The alkyl group of R 64 in -CONR 64- (where R 64 represents a hydrogen atom or an alkyl group) represented by X 4 may have a substituent, a methyl group, an ethyl group, or a propyl group. , Isopropyl group, n-butyl group, sec-butyl group, hexyl group, 2-ethylhexyl group, octyl group, dodecyl group and other alkyl groups having 20 or less carbon atoms are preferable, and alkyl groups having 8 or less carbon atoms are more preferable. ..
As X4 , a single bond, -COO-, or -CONH- is preferable, a single bond or -COO- is more preferable, and a single bond is further preferable.

としての2価の連結基としては、アルキレン基であることが好ましく、アルキレン基としては、置換基を有していてもよい、メチレン基、エチレン基、プロピレン基、ブチレン基、ヘキシレン基、及びオクチレン基等の炭素数1~8のアルキレン基が好ましい。
としては、なかでも、単結合が好ましい。
The divalent linking group as L4 is preferably an alkylene group, and the alkylene group may have a substituent, a methylene group, an ethylene group, a propylene group, a butylene group, a hexylene group, and the like. And an alkylene group having 1 to 8 carbon atoms such as an octylene group is preferable.
As L4 , a single bond is particularly preferable.

Arとしては、置換基を有していてもよい炭素数6~18の芳香族炭化水素基が好ましく、ベンゼン環基、ナフタレン環基、又はビフェニレン環基がより好ましく、ベンゼン環基が更に好ましい。
nは、1又は2が好ましい。
As Ar 4 , an aromatic hydrocarbon group having 6 to 18 carbon atoms which may have a substituent is preferable, a benzene ring group, a naphthalene ring group, or a biphenylene ring group is more preferable, and a benzene ring group is further preferable. ..
n is preferably 1 or 2.

一般式(I)で表される繰り返し単位は、なかでも、ヒドロキシスチレン又はヒドロキシスチレン誘導体に由来する繰り返し単位であることが好ましい。即ち、Arは、ベンゼン環基を表し、X及びLは、単結合を表すことが好ましい。The repeating unit represented by the general formula (I) is preferably a repeating unit derived from hydroxystyrene or a hydroxystyrene derivative. That is, it is preferable that Ar 4 represents a benzene ring group and X 4 and L 4 represent a single bond.

以下に、繰り返し単位Y2の具体例を挙げるが、本発明は、これらの具体例に限定されない。式中、aは1又は2を表す。 Specific examples of the repeating unit Y2 are given below, but the present invention is not limited to these specific examples. In the formula, a represents 1 or 2.

Figure 0006992166000018
Figure 0006992166000018

樹脂(X)は、繰り返し単位Y2を、1種単独で有していてよく、2種以上を併用して含んでいてもよい。
樹脂(X)が繰り返し単位Y2を含む場合(繰り返し単位Y2が複数存在する場合はその合計)、繰り返し単位Y2の含有量は、樹脂(X)中の全繰り返し単位に対して、5~60質量%が好ましく、10~50質量%がより好ましく、10~30質量%が更に好ましい。
The resin (X) may have one type of repeating unit Y2 alone, or may contain two or more types in combination.
When the resin (X) contains the repeating unit Y2 (the total if there are a plurality of repeating units Y2), the content of the repeating unit Y2 is 5 to 60 mass with respect to all the repeating units in the resin (X). % Is preferable, 10 to 50% by mass is more preferable, and 10 to 30% by mass is further preferable.

≪フッ素原子又はヨウ素原子を含み、且つ酸基を含む繰り返し単位≫
樹脂(X)は、フッ素原子又はヨウ素原子を含み、且つ酸基を含む繰り返し単位(以下、「繰り返し単位Y3」ともいう。)を含むことが好ましい。繰り返し単位Y3は、一般式(B-1)で表される繰り返し単位、及び繰り返し単位Y2には該当しない。
繰り返し単位Y3が含む酸基としては、フッ素化アルコール基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及びトリス(アルキルスルホニル)メチレン基等が挙げられる。また、これらの酸基に含まれる水素原子が、フッ素原子で置換されていることが好ましい。
<< Repeating unit containing fluorine atom or iodine atom and containing acid group >>
The resin (X) preferably contains a repeating unit containing a fluorine atom or an iodine atom and containing an acid group (hereinafter, also referred to as “repeating unit Y3”). The repeating unit Y3 does not correspond to the repeating unit represented by the general formula (B-1) and the repeating unit Y2.
The acid group contained in the repeating unit Y3 includes a fluorinated alcohol group, a sulfonamide group, a sulfonylimide group, a (alkylsulfonyl) (alkylcarbonyl) methylene group, a (alkylsulfonyl) (alkylcarbonyl) imide group, and a bis (alkylcarbonyl). Examples thereof include a methylene group, a bis (alkylcarbonyl) imide group, a bis (alkylsulfonyl) methylene group, a bis (alkylsulfonyl) imide group, a tris (alkylcarbonyl) methylene group, a tris (alkylsulfonyl) methylene group and the like. Further, it is preferable that the hydrogen atom contained in these acid groups is replaced with a fluorine atom.

以下に、繰り返し単位Y3の具体例を挙げるが、本発明は、これらの具体例に限定されない。式中、Rxは水素原子、CH、CF、又は、CHOHを表す。Specific examples of the repeating unit Y3 will be given below, but the present invention is not limited to these specific examples. In the formula, Rx represents a hydrogen atom, CH 3 , CF 3 , or CH 2 OH.

Figure 0006992166000019
Figure 0006992166000020
Figure 0006992166000021
Figure 0006992166000019
Figure 0006992166000020
Figure 0006992166000021

樹脂(X)は、繰り返し単位Y3を、1種単独で有していてよく、2種以上を併用して含んでいてもよい。
樹脂(X)が繰り返し単位Y3を含む場合(繰り返し単位Y3が複数存在する場合はその合計)、繰り返し単位Y3の含有量は、樹脂(A)中の全繰り返し単位に対して、5~60質量%が好ましく、15~50質量%がより好ましく、15~40質量%が更に好ましい。
The resin (X) may have one type of repeating unit Y3 alone, or may contain two or more types in combination.
When the resin (X) contains the repeating unit Y3 (the total if there are a plurality of repeating units Y3), the content of the repeating unit Y3 is 5 to 60 mass with respect to all the repeating units in the resin (A). % Is preferable, 15 to 50% by mass is more preferable, and 15 to 40% by mass is further preferable.

≪極性基を有する繰り返し単位≫
また、樹脂(X)は、極性基を含む繰り返し単位(以下「繰り返し単位Y4」ともいう。)を含むことが好ましい。繰り返し単位Y4は、一般式(B-1)で表される繰り返し単位、繰り返し単位Y2、及び繰り返し単位Y3には該当しない。
繰り返し単位Y4としては、なかでも、ラクトン構造、スルトン構造、及びカーボネート構造からなる群から選択される少なくとも1種を有する繰り返し単位(以下「繰り返し単位Y4-1」ともいう。)、又は、上記繰り返し単位Y4-1以外の、その他の極性基を有する繰り返し単位(以下「繰り返し単位Y4-2」ともいう。)が好ましい。
<< Repeating unit with polar group >>
Further, the resin (X) preferably contains a repeating unit containing a polar group (hereinafter, also referred to as “repeating unit Y4”). The repeating unit Y4 does not correspond to the repeating unit represented by the general formula (B-1), the repeating unit Y2, and the repeating unit Y3.
The repeating unit Y4 is a repeating unit having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure (hereinafter, also referred to as “repeating unit Y4-1”), or the above-mentioned repeating unit. A repeating unit having a polar group other than the unit Y4-1 (hereinafter, also referred to as “repeating unit Y4-2”) is preferable.

・ラクトン構造、スルトン構造、及びカーボネート構造からなる群から選択される少なくとも1種を有する繰り返し単位(繰り返し単位Y4-1)
ラクトン構造又はスルトン構造としては、ラクトン構造又はスルトン構造を有していればよく、5~7員環ラクトン構造又は5~7員環スルトン構造が好ましい。なかでも、ビシクロ構造若しくはスピロ構造を形成する形で5~7員環ラクトン構造に他の環構造が縮環しているもの、又は、ビシクロ構造若しくはスピロ構造を形成する形で5~7員環スルトン構造に他の環構造が縮環しているもの、がより好ましい。
樹脂(X)は、下記一般式(LC1-1)~(LC1-21)のいずれかで表されるラクトン構造、又は、下記一般式(SL1-1)~(SL1-3)のいずれかで表されるスルトン構造を有する繰り返し単位を含むことが更に好ましい。また、ラクトン構造又はスルトン構造が主鎖に直接結合していてもよい。好ましい構造としては、一般式(LC1-1)、一般式(LC1-4)、一般式(LC1-5)、一般式(LC1-8)、一般式(LC1-16)、若しくは一般式(LC1-21)で表されるラクトン構造、又は、一般式(SL1-1)で表されるスルトン構造が挙げられる。
-Repeating unit having at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure (repeating unit Y4-1).
The lactone structure or sultone structure may have a lactone structure or a sultone structure, and a 5- to 7-membered ring lactone structure or a 5- to 7-membered ring sultone structure is preferable. Among them, a 5- to 7-membered ring lactone structure in which another ring structure is condensed in a form forming a bicyclo structure or a spiro structure, or a 5- to 7-membered ring in the form of forming a bicyclo structure or a spiro structure. A sultone structure in which another ring structure is fused is more preferable.
The resin (X) has a lactone structure represented by any of the following general formulas (LC1-1) to (LC1-21), or any of the following general formulas (SL1-1) to (SL1-3). It is more preferred to include repeating units with the represented sultone structure. Further, the lactone structure or the sultone structure may be directly bonded to the main chain. Preferred structures include general formula (LC1-1), general formula (LC1-4), general formula (LC1-5), general formula (LC1-8), general formula (LC1-16), or general formula (LC1). Examples thereof include a lactone structure represented by -21) and a sultone structure represented by the general formula (SL1-1).

Figure 0006992166000022
Figure 0006992166000022

ラクトン構造部分又はスルトン構造部分は、置換基(Rb)を有していても、有していなくてもよい。好ましい置換基(Rb)としては、炭素数1~8のアルキル基、炭素数4~7のシクロアルキル基、炭素数1~8のアルコキシ基、炭素数2~8のアルコキシカルボニル基、カルボキシ基、ハロゲン原子、水酸基、シアノ基、及び酸分解性基等が挙げられ、炭素数1~4のアルキル基、シアノ基、又は酸分解性基が好ましい。nは、0~4の整数を表す。nが2以上の時、複数存在する置換基(Rb)は、同一でも異なっていてもよい。また、複数存在する置換基(Rb)同士が結合して環を形成してもよい。The lactone-structured portion or the sultone-structured portion may or may not have a substituent (Rb 2 ). Preferred substituents (Rb 2 ) include an alkyl group having 1 to 8 carbon atoms, a cycloalkyl group having 4 to 7 carbon atoms, an alkoxy group having 1 to 8 carbon atoms, an alkoxycarbonyl group having 2 to 8 carbon atoms, and a carboxy group. , Halogen atom, hydroxyl group, cyano group, acid-degradable group and the like, and an alkyl group having 1 to 4 carbon atoms, a cyano group, or an acid-degradable group is preferable. n 2 represents an integer from 0 to 4. When n 2 is 2 or more, the plurality of substituents (Rb 2 ) may be the same or different. Further, a plurality of existing substituents (Rb 2 ) may be bonded to each other to form a ring.

ラクトン構造又はスルトン構造を有する繰り返し単位としては、下記一般式(III)で表される繰り返し単位が好ましい。 As the repeating unit having a lactone structure or a sultone structure, a repeating unit represented by the following general formula (III) is preferable.

Figure 0006992166000023
Figure 0006992166000023

上記一般式(III)中、
Aは、エステル結合(-COO-で表される基)又はアミド結合(-CONH-で表される基)を表す。
nは、-R-Z-で表される構造の繰り返し数であり、0~5の整数を表し、0又は1であることが好ましく、0であることがより好ましい。nが0である場合、-R-Z-は存在せず、単結合となる。
は、アルキレン基、シクロアルキレン基、又はその組み合わせを表す。Rが複数個ある場合、Rは、各々独立に、アルキレン基、シクロアルキレン基、又はその組み合わせを表す。
Zは、単結合、エーテル結合、エステル結合、アミド結合、ウレタン結合又はウレア結合を表す。Zが複数個ある場合には、Zは、各々独立に、単結合、エーテル結合、エステル結合、アミド結合、ウレタン結合又はウレア結合を表す。
は、ラクトン構造又はスルトン構造を有する1価の有機基を表す。
は、水素原子、ハロゲン原子又は1価の有機基(好ましくはメチル基)を表す。
In the above general formula (III),
A represents an ester bond (a group represented by -COO-) or an amide bond (a group represented by -CONH-).
n is the number of repetitions of the structure represented by −R 0 −Z—, represents an integer of 0 to 5, is preferably 0 or 1, and more preferably 0. When n is 0, -R 0 -Z- does not exist and a single bond is formed.
R0 represents an alkylene group, a cycloalkylene group, or a combination thereof. When there are a plurality of R 0s , each R 0 independently represents an alkylene group, a cycloalkylene group, or a combination thereof.
Z represents a single bond, an ether bond, an ester bond, an amide bond, a urethane bond or a urea bond. When there are a plurality of Z's, Z independently represents a single bond, an ether bond, an ester bond, an amide bond, a urethane bond or a urea bond.
R 8 represents a monovalent organic group having a lactone structure or a sultone structure.
R 7 represents a hydrogen atom, a halogen atom or a monovalent organic group (preferably a methyl group).

のアルキレン基又はシクロアルキレン基は置換基を有してもよい。
Zとしては、エーテル結合、又はエステル結合が好ましく、エステル結合がより好ましい。
The alkylene group or cycloalkylene group of R0 may have a substituent.
As Z, an ether bond or an ester bond is preferable, and an ester bond is more preferable.

樹脂(X)は、カーボネート構造を有する繰り返し単位を有していてもよい。カーボネート構造は、環状炭酸エステル構造であることが好ましい。
環状炭酸エステル構造を有する繰り返し単位は、下記一般式(A-1)で表される繰り返し単位であることが好ましい。
The resin (X) may have a repeating unit having a carbonate structure. The carbonate structure is preferably a cyclic carbonate ester structure.
The repeating unit having a cyclic carbonate structure is preferably a repeating unit represented by the following general formula (A-1).

Figure 0006992166000024
Figure 0006992166000024

一般式(A-1)中、R は、水素原子、ハロゲン原子又は1価の有機基(好ましくはメチル基)を表す。
nは0以上の整数を表す。
は、置換基を表す。nが2以上の場合、R は、各々独立して、置換基を表す。
Aは、単結合、又は2価の連結基を表す。
Zは、式中の-O-C(=O)-O-で表される基と共に単環構造又は多環構造を形成する原子団を表す。
In the general formula (A-1), RA 1 represents a hydrogen atom, a halogen atom or a monovalent organic group (preferably a methyl group).
n represents an integer of 0 or more.
RA 2 represents a substituent. When n is 2 or more, RA 2 independently represents a substituent.
A represents a single bond or a divalent linking group.
Z represents an atomic group forming a monocyclic structure or a polycyclic structure together with the group represented by —O—C (= O) —O— in the formula.

以下に一般式(III)で表される繰り返し単位に相当するモノマーの具体例、及び一般式(A-1)で表される繰り返し単位に相当するモノマーの具体例を挙げるが、本発明は、これらの具体例に制限されない。下記の具体例は、一般式(III)におけるR及び一般式(A-1)におけるR がメチル基である場合に相当するが、R及びR は、水素原子、ハロゲン原子、又は1価の有機基に任意に置換できる。Hereinafter, specific examples of the monomer corresponding to the repeating unit represented by the general formula (III) and the specific example of the monomer corresponding to the repeating unit represented by the general formula (A-1) will be given. It is not limited to these specific examples. The following specific examples correspond to the case where R 7 in the general formula (III) and RA 1 in the general formula ( A - 1 ) are methyl groups, but R 7 and RA 1 are hydrogen atoms and halogen atoms. , Or can be optionally replaced with a monovalent organic group.

Figure 0006992166000025
Figure 0006992166000025

また、繰り返し単位Y4-1としては、上記モノマーの他に、下記に示すモノマーも好適に用いられる。 Further, as the repeating unit Y4-1, in addition to the above-mentioned monomer, the following monomers are also preferably used.

Figure 0006992166000026
Figure 0006992166000026

樹脂(X)は、繰り返し単位Y4-1として、米国特許出願公開2016/0070167A1号明細書の段落<0370>~<0414>に記載の繰り返し単位を含むことも好ましい。 It is also preferable that the resin (X) contains, as the repeating unit Y4-1, the repeating unit described in paragraphs <0370> to <0414> of US Patent Application Publication No. 2016/0070167A1.

樹脂(X)は、繰り返し単位Y4-1を、1種単独で有していてよく、2種以上を併用して含んでいてもよい。
樹脂(X)が繰り返し単位Y4-1を含む場合、繰り返し単位Y4-1の含有量は、樹脂(X)中の全繰り返し単位に対し、5~40質量%が好ましく、5~30質量%がより好ましく、5~25質量%が更に好ましい。
The resin (X) may have one type of repeating unit Y4-1 alone, or may contain two or more types in combination.
When the resin (X) contains the repeating unit Y4-1, the content of the repeating unit Y4-1 is preferably 5 to 40% by mass, preferably 5 to 30% by mass, based on all the repeating units in the resin (X). More preferably, 5 to 25% by mass is further preferable.

・上記繰り返し単位Y4-1以外の、その他の極性基を有する繰り返し単位(繰り返し単位Y4-2)
樹脂(X)は、繰り返し単位Y4-2を含むことが好ましい。
極性基としては、水酸基、シアノ基、アミド基、カルボン酸基、スルホン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及びトリス(アルキルスルホニル)メチレン基等が挙げられる。
繰り返し単位Y4-2としては、例えば、水酸基及びシアノ基等の極性基で置換された脂環炭化水素構造(具体的には、シクロヘキシル基、アダマンチル基、又はノルボルナン基等)を有する繰り返し単位が挙げられる。
A repeating unit having a polar group other than the repeating unit Y4-1 (repeating unit Y4-2).
The resin (X) preferably contains the repeating unit Y4-2.
The polar group includes a hydroxyl group, a cyano group, an amide group, a carboxylic acid group, a sulfonic acid group, a sulfonamide group, a sulfonylimide group, a (alkylsulfonyl) (alkylcarbonyl) methylene group, and a (alkylsulfonyl) (alkylcarbonyl) imide group. , Bis (alkylcarbonyl) methylene group, bis (alkylcarbonyl) imide group, bis (alkylsulfonyl) methylene group, bis (alkylsulfonyl) imide group, tris (alkylcarbonyl) methylene group, tris (alkylsulfonyl) methylene group, etc. Can be mentioned.
Examples of the repeating unit Y4-2 include a repeating unit having an alicyclic hydrocarbon structure (specifically, a cyclohexyl group, an adamantyl group, a norbornane group, etc.) substituted with a polar group such as a hydroxyl group and a cyano group. Be done.

以下に、繰り返し単位Y4-2に相当するモノマーの具体例を挙げるが、本発明は、これらの具体例に制限されない。 Specific examples of the monomer corresponding to the repeating unit Y4-2 will be given below, but the present invention is not limited to these specific examples.

Figure 0006992166000027
Figure 0006992166000028
Figure 0006992166000027
Figure 0006992166000028

この他にも、繰り返し単位Y4-2の具体例としては、米国特許出願公開2016/0070167A1号明細書の段落<0415>~<0433>に開示された繰り返し単位が挙げられる。 In addition, as a specific example of the repeating unit Y4-2, the repeating unit disclosed in paragraphs <0415> to <0433> of US Patent Application Publication No. 2016/0070167A1 can be mentioned.

樹脂(X)は、繰り返し単位Y4-2を、1種単独で有していてよく、2種以上を併用して含んでいてもよい。
樹脂(X)が繰り返し単位Y4-2を含む場合、繰り返し単位Y4-2の含有量は、樹脂(X)中の全繰り返し単位に対し、5~40質量%が好ましく、5~30質量%がより好ましく、5~25質量%が更に好ましい。
The resin (X) may have the repeating unit Y4-2 alone or may contain two or more of them in combination.
When the resin (X) contains the repeating unit Y4-2, the content of the repeating unit Y4-2 is preferably 5 to 40% by mass, preferably 5 to 30% by mass, based on all the repeating units in the resin (X). More preferably, 5 to 25% by mass is further preferable.

樹脂(X)は、上述した繰り返し単位Y1~Y4以外に、他の繰り返し単位を有していてもよい。 The resin (X) may have other repeating units other than the above-mentioned repeating units Y1 to Y4.

樹脂(X)は、常法(例えばラジカル重合)に従って合成できる。 The resin (X) can be synthesized according to a conventional method (for example, radical polymerization).

樹脂(X)の重量平均分子量は、2,000~30,000が好ましく、形成されるパターンの倒れ抑制性がより優れる点で、3,500~15,000がより好ましく、5,000~12,000が更に好ましい。分散度(Mw/Mn)は、通常1.0~3.0であり、1.0~2.6が好ましく、1.0~2.0がより好ましく、1.1~2.0が更に好ましい。 The weight average molecular weight of the resin (X) is preferably 2,000 to 30,000, more preferably 3,500 to 15,000, and more preferably 5,000 to 12 in that the formed pattern is more excellent in suppressing collapse. 000 is more preferred. The degree of dispersion (Mw / Mn) is usually 1.0 to 3.0, preferably 1.0 to 2.6, more preferably 1.0 to 2.0, and further preferably 1.1 to 2.0. preferable.

樹脂(X)は、1種単独で使用してもよいし、2種以上を併用してもよい。
本発明の組成物中、樹脂(X)の含有量(複数種存在する場合はその合計)は、組成物の全固形分に対して、一般的に20.0質量%以上の場合が多く、30.0質量%以上が好ましく、40.0質量%以上がより好ましく、50.0質量%以上が更に好ましい。上限は特に制限されないが、99.9質量%以下が好ましく、99.5質量%以下がより好ましく、99.0質量%以下が更に好ましい。
The resin (X) may be used alone or in combination of two or more.
In the composition of the present invention, the content of the resin (X) (in the case where a plurality of types are present, the total thereof) is generally 20.0% by mass or more with respect to the total solid content of the composition. 30.0% by mass or more is preferable, 40.0% by mass or more is more preferable, and 50.0% by mass or more is further preferable. The upper limit is not particularly limited, but 99.9% by mass or less is preferable, 99.5% by mass or less is more preferable, and 99.0% by mass or less is further preferable.

〔活性光線又は放射線の照射により酸を発生する化合物〕
本発明の組成物は、活性光線又は放射線の照射により酸を発生する化合物(以下、「光酸発生剤」ともいう。」を含む。
光酸発生剤は、低分子化合物の形態であってもよく、重合体の一部に組み込まれた形態であってもよい。また、低分子化合物の形態と重合体の一部に組み込まれた形態を併用してもよい。
光酸発生剤が、低分子化合物の形態である場合、その分子量は、3000以下が好ましく、2000以下がより好ましく、1000以下が更に好ましい。
光酸発生剤は、重合体の一部に組み込まれた形態である場合、樹脂(X)の一部に組み込まれてもよく、樹脂(X)とは異なる樹脂に組み込まれてもよい。
なかでも、光酸発生剤は、低分子化合物の形態であることが好ましい。
光酸発生剤としては、公知のものであれば特に制限されないが、活性光線又は放射線(好ましくは、電子線又は極紫外線)の照射により、有機酸を発生する化合物が好ましい。
上記有機酸としては、例えば、スルホン酸、ビス(アルキルスルホニル)イミド、及びトリス(アルキルスルホニル)メチドの少なくともいずれかが好ましい。
光酸発生剤としては、下記一般式(ZI)、下記一般式(ZII)、又は下記一般式(ZIII)で表される化合物が好ましい。
[Compounds that generate acid by irradiation with active light or radiation]
The composition of the present invention contains a compound that generates an acid by irradiation with active light or radiation (hereinafter, also referred to as "photoacid generator").
The photoacid generator may be in the form of a small molecule compound or may be incorporated in a part of the polymer. Further, the form of the small molecule compound and the form incorporated in a part of the polymer may be used in combination.
When the photoacid generator is in the form of a small molecule compound, its molecular weight is preferably 3000 or less, more preferably 2000 or less, still more preferably 1000 or less.
When the photoacid generator is in the form incorporated in a part of the polymer, it may be incorporated in a part of the resin (X) or may be incorporated in a resin different from the resin (X).
Among them, the photoacid generator is preferably in the form of a small molecule compound.
The photoacid generator is not particularly limited as long as it is known, but a compound that generates an organic acid by irradiation with active light or radiation (preferably electron beam or extreme ultraviolet) is preferable.
As the organic acid, for example, at least one of sulfonic acid, bis (alkylsulfonyl) imide, and tris (alkylsulfonyl) methide is preferable.
As the photoacid generator, a compound represented by the following general formula (ZI), the following general formula (ZII), or the following general formula (ZIII) is preferable.

Figure 0006992166000029
Figure 0006992166000029

上記一般式(ZI)において、
201、R202及びR203は、それぞれ独立して、有機基を表す。
201、R202及びR203で表される有機基の炭素数は、一般的に1~30であり、1~20が好ましい。
また、R201~R203のうち2つが結合して環構造を形成してもよく、環内に酸素原子、硫黄原子、エステル結合、アミド結合、又はカルボニル基を含んでいてもよい。R201~R203の内の2つが結合して形成する基としては、アルキレン基(例えば、ブチレン基、及びペンチレン基等)が挙げられる。
は、非求核性アニオン(求核反応を起こす能力が著しく低いアニオン)を表す。
In the above general formula (ZI)
R 201 , R 202 and R 203 each independently represent an organic group.
The number of carbon atoms of the organic group represented by R 201 , R 202 and R 203 is generally 1 to 30, preferably 1 to 20.
Further, two of R 201 to R 203 may be bonded to form a ring structure, and the ring may contain an oxygen atom, a sulfur atom, an ester bond, an amide bond, or a carbonyl group. Examples of the group formed by bonding two of R 201 to R 203 include an alkylene group (for example, a butylene group and a pentylene group).
Z - represents a non-nucleophilic anion (anion with a significantly lower ability to cause a nucleophilic reaction).

201、R202及びR203の有機基としては、アリール基、アルキル基、及びシクロアルキル基等が挙げられる。
201、R202及びR203のうち、少なくとも1つがアリール基であることが好ましく、三つ全てがアリール基であることがより好ましい。アリール基としては、フェニル基、及びナフチル基等の他に、インドール残基、及びピロール残基等のヘテロアリール基も可能である。
201~R203のアルキル基としては、炭素数1~10の直鎖状又は分岐鎖状アルキル基が好ましく、メチル基、エチル基、n-プロピル基、i-プロピル基、又はn-ブチル基がより好ましい。
201~R203のシクロアルキル基としては、炭素数3~10のシクロアルキル基が好ましく、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロヘキシル基、又はシクロへプチル基がより好ましい。
これらの基が有してもよい置換基としては、ニトロ基、フッ素原子等のハロゲン原子、カルボキシ基、水酸基、アミノ基、シアノ基、アルコキシ基(好ましくは炭素数1~15)、アルキル基(好ましくは炭素数1~15)、フッ素原子で置換されたフルオロアルキル基(好ましくは炭素数1~15であり、パーフルオロアルキル基が好ましい)、(シクロアルキル基(好ましくは炭素数3~15)、アリール基(好ましくは炭素数6~14)、アルコキシカルボニル基(好ましくは炭素数2~7)、アシル基(好ましくは炭素数2~12)、及びアルコキシカルボニルオキシ基(好ましくは炭素数2~7)等が挙げられる。
Examples of the organic group of R 201 , R 202 and R 203 include an aryl group, an alkyl group, a cycloalkyl group and the like.
Of R 201 , R 202 and R 203 , at least one is preferably an aryl group, and more preferably all three are aryl groups. As the aryl group, in addition to a phenyl group, a naphthyl group and the like, a heteroaryl group such as an indole residue and a pyrrole residue can also be used.
As the alkyl group of R 201 to R 203 , a linear or branched alkyl group having 1 to 10 carbon atoms is preferable, and a methyl group, an ethyl group, an n-propyl group, an i-propyl group, or an n-butyl group is preferable. Is more preferable.
As the cycloalkyl group of R 201 to R 203 , a cycloalkyl group having 3 to 10 carbon atoms is preferable, and a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, or a cycloheptyl group is more preferable.
Examples of the substituent that these groups may have include a halogen atom such as a nitro group and a fluorine atom, a carboxy group, a hydroxyl group, an amino group, a cyano group, an alkoxy group (preferably 1 to 15 carbon atoms), and an alkyl group (preferably having 1 to 15 carbon atoms). Preferred are 1 to 15 carbon atoms), a fluoroalkyl group substituted with a fluorine atom (preferably 1 to 15 carbon atoms, preferably a perfluoroalkyl group), (cycloalkyl group (preferably 3 to 15 carbon atoms). , Aryl group (preferably 6 to 14 carbon atoms), alkoxycarbonyl group (preferably 2 to 7 carbon atoms), acyl group (preferably 2 to 12 carbon atoms), and alkoxycarbonyloxy group (preferably 2 to 12 carbon atoms). 7) and the like.

非求核性アニオンとしては、例えば、スルホン酸アニオン(脂肪族スルホン酸アニオン、芳香族スルホン酸アニオン、及びカンファースルホン酸アニオン等)、カルボン酸アニオン(脂肪族カルボン酸アニオン、芳香族カルボン酸アニオン、及びアラルキルカルボン酸アニオン等)、スルホニルイミドアニオン、ビス(アルキルスルホニル)イミドアニオン、及びトリス(アルキルスルホニル)メチドアニオン等が挙げられる。 Examples of the non-nucleophilic anion include a sulfonic acid anion (aliphatic sulfonic acid anion, aromatic sulfonic acid anion, and camphor sulfonic acid anion, etc.) and a carboxylic acid anion (aliphatic carboxylic acid anion, aromatic carboxylic acid anion, etc.). And aralkyl carboxylic acid anion, etc.), sulfonylimide anion, bis (alkylsulfonyl) imide anion, tris (alkylsulfonyl) methide anion and the like.

脂肪族スルホン酸アニオン及び脂肪族カルボン酸アニオンにおける脂肪族部位は、アルキル基であってもシクロアルキル基であってもよく、炭素数1~30の直鎖状又は分岐鎖状のアルキル基、又は炭素数3~30のシクロアルキル基が好ましい。 The aliphatic moiety in the aliphatic sulfonic acid anion and the aliphatic carboxylic acid anion may be an alkyl group or a cycloalkyl group, and may be a linear or branched alkyl group having 1 to 30 carbon atoms. A cycloalkyl group having 3 to 30 carbon atoms is preferable.

芳香族スルホン酸アニオン及び芳香族カルボン酸アニオンにおけるアリール基としては、炭素数6~14のアリール基が好ましく、例えば、フェニル基、トリル基、及びナフチル基が挙げられる。 The aryl group in the aromatic sulfonic acid anion and the aromatic carboxylic acid anion is preferably an aryl group having 6 to 14 carbon atoms, and examples thereof include a phenyl group, a tolyl group, and a naphthyl group.

上記で挙げたアルキル基、シクロアルキル基、及びアリール基は、置換基を有していてもよい。置換基としては特に制限されないが、具体的には、ニトロ基、フッ素原子等のハロゲン原子、カルボキシ基、水酸基、アミノ基、シアノ基、アルコキシ基(好ましくは炭素数1~15)、アルキル基(好ましくは炭素数1~10)、シクロアルキル基(好ましくは炭素数3~15)、アリール基(好ましくは炭素数6~14)、アルコキシカルボニル基(好ましくは炭素数2~7)、アシル基(好ましくは炭素数2~12)、アルコキシカルボニルオキシ基(好ましくは炭素数2~7)、アルキルチオ基(好ましくは炭素数1~15)、アルキルスルホニル基(好ましくは炭素数1~15)、アルキルイミノスルホニル基(好ましくは炭素数1~15)、及びアリールオキシスルホニル基(好ましくは炭素数6~20)等が挙げられる。 The alkyl group, cycloalkyl group, and aryl group mentioned above may have a substituent. The substituent is not particularly limited, but specifically, a halogen atom such as a nitro group and a fluorine atom, a carboxy group, a hydroxyl group, an amino group, a cyano group, an alkoxy group (preferably 1 to 15 carbon atoms) and an alkyl group (preferably having 1 to 15 carbon atoms). Preferred are 1 to 10 carbon atoms), a cycloalkyl group (preferably 3 to 15 carbon atoms), an aryl group (preferably 6 to 14 carbon atoms), an alkoxycarbonyl group (preferably 2 to 7 carbon atoms), an acyl group (preferably 2 to 7 carbon atoms). Preferred are 2 to 12 carbon atoms), alkoxycarbonyloxy group (preferably 2 to 7 carbon atoms), alkylthio group (preferably 1 to 15 carbon atoms), alkylsulfonyl group (preferably 1 to 15 carbon atoms), alkylimino. Examples thereof include a sulfonyl group (preferably 1 to 15 carbon atoms), an aryloxysulfonyl group (preferably 6 to 20 carbon atoms) and the like.

アラルキルカルボン酸アニオンにおけるアラルキル基としては、炭素数7~14のアラルキル基が好ましく、例えば、ベンジル基、フェネチル基、ナフチルメチル基、ナフチルエチル基、及びナフチルブチル基が挙げられる。 As the aralkyl group in the aralkyl carboxylic acid anion, an aralkyl group having 7 to 14 carbon atoms is preferable, and examples thereof include a benzyl group, a phenethyl group, a naphthylmethyl group, a naphthylethyl group, and a naphthylbutyl group.

スルホニルイミドアニオンとしては、例えば、サッカリンアニオンが挙げられる。 Examples of the sulfonylimide anion include a saccharin anion.

ビス(アルキルスルホニル)イミドアニオン、及びトリス(アルキルスルホニル)メチドアニオンにおけるアルキル基としては、炭素数1~5のアルキル基が好ましい。これらのアルキル基の置換基としては、ハロゲン原子、ハロゲン原子で置換されたアルキル基、アルコキシ基、アルキルチオ基、アルキルオキシスルホニル基、アリールオキシスルホニル基、及びシクロアルキルアリールオキシスルホニル基が挙げられ、フッ素原子又はフッ素原子で置換されたアルキル基が好ましい。
また、ビス(アルキルスルホニル)イミドアニオンにおけるアルキル基は、互いに結合して環構造を形成してもよい。これにより、酸強度が増加する。
As the alkyl group in the bis (alkylsulfonyl) imide anion and the tris (alkylsulfonyl) methide anion, an alkyl group having 1 to 5 carbon atoms is preferable. Examples of the substituent of these alkyl groups include a halogen atom, an alkyl group substituted with a halogen atom, an alkoxy group, an alkylthio group, an alkyloxysulfonyl group, an aryloxysulfonyl group, and a cycloalkylaryloxysulfonyl group, and fluorine. Alkyl groups substituted with an atom or a fluorine atom are preferred.
Further, the alkyl groups in the bis (alkylsulfonyl) imide anion may be bonded to each other to form a ring structure. This increases the acid strength.

その他の非求核性アニオンとしては、例えば、フッ素化燐(例えば、PF )、フッ素化ホウ素(例えば、BF )、及びフッ素化アンチモン(例えば、SbF )が挙げられる。Other non-nucleophilic anions include, for example, phosphorus fluorinated (eg, PF 6- ) , boron fluorinated (eg, BF 4- ) , and antimony fluorinated (eg, SbF 6- ) .

非求核性アニオンとしては、スルホン酸の少なくともα位がフッ素原子で置換された脂肪族スルホン酸アニオン、フッ素原子若しくはフッ素原子を有する基で置換された芳香族スルホン酸アニオン、アルキル基がフッ素原子で置換されたビス(アルキルスルホニル)イミドアニオン、又はアルキル基がフッ素原子で置換されたトリス(アルキルスルホニル)メチドアニオンが好ましい。なかでも、パーフルオロ脂肪族スルホン酸アニオン(好ましくは炭素数4~8)、又はフッ素原子を有するベンゼンスルホン酸アニオンがより好ましく、ノナフルオロブタンスルホン酸アニオン、パーフルオロオクタンスルホン酸アニオン、ペンタフルオロベンゼンスルホン酸アニオン、又は3,5-ビス(トリフルオロメチル)ベンゼンスルホン酸アニオンが更に好ましい。 Examples of the non-nucleophilic anion include an aliphatic sulfonic acid anion in which at least the α-position of the sulfonic acid is substituted with a fluorine atom, an aromatic sulfonic acid anion substituted with a fluorine atom or a group having a fluorine atom, and an alkyl group being a fluorine atom. A bis (alkylsulfonyl) imide anion substituted with, or a tris (alkylsulfonyl) methide anion in which the alkyl group is substituted with a fluorine atom is preferable. Of these, perfluoroaliphatic sulfonic acid anion (preferably 4 to 8 carbon atoms) or benzenesulfonic acid anion having a fluorine atom is more preferable, and nonafluorobutane sulfonic acid anion, perfluorooctane sulfonic acid anion, and pentafluorobenzene are more preferable. Sulfonic acid anions or 3,5-bis (trifluoromethyl) benzene sulfonic acid anions are more preferred.

酸強度の観点からは、発生酸のpKaが-1以下であることが、感度向上のために好ましい。 From the viewpoint of acid strength, it is preferable that the pKa of the generated acid is -1 or less in order to improve the sensitivity.

また、非求核性アニオンとしては、以下の一般式(AN1)で表されるアニオンも好ましい。 Further, as the non-nucleophilic anion, an anion represented by the following general formula (AN1) is also preferable.

Figure 0006992166000030
Figure 0006992166000030

式中、
Xfは、それぞれ独立して、フッ素原子、又は少なくとも1つのフッ素原子で置換されたアルキル基を表す。
及びRは、それぞれ独立して、水素原子、フッ素原子、又はアルキル基を表し、複数存在する場合のR及びRは、それぞれ同一でも異なっていてもよい。
Lは、二価の連結基を表し、複数存在する場合のLは同一でも異なっていてもよい。
Aは、環状の有機基を表す。
xは1~20の整数を表し、yは0~10の整数を表し、zは0~10の整数を表す。
During the ceremony
Xf independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom.
R 1 and R 2 independently represent a hydrogen atom, a fluorine atom, or an alkyl group, and when a plurality of them are present, R 1 and R 2 may be the same or different from each other.
L represents a divalent linking group, and when a plurality of L are present, L may be the same or different.
A represents a cyclic organic group.
x represents an integer of 1 to 20, y represents an integer of 0 to 10, and z represents an integer of 0 to 10.

一般式(AN1)について、更に詳細に説明する。
Xfのフッ素原子で置換されたアルキル基におけるアルキル基の炭素数は、1~10が好ましく、1~4がより好ましい。また、Xfのフッ素原子で置換されたアルキル基としては、パーフルオロアルキル基が好ましい。
Xfとしては、フッ素原子又は炭素数1~4のパーフルオロアルキル基が好ましい。Xfの具体例としては、フッ素原子、CF、C、C、C、CHCF、CHCHCF、CH、CHCH、CH、CHCH、CH、及びCHCH等が挙げられ、なかでも、フッ素原子、又はCFが好ましい。特に、双方のXfがフッ素原子であることが好ましい。
The general formula (AN1) will be described in more detail.
The number of carbon atoms of the alkyl group in the alkyl group substituted with the fluorine atom of Xf is preferably 1 to 10, and more preferably 1 to 4. Further, as the alkyl group substituted with the fluorine atom of Xf, a perfluoroalkyl group is preferable.
As Xf, a fluorine atom or a perfluoroalkyl group having 1 to 4 carbon atoms is preferable. Specific examples of Xf include fluorine atom, CF 3 , C 2 F 5 , C 3 F 7 , C 4 F 9 , CH 2 CF 3 , CH 2 CH 2 CF 3 , CH 2 C 2 F 5 , CH 2 CH. 2 C 2 F 5 , CH 2 C 3 F 7 , CH 2 CH 2 C 3 F 7 , CH 2 C 4 F 9 , and CH 2 CH 2 C 4 F 9 , among others, fluorine atom or CF 3 is preferred. In particular, it is preferable that both Xf are fluorine atoms.

及びRのアルキル基は、置換基(好ましくはフッ素原子)を有していてもよく、置換基中の炭素数は1~4が好ましい。置換基としては、炭素数1~4のパーフルオロアルキル基が好ましい。R及びRの置換基を有するアルキル基の具体例としては、CF、C、C、C、C11、C13、C15、C17、CHCF、CHCHCF、CH、CHCH、CH、CHCH、CH、及びCHCH等が挙げられ、なかでも、CFが好ましい。
及びRとしては、フッ素原子又はCFが好ましい。
The alkyl groups of R 1 and R 2 may have a substituent (preferably a fluorine atom), and the number of carbon atoms in the substituent is preferably 1 to 4. As the substituent, a perfluoroalkyl group having 1 to 4 carbon atoms is preferable. Specific examples of alkyl groups having substituents on R 1 and R 2 include CF 3 , C 2 F 5 , C 3 F 7 , C 4 F 9 , C 5 F 11 , C 6 F 13 , and C 7 F 15 . , C 8 F 17 , CH 2 CF 3 , CH 2 CH 2 CF 3 , CH 2 C 2 F 5 , CH 2 CH 2 C 2 F 5 , CH 2 C 3 F 7 , CH 2 CH 2 C 3 F 7 , CH 2 C 4 F 9 and CH 2 CH 2 C 4 F 9 and the like can be mentioned, with CF 3 being preferred.
As R 1 and R 2 , a fluorine atom or CF 3 is preferable.

xは1~10が好ましく、1~5がより好ましい。
yは0~4が好ましく、0がより好ましい。
zは0~5が好ましく、0~3がより好ましい。
Lの2価の連結基としては特に制限されず、-COO-、-OCO-、-CO-、-O-、-S―、-SO-、-SO-、アルキレン基、シクロアルキレン基、アルケニレン基、及びこれらの複数が連結した連結基等が挙げられ、総炭素数12以下の連結基が好ましい。なかでも、-COO-、-OCO-、-CO-、又は-O-が好ましく、-COO-、又は-OCO-がより好ましい。
x is preferably 1 to 10, more preferably 1 to 5.
y is preferably 0 to 4, more preferably 0.
z is preferably 0 to 5, more preferably 0 to 3.
The divalent linking group of L is not particularly limited, and -COO-, -OCO-, -CO-, -O-, -S-, -SO-, -SO 2- , alkylene group, cycloalkylene group, Examples thereof include an alkaneylene group and a linking group in which a plurality of these are linked, and a linking group having a total carbon number of 12 or less is preferable. Of these, -COO-, -OCO-, -CO-, or -O- is preferable, and -COO- or -OCO- is more preferable.

Aの環状の有機基としては、環状構造を有するものであれば特に制限されず、脂環基、芳香環基、及び複素環基(芳香族性を有するものだけでなく、芳香族性を有さないものも含む)等が挙げられる。
脂環基としては、単環でも多環でもよく、シクロペンチル基、シクロヘキシル基、及びシクロオクチル基等の単環のシクロアルキル基が好ましく、その他にも、ノルボルニル基、トリシクロデカニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。なかでも、ノルボルニル基、トリシクロデカニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の炭素数7以上のかさ高い構造を有する脂環基が、露光後加熱工程での膜中拡散性を抑制でき、MEEF(Mask Error Enhancement Factor)向上の観点から好ましい。
芳香環基としては、ベンゼン環、ナフタレン環、フェナンスレン環、及びアントラセン環等が挙げられる。
複素環基としては、フラン環、チオフェン環、ベンゾフラン環、ベンゾチオフェン環、ジベンゾフラン環、ジベンゾチオフェン環、及びピリジン環等由来のものが挙げられる。なかでも、フラン環、チオフェン環、又はピリジン環由来のものが好ましい。
The cyclic organic group of A is not particularly limited as long as it has a cyclic structure, and has an alicyclic group, an aromatic ring group, and a heterocyclic group (not only those having aromaticity but also aromaticity). (Including those that do not), etc.
The alicyclic group may be monocyclic or polycyclic, and a monocyclic cycloalkyl group such as a cyclopentyl group, a cyclohexyl group and a cyclooctyl group is preferable, and in addition, a norbornyl group, a tricyclodecanyl group and a tetracyclo Polycyclic cycloalkyl groups such as a decanyl group, a tetracyclododecanyl group, and an adamantyl group are preferred. Among them, alicyclic groups having a bulky structure having 7 or more carbon atoms, such as a norbornyl group, a tricyclodecanyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group, are used in the post-exposure heating step. It is preferable from the viewpoint of improving MEEF (Mask Error Enhancement Factor) because it can suppress the diffusivity in the membrane.
Examples of the aromatic ring group include a benzene ring, a naphthalene ring, a phenanthrene ring, an anthracene ring and the like.
Examples of the heterocyclic group include those derived from a furan ring, a thiophene ring, a benzofuran ring, a benzothiophene ring, a dibenzofuran ring, a dibenzothiophene ring, a pyridine ring and the like. Of these, those derived from a furan ring, a thiophene ring, or a pyridine ring are preferable.

また、環状の有機基としては、ラクトン構造も挙げられ、具体例としては、前述の一般式(LC1-1)~(LC1-17)で表されるラクトン構造が挙げられる。 Further, examples of the cyclic organic group include a lactone structure, and specific examples thereof include lactone structures represented by the above-mentioned general formulas (LC1-1) to (LC1-17).

上記環状の有機基は、置換基を有していてもよい。上記置換基としては、アルキル基(直鎖状、分岐鎖状、及び環状のいずれであってもよく、炭素数1~12が好ましい。)、シクロアルキル基(単環、及び多環のいずれであってもよく、多環である場合スピロ環であってもよい。炭素数は3~20が好ましい。)、アリール基(炭素数6~14が好ましい。)、水酸基、アルコキシ基、エステル基、アミド基、ウレタン基、ウレイド基、チオエーテル基、スルホンアミド基、及びスルホン酸エステル基等が挙げられる。なお、環状の有機基を構成する炭素(環形成に寄与する炭素)はカルボニル炭素であってもよい。 The cyclic organic group may have a substituent. The substituent may be an alkyl group (which may be linear, branched, or cyclic, preferably 1 to 12 carbon atoms) or a cycloalkyl group (single ring or polycyclic). It may be present, and if it is a polycycle, it may be a spiro ring. The carbon number is preferably 3 to 20.), An aryl group (preferably 6 to 14 carbon atoms), a hydroxyl group, an alkoxy group, an ester group, and the like. Examples thereof include an amide group, a urethane group, a ureido group, a thioether group, a sulfonamide group, and a sulfonic acid ester group. The carbon constituting the cyclic organic group (carbon contributing to ring formation) may be a carbonyl carbon.

一般式(ZII)、及び一般式(ZIII)中、
204~R207は、それぞれ独立して、アリール基、アルキル基、又はシクロアルキル基を表す。
In the general formula (ZII) and the general formula (ZIII),
R 204 to R 207 independently represent an aryl group, an alkyl group, or a cycloalkyl group.

204~R207のアリール基、アルキル基、及びシクロアルキル基としては、前述の一般式(ZI)におけるR201~R203のアリール基、アルキル基、及びシクロアルキル基として説明した基と同様である。
204~R207のアリール基、アルキル基、及びシクロアルキル基が有していてもよい置換基としては、上述の化合物(ZI)におけるR201~R203のアリール基、アルキル基、及びシクロアルキル基が有していてもよい置換基と同じであり、好適態様も同じである。
The aryl group, alkyl group, and cycloalkyl group of R 204 to R 207 are the same as the groups described as the aryl group, alkyl group, and cycloalkyl group of R 201 to R 203 in the above general formula (ZI). be.
The substituents that the aryl group, alkyl group, and cycloalkyl group of R 204 to R 207 may have include the aryl group, alkyl group, and cycloalkyl of R 201 to R 203 in the above-mentioned compound (ZI). It is the same as the substituent that the group may have, and the preferred embodiment is also the same.

は、非求核性アニオンを表し、一般式(ZI)におけるZの非求核性アニオンと同義であり、好適態様も同じである。Z represents a non-nucleophilic anion, which is synonymous with the non-nucleophilic anion of Z in the general formula (ZI), and the preferred embodiment is also the same.

また、光酸発生剤としては、露光で発生した酸の非露光部への拡散を抑制して解像性をより良好にする点においては、電子線又は極紫外線の照射により、体積130Å以上の大きさの酸(より好ましくはスルホン酸)を発生する化合物が好ましい。光酸発生剤としては、なかでも、体積190Å以上の大きさの酸(より好ましくはスルホン酸)を発生する化合物がより好ましく、体積270Å以上の大きさの酸(より好ましくはスルホン酸)を発生する化合物が更に好ましく、体積400Å以上の大きさの酸(より好ましくはスルホン酸)を発生する化合物が特に好ましい。ただし、感度又は塗布溶剤溶解性の観点においては、上記体積は2000Å以下が好ましく、1500Å以下がより好ましい。なお、上記体積の値は、富士通株式会社製の「WinMOPAC」を用いて求められる。
体積の値の計算にあたっては、まず、各例に係る酸の化学構造を入力し、次に、この構造を初期構造としてMM(Molecular Mechanics)3法を用いた分子力場計算により、各酸の最安定立体配座を決定し、その後、これら最安定立体配座についてPM3法を用いた分子軌道計算を行うことにより、各酸の「accessible volume」を計算できる。
In addition, as a photoacid generator, the volume of 130 Å 3 or more is achieved by irradiation with electron beam or extreme ultraviolet rays in terms of suppressing the diffusion of the acid generated by exposure to the non-exposed portion and improving the resolution. Compounds that generate acids of the size of (more preferably sulfonic acid) are preferred. As the photoacid generator, a compound that generates an acid having a volume of 190 Å 3 or more (more preferably sulfonic acid) is more preferable, and an acid having a volume of 270 Å 3 or more (more preferably sulfonic acid) is more preferable. A compound that generates an acid (more preferably, a sulfonic acid) having a volume of 400 Å 3 or more is particularly preferable. However, from the viewpoint of sensitivity or solubility in the coating solvent, the volume is preferably 2000 Å 3 or less, and more preferably 1500 Å 3 or less. The value of the volume is obtained by using "WinMOPAC" manufactured by Fujitsu Limited.
In calculating the volume value, first, the chemical structure of the acid according to each example is input, and then the molecular force field calculation using the MM (Molecular Mechanics) 3 method with this structure as the initial structure is performed for each acid. The "accessible volume" of each acid can be calculated by determining the most stable conformation and then performing molecular orbital calculations for these most stable conformations using the PM3 method.

以下に、光酸発生剤により発生する酸(アニオン部にプロトンが結合した酸)とその体積の具体例を示すが、本発明はこれに制限されるものではない。なお、下記例示中に示される体積は計算値(単位Å)である。また、1Åは1×10-10mである。Hereinafter, specific examples of the acid generated by the photoacid generator (acid in which a proton is bonded to the anion portion) and its volume are shown, but the present invention is not limited thereto. The volume shown in the following example is a calculated value (unit: Å 3 ). Also, 1 Å is 1 × 10 -10 m.

Figure 0006992166000031
Figure 0006992166000031

Figure 0006992166000032
Figure 0006992166000032

Figure 0006992166000033
Figure 0006992166000033

光酸発生剤としては、特開2014-41328号公報の段落<0368>~<0377>、及び特開2013-228681号公報の段落<0240>~<0262>(対応する米国特許出願公開第2015/004533号明細書の<0339>)が援用でき、これらの内容は本明細書に組み込まれる。また、好ましい具体例として以下の化合物が挙げられるが、これらに制限されるものではない。 Examples of the photoacid generator include paragraphs <0368> to <0377> of Japanese Patent Application Laid-Open No. 2014-41328 and paragraphs <0240> to <0262> of Japanese Patent Application Laid-Open No. 2013-228681 (corresponding US Patent Application Publication No. 2015). / 004533 <0339>) can be incorporated and these contents are incorporated herein. In addition, the following compounds can be mentioned as preferable specific examples, but the present invention is not limited thereto.

Figure 0006992166000034
Figure 0006992166000034

Figure 0006992166000035
Figure 0006992166000035

Figure 0006992166000036
Figure 0006992166000036

光酸発生剤は、1種単独で使用してもよいし、2種以上を併用してもよい。
本発明の組成物中、光酸発生剤の含有量(複数種存在する場合はその合計)は、組成物の全固形分に対して、0.1~50.0質量%が好ましく、5.0~40.0質量%がより好ましく、5.0~35.0質量%が更に好ましい。
The photoacid generator may be used alone or in combination of two or more.
In the composition of the present invention, the content of the photoacid generator (if a plurality of types are present, the total thereof) is preferably 0.1 to 50.0% by mass with respect to the total solid content of the composition. 0 to 40.0% by mass is more preferable, and 5.0 to 35.0% by mass is further preferable.

〔酸拡散制御剤〕
本発明の組成物は、本発明の効果を妨げない範囲で、酸拡散制御剤を含んでいてもよい。
酸拡散制御剤は、露光時に酸発生剤等から発生する酸をトラップし、余分な発生酸による、未露光部における酸分解性樹脂の反応を抑制するクエンチャーとして作用するものである。酸拡散制御剤としては、例えば、塩基性化合物(DA)、活性光線又は放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)、酸発生剤に対して相対的に弱酸となるオニウム塩(DC)、窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)、又はカチオン部に窒素原子を有するオニウム塩化合物(DE)等を酸拡散制御剤として使用できる。
本発明の組成物においては、公知の酸拡散制御剤を適宜使用できる。例えば、米国特許出願公開2016/0070167A1号明細書の段落<0627>~<0664>、米国特許出願公開2015/0004544A1号明細書の段落<0095>~<0187>、米国特許出願公開2016/0237190A1号明細書の段落<0403>~[0423]、及び、米国特許出願公開2016/0274458A1号明細書の段落<0259>~<0328>に開示された公知の化合物を酸拡散制御剤として好適に使用できる。
[Acid diffusion control agent]
The composition of the present invention may contain an acid diffusion control agent as long as the effects of the present invention are not impaired.
The acid diffusion control agent acts as a quencher that traps the acid generated from the acid generator or the like at the time of exposure and suppresses the reaction of the acid-decomposable resin in the unexposed portion due to the excess generated acid. Examples of the acid diffusion control agent include a basic compound (DA), a basic compound (DB) whose basicity is reduced or disappears by irradiation with active light or radiation, and onium which is a relatively weak acid with respect to an acid generator. A salt (DC), a low molecular weight compound (DD) having a nitrogen atom and having a group desorbed by the action of an acid, or an onium salt compound (DE) having a nitrogen atom in the cation part is used as an acid diffusion control agent. can.
In the composition of the present invention, a known acid diffusion control agent can be appropriately used. For example, paragraphs <0627> to <0664> of US Patent Application Publication No. 2016/0070167A1, paragraphs <0995> to <0187> of US Patent Application Publication No. 2015/0004544A1, US Patent Application Publication No. 2016/0237190A1. The known compounds disclosed in paragraphs <0403> to [0423] of the specification and paragraphs <0259> to <0328> of US Patent Application Publication No. 2016/02744558A1 can be suitably used as the acid diffusion control agent. ..

塩基性化合物(DA)としては、下記式(A)~(E)で示される構造を有する化合物が好ましい。 As the basic compound (DA), a compound having a structure represented by the following formulas (A) to (E) is preferable.

Figure 0006992166000037
Figure 0006992166000037

一般式(A)中、R200、R201及びR202は、それぞれ独立して、水素原子、アルキル基(好ましくは炭素数1~20)、シクロアルキル基(好ましくは炭素数3~20)、又はアリール基(好ましくは炭素数6~20)を表す。R201とR202とは、互いに結合して環を形成してもよい。
一般式(E)中、R203、R204、R205及びR206は、それぞれ独立して、炭素数1~20のアルキル基を表す。
In the general formula (A), R200 , R201 and R202 are independently hydrogen atoms, alkyl groups (preferably 1 to 20 carbon atoms), cycloalkyl groups (preferably 3 to 20 carbon atoms), respectively. Alternatively, it represents an aryl group (preferably 6 to 20 carbon atoms). R 201 and R 202 may be coupled to each other to form a ring.
In the general formula (E), R 203 , R 204 , R 205 and R 206 each independently represent an alkyl group having 1 to 20 carbon atoms.

一般式(A)及び(E)中のアルキル基は、置換基を有していても無置換であってもよい。
上記アルキル基について、置換基を有するアルキル基としては、炭素数1~20のアミノアルキル基、炭素数1~20のヒドロキシアルキル基、又は炭素数1~20のシアノアルキル基が好ましい。
一般式(A)及び(E)中のアルキル基は、無置換であることがより好ましい。
The alkyl group in the general formulas (A) and (E) may have a substituent or may be unsubstituted.
Regarding the above alkyl group, as the alkyl group having a substituent, an aminoalkyl group having 1 to 20 carbon atoms, a hydroxyalkyl group having 1 to 20 carbon atoms, or a cyanoalkyl group having 1 to 20 carbon atoms is preferable.
It is more preferable that the alkyl groups in the general formulas (A) and (E) are unsubstituted.

塩基性化合物(DA)としては、グアニジン、アミノピロリジン、ピラゾール、ピラゾリン、ピペラジン、アミノモルホリン、アミノアルキルモルフォリン、又はピペリジン等が好ましく、イミダゾール構造、ジアザビシクロ構造、オニウムヒドロキシド構造、オニウムカルボキシレート構造、トリアルキルアミン構造、アニリン構造若しくはピリジン構造を有する化合物、水酸基及び/若しくはエーテル結合を有するアルキルアミン誘導体、又は、水酸基及び/若しくはエーテル結合を有するアニリン誘導体等がより好ましい。 As the basic compound (DA), guanidine, aminopyrrolidine, pyrazole, pyrazoline, piperazine, aminomorpholin, aminoalkylmorpholin, piperidine and the like are preferable, and imidazole structure, diazabicyclo structure, onium hydroxide structure, onium carboxylate structure, etc. A trialkylamine structure, a compound having an aniline structure or a pyridine structure, an alkylamine derivative having a hydroxyl group and / or an ether bond, an aniline derivative having a hydroxyl group and / or an ether bond, and the like are more preferable.

イミダゾール構造を有する化合物としては、イミダゾール、2、4、5-トリフェニルイミダゾール、及びベンズイミダゾール等が挙げられる。
ジアザビシクロ構造を有する化合物としては、1、4-ジアザビシクロ[2,2,2]オクタン、1、5-ジアザビシクロ[4,3,0]ノナ-5-エン、及び1、8-ジアザビシクロ[5,4,0]ウンデカ-7-エン等が挙げられる。
オニウムヒドロキシド構造を有する化合物としては、トリアリールスルホニウムヒドロキシド、フェナシルスルホニウムヒドロキシド、及び2-オキソアルキル基を有するスルホニウムヒドロキシド等(具体的にはトリフェニルスルホニウムヒドロキシド、トリス(t-ブチルフェニル)スルホニウムヒドロキシド、ビス(t-ブチルフェニル)ヨードニウムヒドロキシド、フェナシルチオフェニウムヒドロキシド、及び2-オキソプロピルチオフェニウムヒドロキシド等)が挙げられる。
オニウムカルボキシレート構造を有する化合物としては、オニウムヒドロキシド構造を有する化合物のアニオン部がカルボキシレートになったものであり、例えばアセテート、アダマンタン-1-カルボキシレート、及びパーフルオロアルキルカルボキシレート等が挙げられる。
トリアルキルアミン構造を有する化合物としては、トリ(n-ブチル)アミン、及びトリ(n-オクチル)アミン等が挙げられる。
アニリン構造若しくはピリジン構造を有する化合物としては、2,6-ジイソプロピルアニリン、N,N-ジメチルアニリン、N,N-ジブチルアニリン、及びN,N-ジヘキシルアニリン等が挙げられる。
水酸基及び/又はエーテル結合を有するアルキルアミン誘導体としては、エタノールアミン、ジエタノールアミン、トリエタノールアミン、及びトリス(メトキシエトキシエチル)アミン等が挙げられる。
水酸基及び/又はエーテル結合を有するアニリン誘導体としては、N,N-ビス(ヒドロキシエチル)アニリン等が挙げられる。
Examples of the compound having an imidazole structure include imidazole, 2,4,5-triphenylimidazole, benzimidazole and the like.
Compounds having a diazabicyclo structure include 1,4-diazabicyclo [2,2,2] octane, 1,5-diazabicyclo [4,3,0] nona-5-ene, and 1,8-diazabicyclo [5,4]. , 0] Undeca-7-en and the like.
Examples of the compound having an onium hydroxide structure include triarylsulfonium hydroxide, phenacylsulfoniumhydroxydo, and sulfonium hydroxide having a 2-oxoalkyl group (specifically, triphenylsulfonium hydroxide and tris (t-butyl). Phenyl) sulfonium hydroxide, bis (t-butylphenyl) iodonium hydroxide, phenacylthiophenium hydroxide, 2-oxopropylthiophenium hydroxide, etc.) can be mentioned.
Examples of the compound having an onium carboxylate structure include those in which the anion portion of the compound having an onium hydroxide structure is carboxylated, and examples thereof include acetate, adamantane-1-carboxylate, and perfluoroalkyl carboxylate. ..
Examples of the compound having a trialkylamine structure include tri (n-butyl) amine and tri (n-octyl) amine.
Examples of the compound having an aniline structure or a pyridine structure include 2,6-diisopropylaniline, N, N-dimethylaniline, N, N-dibutylaniline, N, N-dihexylaniline and the like.
Examples of the alkylamine derivative having a hydroxyl group and / or an ether bond include ethanolamine, diethanolamine, triethanolamine, tris (methoxyethoxyethyl) amine and the like.
Examples of the aniline derivative having a hydroxyl group and / or an ether bond include N, N-bis (hydroxyethyl) aniline and the like.

また、塩基性化合物(DA)としては、超有機塩基も使用できる。
超有機塩基としては、例えば、テトラメチルグアニジン及びポリグアニジン等のグアニジン塩基類(グアニジン及びグアニジン誘導体としてその置換体とポリグアニド類を含む。)、ジアザビシクロノネン(DBN)、ジアザビシクロウンデセン(DBU)、トリアザビシクロデセン(TBD)、N-メチル-トリアザビシクロデセン(MTBD)等に代表されるアミジン系及びグアニジン系多窒素多複素環状化合物及びそれらのポリマー担持強塩基類、フォスファゼン(Schweisinger)塩基類、並びにプロアザフォスファトラン(Verkade)塩基類が挙げられる。
Further, as the basic compound (DA), a super organic base can also be used.
Examples of the superorganic base include guanidine bases such as tetramethylguanidine and polyguanidine (including guanidine and its variants and polyguanides as guanidine derivatives), diazabicyclononene (DBN), and diazabicycloundecene (diazabicycloundecene). DBU), guanidine-based and guanidine-based polynitrogen polycomplex cyclic compounds typified by triazabicyclodecene (TBD), N-methyl-triazabicyclodecene (MTBD), etc., and their polymer-bearing strong bases, Schweissinger. ) Bases, as well as Proazaphosphatlan (Verkade) bases.

また、塩基性化合物(DA)としては、アミン化合物、及びアンモニウム塩化合物も使用できる。 Further, as the basic compound (DA), an amine compound and an ammonium salt compound can also be used.

アミン化合物としては、1級、2級、及び3級のアミン化合物が挙げられ、窒素原子に1つ以上のアルキル基(好ましくは炭素数1~20)が結合しているアミン化合物が好ましく、なかでも、3級アミン化合物がより好ましい。
なお、アミン化合物が2級、又は3級アミン化合物である場合、アミン化合物中の窒素原子に結合する基としては、上述したアルキル基のほかに、例えば、シクロアルキル基(好ましくは炭素数3~20)、及びアリール基(好ましくは炭素数6~12)等が挙げられる。
また、アミン化合物は、オキシアルキレン基を含んでいることが好ましい。オキシアルキレン基の数は、分子内に1以上が好ましく、3~9がより好ましく、4~6が更に好ましい。オキシアルキレン基のなかでもオキシエチレン基(-CHCHO-)、又はオキシプロピレン基(-CH(CH)CHO-若しくはCHCHCHO-)が好ましく、オキシエチレン基がより好ましい。
Examples of the amine compound include primary, secondary and tertiary amine compounds, and amine compounds in which one or more alkyl groups (preferably 1 to 20 carbon atoms) are bonded to a nitrogen atom are preferable. However, tertiary amine compounds are more preferred.
When the amine compound is a secondary or tertiary amine compound, the group bonded to the nitrogen atom in the amine compound may be, for example, a cycloalkyl group (preferably having 3 to 3 carbon atoms) in addition to the above-mentioned alkyl group. 20), an aryl group (preferably 6 to 12 carbon atoms) and the like can be mentioned.
Further, the amine compound preferably contains an oxyalkylene group. The number of oxyalkylene groups is preferably 1 or more in the molecule, more preferably 3 to 9, and even more preferably 4 to 6. Among the oxyalkylene groups, an oxyethylene group (-CH 2 CH 2 O-) or an oxypropylene group (-CH (CH 3 ) CH 2 O- or CH 2 CH 2 CH 2 O-) is preferable, and an oxyethylene group. Is more preferable.

アンモニウム塩化合物としては、1級、2級、3級、及び4級のアンモニウム塩化合物が挙げられ、窒素原子に1つ以上のアルキル基が結合しているアンモニウム塩化合物が好ましい。
なお、アンモニウム塩化合物が2級、3級、又は4級アンモニウム塩化合物である場合、アンモニウム塩化合物中の窒素原子に結合する基としては、上述したアルキル基のほかに、例えば、シクロアルキル基(好ましくは炭素数3~20)、及びアリール基(好ましくは炭素数6~12)等が挙げられる。
また、アンモニウム塩化合物は、オキシアルキレン基を含んでいることが好ましい。オキシアルキレン基の数は、分子内に1以上が好ましく、3~9がより好ましく、4~6が更に好ましい。オキシアルキレン基のなかでもオキシエチレン基(-CHCHO-)、又はオキシプロピレン基(-CH(CH)CHO-、又は-CHCHCHO-)が好ましく、オキシエチレン基がより好ましい。
Examples of the ammonium salt compound include primary, secondary, tertiary and quaternary ammonium salt compounds, and an ammonium salt compound in which one or more alkyl groups are bonded to a nitrogen atom is preferable.
When the ammonium salt compound is a secondary, tertiary or quaternary ammonium salt compound, the group bonded to the nitrogen atom in the ammonium salt compound may be, for example, a cycloalkyl group in addition to the above-mentioned alkyl group. Preferred examples thereof include 3 to 20 carbon atoms) and an aryl group (preferably 6 to 12 carbon atoms).
Further, the ammonium salt compound preferably contains an oxyalkylene group. The number of oxyalkylene groups is preferably 1 or more in the molecule, more preferably 3 to 9, and even more preferably 4 to 6. Among the oxyalkylene groups, an oxyethylene group (-CH 2 CH 2 O-) or an oxypropylene group (-CH (CH 3 ) CH 2 O- or -CH 2 CH 2 CH 2 O-) is preferable, and oxy Ethylene groups are more preferred.

アンモニウム塩化合物のアニオンとしては、ハロゲン原子、スルホネート、ボレート、及びフォスフェート等が挙げられ、なかでも、ハロゲン原子、又はスルホネートが好ましい。
ハロゲン原子としては、塩素原子、臭素原子、又はヨウ素原子が好ましい。
スルホネートとしては、炭素数1~20の有機スルホネートが好ましく、具体的には、炭素数1~20のアルキルスルホネート、及びアリールスルホネートが挙げられる。アルキルスルホネートのアルキル基は置換基を有していてもよく、置換基としては、例えばフッ素原子、塩素原子、臭素原子、アルコキシ基、アシル基、及び芳香環基等が挙げられる。アルキルスルホネートとしては、例えば、メタンスルホネート、エタンスルホネート、ブタンスルホネート、ヘキサンスルホネート、オクタンスルホネート、ベンジルスルホネート、トリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、及びノナフルオロブタンスルホネート等が挙げられる。また、アリールスルホネートのアリール基としては、ベンゼン環基、ナフタレン環基、及びアントラセン環基が挙げられる。ベンゼン環基、ナフタレン環基、及びアントラセン環基が有していてもよい置換基としては、炭素数1~6のアルキル基(直鎖状及び分岐鎖状のいずれでもよい。)、又は炭素数3~6のシクロアルキル基が好ましい。上記アルキル基、及び上記シクロアルキル基としては、具体的には、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、i-ブチル基、t-ブチル基、n-ヘキシル基、及びシクロヘキシル基等が挙げられる。
上記アルキル基、及び上記シクロアルキル基としては、更に他の置換基を有していてもよく、例えば、炭素数1~6のアルコキシ基、ハロゲン原子、シアノ基、ニトロ基、アシル基、及びアシルオキシ基等が挙げられる。
Examples of the anion of the ammonium salt compound include a halogen atom, a sulfonate, a borate, and a phosphate, and among them, a halogen atom or a sulfonate is preferable.
As the halogen atom, a chlorine atom, a bromine atom, or an iodine atom is preferable.
The sulfonate is preferably an organic sulfonate having 1 to 20 carbon atoms, and specific examples thereof include an alkyl sulfonate having 1 to 20 carbon atoms and an aryl sulfonate. The alkyl group of the alkyl sulfonate may have a substituent, and examples of the substituent include a fluorine atom, a chlorine atom, a bromine atom, an alkoxy group, an acyl group, an aromatic ring group and the like. Examples of the alkyl sulfonate include methane sulfonate, ethane sulfonate, butane sulfonate, hexane sulfonate, octane sulfonate, benzyl sulfonate, trifluoromethane sulfonate, pentafluoroethane sulfonate, and nonafluorobutane sulfonate. Examples of the aryl group of the aryl sulfonate include a benzene ring group, a naphthalene ring group, and an anthracene ring group. The substituent that the benzene ring group, the naphthalene ring group, and the anthracene ring group may have is an alkyl group having 1 to 6 carbon atoms (either linear or branched chain) or a branched group. 3-6 cycloalkyl groups are preferred. Specific examples of the alkyl group and the cycloalkyl group include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an i-butyl group, a t-butyl group and an n-hexyl group. , And a cyclohexyl group and the like.
The alkyl group and the cycloalkyl group may further have another substituent, for example, an alkoxy group having 1 to 6 carbon atoms, a halogen atom, a cyano group, a nitro group, an acyl group, and an acyloxy. The group etc. can be mentioned.

また、塩基性化合物(DA)としては、フェノキシ基を有するアミン化合物、及びフェノキシ基を有するアンモニウム塩化合物も使用できる。 Further, as the basic compound (DA), an amine compound having a phenoxy group and an ammonium salt compound having a phenoxy group can also be used.

フェノキシ基を有するアミン化合物、及びフェノキシ基を有するアンモニウム塩化合物とは、上述したアミン化合物又は上述したアンモニウム塩化合物のアルキル基の窒素原子とは反対側の末端にフェノキシ基を有するものである。
フェノキシ基の置換基としては、例えば、アルキル基、アルコキシ基、ハロゲン原子、シアノ基、ニトロ基、カルボキシ基、カルボン酸エステル基、スルホン酸エステル基、アリール基、アラルキル基、アシルオキシ基、及びアリールオキシ基等が挙げられる。置換基の置換位は、2~6位のいずれであってもよい。置換基の数は、1~5のいずれであってもよい。
The amine compound having a phenoxy group and the ammonium salt compound having a phenoxy group have a phenoxy group at the terminal opposite to the nitrogen atom of the alkyl group of the above-mentioned amine compound or the above-mentioned ammonium salt compound.
Examples of the substituent of the phenoxy group include an alkyl group, an alkoxy group, a halogen atom, a cyano group, a nitro group, a carboxy group, a carboxylic acid ester group, a sulfonic acid ester group, an aryl group, an aralkyl group, an acyloxy group, and an aryloxy group. The group etc. can be mentioned. The substitution position of the substituent may be any of 2 to 6 positions. The number of substituents may be any of 1 to 5.

フェノキシ基を有するアミン化合物及びフェノキシ基を有するアンモニウム塩化合物は、フェノキシ基と窒素原子との間に、少なくとも1つのオキシアルキレン基を含むことが好ましい。オキシアルキレン基の数は、分子内に1以上が好ましく、3~9がより好ましく、4~6が更に好ましい。オキシアルキレン基のなかでもオキシエチレン基(-CHCHO-)、又はオキシプロピレン基(-CH(CH)CHO-又は-CHCHCHO-)が好ましく、オキシエチレン基がより好ましい。The amine compound having a phenoxy group and the ammonium salt compound having a phenoxy group preferably contain at least one oxyalkylene group between the phenoxy group and the nitrogen atom. The number of oxyalkylene groups is preferably 1 or more in the molecule, more preferably 3 to 9, and even more preferably 4 to 6. Among the oxyalkylene groups, an oxyethylene group (-CH 2 CH 2 O-) or an oxypropylene group (-CH (CH 3 ) CH 2 O- or -CH 2 CH 2 CH 2 O-) is preferable, and oxyethylene. Groups are more preferred.

フェノキシ基を有するアミン化合物は、フェノキシ基を有する1又は2級アミン及びハロアルキルエーテルを加熱して反応させた後、反応系に強塩基(例えば、水酸化ナトリウム、水酸化カリウム、及びテトラアルキルアンモニウム等)の水溶液を添加し、更に、有機溶剤(例えば、酢酸エチル及びクロロホルム等)で反応生成物を抽出することにより得られる。又は、1又は2級アミンと末端にフェノキシ基を有するハロアルキルエーテルを加熱して反応させた後、反応系に強塩基の水溶液を添加し、更に、有機溶剤で反応生成物を抽出することにより得られる。 The amine compound having a phenoxy group is prepared by heating and reacting a primary or secondary amine having a phenoxy group with a haloalkyl ether, and then subjecting the reaction system to a strong base (for example, sodium hydroxide, potassium hydroxide, tetraalkylammonium, etc.). ) Is added, and the reaction product is further extracted with an organic solvent (for example, ethyl acetate, chloroform, etc.). Alternatively, it can be obtained by heating and reacting a haloalkyl ether having a phenoxy group at the terminal with a primary or secondary amine, adding an aqueous solution of a strong base to the reaction system, and further extracting the reaction product with an organic solvent. Be done.

活性光線又は放射線の照射により塩基性が低下又は消失する化合物(DB)(以下、「化合物(DB)」ともいう。)は、プロトンアクセプター性官能基を有し、かつ、活性光線又は放射線の照射により分解して、プロトンアクセプター性が低下、消失、又はプロトンアクセプター性から酸性に変化する化合物である。 A compound (DB) whose basicity is reduced or disappears by irradiation with active light or radiation (hereinafter, also referred to as “compound (DB)”) has a proton-accepting functional group and is of active light or radiation. It is a compound that decomposes by irradiation and whose proton acceptor property is reduced, disappears, or changes from proton acceptor property to acidity.

プロトンアクセプター性官能基とは、プロトンと静電的に相互作用し得る基、又は電子を有する官能基であって、例えば、環状ポリエーテル等のマクロサイクリック構造を有する官能基、又はπ共役に寄与しない非共有電子対をもった窒素原子を有する官能基を意味する。π共役に寄与しない非共有電子対を有する窒素原子とは、例えば、下記一般式に示す部分構造を有する窒素原子である。 A proton-accepting functional group is a group capable of electrostatically interacting with a proton or a functional group having an electron, for example, a functional group having a macrocyclic structure such as a cyclic polyether, or a π-conjugated group. Means a functional group having a nitrogen atom with an unshared electron pair that does not contribute to. The nitrogen atom having an unshared electron pair that does not contribute to π conjugation is, for example, a nitrogen atom having a partial structure shown in the following general formula.

Figure 0006992166000038
Figure 0006992166000038

プロトンアクセプター性官能基の好ましい部分構造として、例えば、クラウンエーテル構造、アザクラウンエーテル構造、1~3級アミン構造、ピリジン構造、イミダゾール構造、及びピラジン構造等が挙げられる。 Preferred partial structures of the proton acceptor functional group include, for example, a crown ether structure, an aza-crown ether structure, a primary to tertiary amine structure, a pyridine structure, an imidazole structure, a pyrazine structure and the like.

化合物(DB)は、活性光線又は放射線の照射により分解してプロトンアクセプター性が低下若しくは消失、又はプロトンアクセプター性から酸性に変化した化合物を発生する。ここで、プロトンアクセプター性の低下若しくは消失、又はプロトンアクセプター性から酸性への変化とは、プロトンアクセプター性官能基にプロトンが付加することに起因するプロトンアクセプター性の変化であり、具体的には、プロトンアクセプター性官能基を有する化合物(DB)とプロトンからプロトン付加体が生成するとき、その化学平衡における平衡定数が減少することを意味する。
プロトンアクセプター性は、pH測定を行うことによって確認できる。
The compound (DB) is decomposed by irradiation with active light or radiation to generate a compound whose proton acceptor property is reduced or eliminated, or whose proton acceptor property is changed to acidic. Here, the decrease or disappearance of the proton acceptor property, or the change from the proton acceptor property to the acidity is a change in the proton acceptor property due to the addition of a proton to the proton acceptor property functional group. In particular, it means that when a proton adduct is formed from a compound (DB) having a proton acceptor functional group and a proton, the equilibrium constant in its chemical equilibrium decreases.
The proton acceptor property can be confirmed by measuring the pH.

化合物(DB)の具体例としては、例えば、特開2014-41328号公報の段落<0421>~<0428>、及び特開2014-134686号公報の段落<0108>~<0116>に記載されたものを援用することができ、これらの内容は本明細書に組み込まれる。 Specific examples of the compound (DB) are described in, for example, paragraphs <0421> to <0428> of JP-A-2014-413328 and paragraphs <0108>-<0116> of JP-A-2014-134686. Those may be incorporated and these contents are incorporated herein.

本発明の組成物では、酸発生剤に対して相対的に弱酸となるオニウム塩(DC)を酸拡散制御剤として使用できる。
酸発生剤と、酸発生剤から生じた酸に対して相対的に弱酸である酸を発生するオニウム塩とを混合して用いた場合、活性光線性又は放射線の照射により酸発生剤から生じた酸が未反応の弱酸アニオンを有するオニウム塩と衝突すると、塩交換により弱酸を放出して強酸アニオンを有するオニウム塩を生じる。この過程で強酸がより触媒能の低い弱酸に交換されるため、見かけ上、酸が失活して酸拡散の制御を行うことができる。
In the composition of the present invention, an onium salt (DC), which is a weak acid relative to the acid generator, can be used as the acid diffusion control agent.
When an acid generator and an onium salt that generates an acid that is relatively weak to the acid generated from the acid generator are mixed and used, the acid generator is generated by active light or irradiation with radiation. When the acid collides with an onium salt having an unreacted weak acid anion, salt exchange releases the weak acid to produce an onium salt with a strong acid anion. In this process, the strong acid is replaced with a weak acid having a lower catalytic ability, so that the acid is apparently inactivated and the acid diffusion can be controlled.

酸発生剤に対して相対的に弱酸となるオニウム塩としては、下記一般式(d1-1)~(d1-3)で表される化合物が好ましい。 As the onium salt that is relatively weak acid with respect to the acid generator, compounds represented by the following general formulas (d1-1) to (d1-3) are preferable.

Figure 0006992166000039
Figure 0006992166000039

式中、R51は置換基を有していてもよい炭化水素基であり、Z2cは置換基を有していてもよい炭素数1~30の炭化水素基(ただし、Sに隣接する炭素にはフッ素原子は置換されていないものとする)であり、R52は有機基であり、Yは直鎖状、分岐鎖状若しくは環状のアルキレン基又はアリーレン基であり、Rfはフッ素原子を含む炭化水素基であり、Mは各々独立に、アンモニウムカチオン、スルホニウムカチオン又はヨードニウムカチオンである。In the formula, R 51 is a hydrocarbon group which may have a substituent, and Z 2c is a hydrocarbon group having 1 to 30 carbon atoms which may have a substituent (however, carbon adjacent to S). R 52 is an organic group, Y 3 is a linear, branched or cyclic alkylene group or an arylene group, and Rf is a fluorine atom. It is a hydrocarbon group containing, and M + is independently an ammonium cation, a sulfonium cation or an iodonium cation.

として表されるスルホニウムカチオン又はヨードニウムカチオンの好ましい例としては、一般式(ZI)で例示したスルホニウムカチオン及び一般式(ZII)で例示したヨードニウムカチオンが挙げられる。Preferred examples of the sulfonium cation or iodonium cation represented as M + include the sulfonium cation exemplified by the general formula (ZI) and the iodonium cation exemplified by the general formula (ZII).

酸発生剤に対して相対的に弱酸となるオニウム塩(DC)は、カチオン部位とアニオン部位を同一分子内に有し、かつ、該カチオン部位とアニオン部位が共有結合により連結している化合物(以下、「化合物(DCA)」ともいう。)であってもよい。
化合物(DCA)としては、下記一般式(C-1)~(C-3)のいずれかで表される化合物であることが好ましい。
The onium salt (DC), which is a relatively weak acid with respect to the acid generator, is a compound having a cation moiety and an anion moiety in the same molecule, and the cation moiety and the anion moiety are linked by a covalent bond ( Hereinafter, it may also be referred to as “compound (DCA)”).
The compound (DCA) is preferably a compound represented by any of the following general formulas (C-1) to (C-3).

Figure 0006992166000040
Figure 0006992166000040

一般式(C-1)~(C-3)中、
、R、及びRは、各々独立に炭素数1以上の置換基を表す。
は、カチオン部位とアニオン部位とを連結する2価の連結基又は単結合を表す。
-Xは、-COO、-SO 、-SO 、及び-N-Rから選択されるアニオン部位を表す。Rは、隣接するN原子との連結部位に、カルボニル基(-C(=O)-)、スルホニル基(-S(=O)-)、及びスルフィニル基(-S(=O)-)のうち少なくとも1つを有する1価の置換基を表す。
、R、R、R、及びLは、互いに結合して環構造を形成してもよい。また、一般式(C-3)において、R~Rのうち2つを合わせて1つの2価の置換基を表し、N原子と2重結合により結合していてもよい。
In the general formulas (C-1) to (C-3),
R 1 , R 2 , and R 3 each independently represent a substituent having one or more carbon atoms.
L 1 represents a divalent linking group or single bond that links the cation site and the anion site.
-X- represents an anion site selected from -COO- , -SO 3- , -SO 2- , and -N --- R 4 . R4 has a carbonyl group (-C (= O)-), a sulfonyl group (-S (= O) 2- ), and a sulfinyl group (-S (= O)-) at the linking site with the adjacent N atom. ) Represents a monovalent substituent having at least one of them.
R 1 , R 2 , R 3 , R 4 , and L 1 may be coupled to each other to form a ring structure. Further, in the general formula (C-3), two of R 1 to R 3 are combined to represent one divalent substituent, which may be bonded to an N atom by a double bond.

~Rにおける炭素数1以上の置換基としては、アルキル基、シクロアルキル基、アリール基、アルキルオキシカルボニル基、シクロアルキルオキシカルボニル基、アリールオキシカルボニル基、アルキルアミノカルボニル基、シクロアルキルアミノカルボニル基、及びアリールアミノカルボニル基等が挙げられる。なかでも、アルキル基、シクロアルキル基、又はアリール基が好ましい。Substituents having 1 or more carbon atoms in R 1 to R 3 include an alkyl group, a cycloalkyl group, an aryl group, an alkyloxycarbonyl group, a cycloalkyloxycarbonyl group, an aryloxycarbonyl group, an alkylaminocarbonyl group and a cycloalkylamino. Examples thereof include a carbonyl group and an arylaminocarbonyl group. Of these, an alkyl group, a cycloalkyl group, or an aryl group is preferable.

2価の連結基としてのLは、直鎖若しくは分岐鎖状アルキレン基、シクロアルキレン基、アリーレン基、カルボニル基、エーテル結合、エステル結合、アミド結合、ウレタン結合、ウレア結合、及びこれらの2種以上を組み合わせてなる基等が挙げられる。Lは、好ましくは、アルキレン基、アリーレン基、エーテル結合、エステル結合、又はこれらの2種以上を組み合わせてなる基である。L 1 as a divalent linking group is a linear or branched alkylene group, a cycloalkylene group, an arylene group, a carbonyl group, an ether bond, an ester bond, an amide bond, a urethane bond, a urea bond, and two kinds thereof. A group obtained by combining the above can be mentioned. L 1 is preferably an alkylene group, an arylene group, an ether bond, an ester bond, or a group formed by combining two or more of these.

窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)(以下、「化合物(DD)」ともいう。)は、酸の作用により脱離する基を窒素原子上に有するアミン誘導体であることが好ましい。
酸の作用により脱離する基としては、アセタール基、カルボネート基、カルバメート基、3級エステル基、3級水酸基、又はヘミアミナールエーテル基が好ましく、カルバメート基、又はヘミアミナールエーテル基がより好ましい。
化合物(DD)の分子量は、100~1000が好ましく、100~700がより好ましく、100~500が更に好ましい。
化合物(DD)は、窒素原子上に保護基を有するカルバメート基を有してもよい。カルバメート基を構成する保護基としては、下記一般式(d-1)で表される。
A small molecule compound (DD) having a nitrogen atom and having a group desorbed by the action of an acid (hereinafter, also referred to as “compound (DD)”) has a group desorbed by the action of an acid on the nitrogen atom. It is preferably an amine derivative having.
As the group desorbed by the action of the acid, an acetal group, a carbonate group, a carbamate group, a tertiary ester group, a tertiary hydroxyl group or a hemiaminol ether group is preferable, and a carbamate group or a hemiaminol ether group is more preferable. ..
The molecular weight of the compound (DD) is preferably 100 to 1000, more preferably 100 to 700, and even more preferably 100 to 500.
Compound (DD) may have a carbamate group having a protecting group on the nitrogen atom. The protecting group constituting the carbamate group is represented by the following general formula (d-1).

Figure 0006992166000041
Figure 0006992166000041

一般式(d-1)において、
Rbは、各々独立に、水素原子、アルキル基(好ましくは炭素数1~10)、シクロアルキル基(好ましくは炭素数3~30)、アリール基(好ましくは炭素数3~30)、アラルキル基(好ましくは炭素数1~10)、又はアルコキシアルキル基(好ましくは炭素数1~10)を表す。Rbは相互に連結して環を形成していてもよい。
Rbが示すアルキル基、シクロアルキル基、アリール基、及びアラルキル基は、各々独立に水酸基、シアノ基、アミノ基、ピロリジノ基、ピペリジノ基、モルホリノ基、オキソ基等の官能基、アルコキシ基、又はハロゲン原子で置換されていてもよい。Rbが示すアルコキシアルキル基についても同様である。
In the general formula (d-1)
Rb is independently a hydrogen atom, an alkyl group (preferably 1 to 10 carbon atoms), a cycloalkyl group (preferably 3 to 30 carbon atoms), an aryl group (preferably 3 to 30 carbon atoms), and an aralkyl group (preferably 3 to 30 carbon atoms). It preferably represents 1 to 10 carbon atoms) or an alkoxyalkyl group (preferably 1 to 10 carbon atoms). Rb may be connected to each other to form a ring.
The alkyl group, cycloalkyl group, aryl group, and aralkyl group represented by Rb are independently hydroxyl groups, cyano groups, amino groups, pyrrolidino groups, piperidino groups, morpholino groups, oxo groups and other functional groups, alkoxy groups, or halogens. It may be substituted with an atom. The same applies to the alkoxyalkyl group indicated by Rb.

Rbとしては、直鎖状若しくは分岐鎖状のアルキル基、シクロアルキル基、又はアリール基が好ましく、直鎖状若しくは分岐鎖状のアルキル基、又はシクロアルキル基がより好ましい。
2つのRbが相互に連結して形成する環としては、脂環式炭化水素、芳香族炭化水素、複素環式炭化水素、及びその誘導体等が挙げられる。
一般式(d-1)で表される基の具体的な構造としては、米国特許公報US2012/0135348A1号明細書の段落<0466>に開示された構造が挙げられるが、これに制限されない。
As Rb, a linear or branched alkyl group, a cycloalkyl group, or an aryl group is preferable, and a linear or branched alkyl group or a cycloalkyl group is more preferable.
Examples of the ring formed by connecting the two Rbs to each other include an alicyclic hydrocarbon, an aromatic hydrocarbon, a heterocyclic hydrocarbon, and a derivative thereof.
Specific examples of the structure of the group represented by the general formula (d-1) include, but are not limited to, the structure disclosed in paragraph <0466> of the US Patent Publication No. US2012 / 0135348A1.

化合物(DD)は、下記一般式(6)で表される構造を有することが好ましい。 The compound (DD) preferably has a structure represented by the following general formula (6).

Figure 0006992166000042
Figure 0006992166000042

一般式(6)において、
lは0~2の整数を表し、mは1~3の整数を表し、l+m=3を満たす。
Raは、水素原子、アルキル基、シクロアルキル基、アリール基又はアラルキル基を表す。lが2のとき、2つのRaは同じでも異なっていてもよく、2つのRaは相互に連結して式中の窒素原子と共に複素環を形成していてもよい。この複素環には式中の窒素原子以外のヘテロ原子を含んでいてもよい。
Rbは、上記一般式(d-1)におけるRbと同義であり、好ましい例も同様である。
一般式(6)において、Raとしてのアルキル基、シクロアルキル基、アリール基、及びアラルキル基は、各々独立にRbとしてのアルキル基、シクロアルキル基、アリール基、及びアラルキル基が置換されていてもよい基として前述した基と同様な基で置換されていてもよい。
In the general formula (6)
l represents an integer of 0 to 2, m represents an integer of 1 to 3, and satisfies l + m = 3.
Ra represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group or an aralkyl group. When l is 2, the two Ras may be the same or different, and the two Ras may be interconnected to form a heterocycle with the nitrogen atom in the equation. This heterocycle may contain a heteroatom other than the nitrogen atom in the equation.
Rb has the same meaning as Rb in the above general formula (d-1), and the same applies to preferred examples.
In the general formula (6), the alkyl group as Ra, the cycloalkyl group, the aryl group, and the aralkyl group are each independently substituted with the alkyl group, cycloalkyl group, aryl group, and aralkyl group as Rb. As a good group, it may be substituted with a group similar to the group described above.

上記Raのアルキル基、シクロアルキル基、アリール基、及びアラルキル基(これらの基は、上記基で置換されていてもよい)の具体例としては、Rbについて前述した具体例と同様な基が挙げられる。
本発明における特に好ましい化合物(DD)の具体例としては、米国特許出願公開2012/0135348A1号明細書の段落<0475>に開示された化合物が挙げられるが、これに制限されない。
Specific examples of the above-mentioned Ra alkyl group, cycloalkyl group, aryl group, and aralkyl group (these groups may be substituted with the above group) include groups similar to the above-mentioned specific examples for Rb. Be done.
Specific examples of a particularly preferred compound (DD) in the present invention include, but are not limited to, the compound disclosed in paragraph <0475> of U.S. Patent Application Publication No. 2012/01335348A1.

カチオン部に窒素原子を有するオニウム塩化合物(DE)(以下、「化合物(DE)」ともいう。)は、カチオン部に窒素原子を含む塩基性部位を有する化合物であることが好ましい。塩基性部位は、アミノ基であることが好ましく、脂肪族アミノ基であることがより好ましい。塩基性部位中の窒素原子に隣接する原子の全てが、水素原子又は炭素原子であることが更に好ましい。また、塩基性向上の観点から、窒素原子に対して、電子求引性の官能基(カルボニル基、スルホニル基、シアノ基、及びハロゲン原子等)が直結していないことが好ましい。
化合物(DE)の好ましい具体例としては、米国特許出願公開2015/0309408A1号明細書の段落<0203>に開示された化合物が挙げられるが、これに制限されない。
The onium salt compound (DE) having a nitrogen atom in the cation portion (hereinafter, also referred to as “compound (DE)”) is preferably a compound having a basic moiety containing a nitrogen atom in the cation portion. The basic moiety is preferably an amino group, more preferably an aliphatic amino group. It is even more preferred that all of the atoms adjacent to the nitrogen atom in the basic moiety are hydrogen or carbon atoms. Further, from the viewpoint of improving basicity, it is preferable that an electron-withdrawing functional group (carbonyl group, sulfonyl group, cyano group, halogen atom, etc.) is not directly linked to the nitrogen atom.
Preferred specific examples of the compound (DE) include, but are not limited to, the compound disclosed in paragraph <0203> of US Patent Application Publication 2015/0309408A1.

酸拡散制御剤の好ましい例を以下に示す。 Preferred examples of the acid diffusion control agent are shown below.

Figure 0006992166000043
Figure 0006992166000043

Figure 0006992166000044
Figure 0006992166000044

Figure 0006992166000045
Figure 0006992166000045

Figure 0006992166000046
Figure 0006992166000047
Figure 0006992166000046
Figure 0006992166000047

Figure 0006992166000048
Figure 0006992166000048

Figure 0006992166000049
Figure 0006992166000049

Figure 0006992166000050
Figure 0006992166000050

酸拡散制御剤は、1種単独で使用してもよいし、2種以上を併用してもよい。 The acid diffusion control agent may be used alone or in combination of two or more.

本発明の組成物中、酸拡散制御剤の含有量(複数種存在する場合はその合計)は、組成物の全固形分に対して、0.001~10質量%が好ましく、0.01~7.0質量%がより好ましい。 In the composition of the present invention, the content of the acid diffusion control agent (if a plurality of types are present, the total thereof) is preferably 0.001 to 10% by mass, preferably 0.01 to 10% by mass, based on the total solid content of the composition. 7.0% by mass is more preferable.

また、酸拡散制御剤としては、例えば、特開2013-11833号公報の段落<0140>~<0144>に記載の化合物(アミン化合物、アミド基含有化合物、ウレア化合物、及び含窒素複素環化合物等)も使用できる。 Examples of the acid diffusion control agent include the compounds (amine compounds, amide group-containing compounds, urea compounds, nitrogen-containing heterocyclic compounds, etc.) described in paragraphs <0140> to <0144> of JP2013-11833A. ) Can also be used.

〔界面活性剤〕
本発明の組成物は、界面活性剤を含んでいてもよい。界面活性剤を含むことにより、波長が250nm以下、特には220nm以下の露光光源を使用した場合に、良好な感度及び解像度で、密着性に優れ、現像欠陥のより少ないレジストパターンを形成することが可能となる。
界面活性剤としては、フッ素系及び/又はシリコン系界面活性剤が好ましい。
フッ素系及び/又はシリコン系界面活性剤としては、例えば、米国特許出願公開第2008/0248425号明細書の段落<0276>に記載の界面活性剤が挙げられる。また、エフトップEF301、及びEF303(新秋田化成(株)製);フロラードFC430、431、及び4430(住友スリーエム(株)製);メガファックF171、F173、F176、F189、F113、F110、F177、F120、及びR08(DIC(株)製);サーフロンS-382、SC101、102、103、104、105、及び106(旭硝子(株)製);トロイゾルS-366(トロイケミカル(株)製);GF-300、及びGF-150(東亜合成化学(株)製)、サーフロンS-393(セイミケミカル(株)製);エフトップEF121、EF122A、EF122B、RF122C、EF125M、EF135M、EF351、EF352、EF801、EF802、及びEF601((株)ジェムコ製);PF636、PF656、PF6320、及びPF6520(OMNOVA社製);KH-20(旭化成(株)製);FTX-204G、208G、218G、230G、204D、208D、212D、218D、及び222D((株)ネオス製)を用いてもよい。なお、ポリシロキサンポリマーKP-341(信越化学工業(株)製)も、シリコン系界面活性剤として使用できる。
[Surfactant]
The composition of the present invention may contain a surfactant. By containing a surfactant, it is possible to form a resist pattern having excellent adhesion and fewer development defects with good sensitivity and resolution when an exposure light source having a wavelength of 250 nm or less, particularly 220 nm or less is used. It will be possible.
As the surfactant, a fluorine-based and / or a silicon-based surfactant is preferable.
Examples of the fluorine-based and / or silicon-based surfactant include the surfactant described in paragraph <0276> of Japanese Patent Application Publication No. 2008/0248425. In addition, Ftop EF301 and EF303 (manufactured by Shin-Akita Kasei Co., Ltd.); Florard FC430, 431, and 4430 (manufactured by Sumitomo 3M Co., Ltd.); Megafuck F171, F173, F176, F189, F113, F110, F177, F120 and R08 (manufactured by DIC Co., Ltd.); Surflon S-382, SC101, 102, 103, 104, 105, and 106 (manufactured by Asahi Glass Co., Ltd.); Troysol S-366 (manufactured by Troy Chemical Co., Ltd.); GF-300, GF-150 (manufactured by Toa Synthetic Chemical Co., Ltd.), Surflon S-393 (manufactured by Seimi Chemical Co., Ltd.); , EF802, and EF601 (manufactured by Gemco Co., Ltd.); PF636, PF656, PF6320, and PF6520 (manufactured by OMNOVA); KH-20 (manufactured by Asahi Kasei Co., Ltd.); FTX-204G, 208G, 218G, 230G, 204D, 208D, 212D, 218D, and 222D (manufactured by Neos Co., Ltd.) may be used. The polysiloxane polymer KP-341 (manufactured by Shin-Etsu Chemical Co., Ltd.) can also be used as a silicon-based surfactant.

また、界面活性剤は、上記に示すような公知の界面活性剤の他に、テロメリゼーション法(テロマー法ともいわれる)又はオリゴメリゼーション法(オリゴマー法ともいわれる)により製造されたフルオロ脂肪族化合物を用いて合成してもよい。具体的には、このフルオロ脂肪族化合物から導かれたフルオロ脂肪族基を備えた重合体を、界面活性剤として用いてもよい。このフルオロ脂肪族化合物は、例えば、特開2002-90991号公報に記載された方法によって合成できる。
また、米国特許出願公開第2008/0248425号明細書の段落<0280>に記載されているフッ素系及び/又はシリコン系以外の界面活性剤を使用してもよい。
In addition to the known surfactants as shown above, the surfactant is a fluoroaliphatic compound produced by a telomerization method (also referred to as a telomer method) or an oligomerization method (also referred to as an oligomer method). May be synthesized using. Specifically, a polymer having a fluoroaliphatic group derived from this fluoroaliphatic compound may be used as a surfactant. This fluoroaliphatic compound can be synthesized, for example, by the method described in JP-A-2002-90991.
Further, a surfactant other than the fluorine-based and / or silicon-based surfactant described in paragraph <0280> of US Patent Application Publication No. 2008/0248425 may be used.

これら界面活性剤は、1種を単独で用いてもよく、又は2種以上を組み合わせて用いてもよい。 These surfactants may be used alone or in combination of two or more.

本発明の組成物中、界面活性剤の含有量は、組成物の全固形分に対して、0.0001~2.0質量%が好ましく、0.0005~1.0質量%がより好ましい。 In the composition of the present invention, the content of the surfactant is preferably 0.0001 to 2.0% by mass, more preferably 0.0005 to 1.0% by mass, based on the total solid content of the composition.

〔疎水性樹脂〕
本発明の組成物は、疎水性樹脂を含んでいてもよい。なお、疎水性樹脂は、樹脂(X)とは異なる樹脂である。
本発明の組成物が、疎水性樹脂を含む場合、感活性光線性又は感放射線性膜の表面における静的/動的な接触角を制御できる。これにより、現像特性の改善、アウトガスの抑制、液浸露光における液浸液追随性の向上、及び液浸欠陥の低減等が可能となる。
疎水性樹脂は、レジスト膜の表面に偏在するように設計されることが好ましいが、界面活性剤とは異なり、必ずしも分子内に親水基を有する必要はなく、極性/非極性物質を均一に混合することに寄与しなくてもよい。
[Hydrophobic resin]
The composition of the present invention may contain a hydrophobic resin. The hydrophobic resin is a resin different from the resin (X).
When the composition of the present invention contains a hydrophobic resin, the static / dynamic contact angle on the surface of the sensitive light-sensitive or radiation-sensitive film can be controlled. This makes it possible to improve development characteristics, suppress outgas, improve immersion liquid followability in immersion exposure, reduce immersion defects, and the like.
Hydrophobic resins are preferably designed to be unevenly distributed on the surface of the resist film, but unlike surfactants, they do not necessarily have to have hydrophilic groups in the molecule and are a uniform mixture of polar / non-polar substances. It does not have to contribute to doing so.

疎水性樹脂は、膜表層への偏在化の観点から、“フッ素原子”、“ケイ素原子”、及び“樹脂の側鎖部分に含有されたCH部分構造”からなる群から選択される少なくとも1種を有する繰り返し単位を有する樹脂であることが好ましい。
疎水性樹脂が、フッ素原子及び/又はケイ素原子を含む場合、疎水性樹脂における上記フッ素原子及び/又はケイ素原子は、樹脂の主鎖中に含まれていてもよく、側鎖中に含まれていてもよい。
The hydrophobic resin is at least one selected from the group consisting of "fluorine atom", "silicon atom", and " CH3 partial structure contained in the side chain portion of the resin" from the viewpoint of uneven distribution on the surface layer of the film. It is preferably a resin having a repeating unit having seeds.
When the hydrophobic resin contains a fluorine atom and / or a silicon atom, the fluorine atom and / or the silicon atom in the hydrophobic resin may be contained in the main chain of the resin and may be contained in the side chain. You may.

疎水性樹脂がフッ素原子を含む場合、フッ素原子を有する部分構造として、フッ素原子を有するアルキル基、フッ素原子を有するシクロアルキル基、又はフッ素原子を有するアリール基を有する樹脂であることが好ましい。 When the hydrophobic resin contains a fluorine atom, it is preferable that the partial structure having a fluorine atom is a resin having an alkyl group having a fluorine atom, a cycloalkyl group having a fluorine atom, or an aryl group having a fluorine atom.

疎水性樹脂は、下記(x)~(z)の群から選ばれる基を少なくとも1つを有することが好ましい。
(x)酸基
(y)アルカリ現像液の作用により分解してアルカリ現像液に対する溶解度が増大する基(以下、極性変換基ともいう。)
(z)酸の作用により分解する基
The hydrophobic resin preferably has at least one group selected from the following groups (x) to (z).
(X) Acid group (y) A group that decomposes by the action of an alkaline developer and increases its solubility in an alkaline developer (hereinafter, also referred to as a polarity conversion group).
(Z) A group that decomposes by the action of an acid

酸基(x)としては、フェノール性水酸基、カルボン酸基、フッ素化アルコール基、スルホン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及びトリス(アルキルスルホニル)メチレン基等が挙げられる。
酸基としては、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール)、スルホンイミド基、又はビス(アルキルカルボニル)メチレン基が好ましい。
Examples of the acid group (x) include a phenolic hydroxyl group, a carboxylic acid group, a fluorinated alcohol group, a sulfonic acid group, a sulfonamide group, a sulfonylimide group, a (alkylsulfonyl) (alkylcarbonyl) methylene group, and (alkylsulfonyl) (alkyl). A carbonyl) imide group, a bis (alkylcarbonyl) methylene group, a bis (alkylcarbonyl) imide group, a bis (alkylsulfonyl) methylene group, a bis (alkylsulfonyl) imide group, a tris (alkylcarbonyl) methylene group, and a tris (alkylsulfonyl) group. ) Methylene group and the like can be mentioned.
As the acid group, a fluorinated alcohol group (preferably hexafluoroisopropanol), a sulfoneimide group, or a bis (alkylcarbonyl) methylene group is preferable.

アルカリ現像液の作用により分解してアルカリ現像液に対する溶解度が増大する基(y)としては、例えば、ラクトン基、カルボン酸エステル基(-COO-)、酸無水物基(-C(O)OC(O)-)、酸イミド基(-NHCONH-)、カルボン酸チオエステル基(-COS-)、炭酸エステル基(-OC(O)O-)、硫酸エステル基(-OSOO-)、及びスルホン酸エステル基(-SOO-)等が挙げられ、ラクトン基又はカルボン酸エステル基(-COO-)が好ましい。
これらの基を含んだ繰り返し単位としては、例えば、樹脂の主鎖にこれらの基が直接結合している繰り返し単位であり、例えば、アクリル酸エステル及びメタクリル酸エステルによる繰り返し単位等が挙げられる。この繰り返し単位は、これらの基が連結基を介して樹脂の主鎖に結合していてもよい。又は、この繰り返し単位は、これらの基を有する重合開始剤又は連鎖移動剤を重合時に用いて、樹脂の末端に導入されていてもよい。
ラクトン基を有する繰り返し単位としては、例えば、先に樹脂(X)の項で説明したラクトン構造を有する繰り返し単位と同様のものが挙げられる。
Examples of the group (y) that decomposes due to the action of the alkaline developing solution and increases the solubility in the alkaline developing solution include a lactone group, a carboxylic acid ester group (-COO-), and an acid anhydride group (-C (O) OC). (O)-), acidimide group (-NHCONH-), carboxylic acid thioester group (-COS-), carbonate ester group (-OC (O) O-), sulfate ester group (-OSO 2 O-), and Examples thereof include a sulfonic acid ester group (-SO 2 O-), and a lactone group or a carboxylic acid ester group (-COO-) is preferable.
Examples of the repeating unit containing these groups include repeating units in which these groups are directly bonded to the main chain of the resin, and examples thereof include repeating units made of acrylic acid ester and methacrylic acid ester. In this repeating unit, these groups may be bonded to the main chain of the resin via a linking group. Alternatively, the repeating unit may be introduced into the terminal of the resin by using a polymerization initiator or a chain transfer agent having these groups at the time of polymerization.
Examples of the repeating unit having a lactone group include the same repeating units having a lactone structure described above in the section of resin (X).

アルカリ現像液の作用により分解してアルカリ現像液に対する溶解度が増大する基(y)を有する繰り返し単位の含有量は、疎水性樹脂中の全繰り返し単位に対して、1~100モル%が好ましく、3~98モル%がより好ましく、5~95モル%が更に好ましい。 The content of the repeating unit having a group (y) that decomposes by the action of the alkaline developer and increases the solubility in the alkaline developer is preferably 1 to 100 mol% with respect to all the repeating units in the hydrophobic resin. 3 to 98 mol% is more preferable, and 5 to 95 mol% is even more preferable.

疎水性樹脂における、酸の作用により分解する基(z)を有する繰り返し単位は、樹脂(X)で挙げた酸分解性基を有する繰り返し単位と同様のものが挙げられる。酸の作用により分解する基(z)を有する繰り返し単位は、フッ素原子及びケイ素原子の少なくともいずれかを有していてもよい。酸の作用により分解する基(z)を有する繰り返し単位の含有量は、疎水性樹脂中の全繰り返し単位に対して、1~80モル%が好ましく、10~80モル%がより好ましく、20~60モル%が更に好ましい。
疎水性樹脂は、更に、上述した繰り返し単位とは別の繰り返し単位を有していてもよい。
Examples of the repeating unit having a group (z) that decomposes by the action of an acid in the hydrophobic resin include the same as the repeating unit having an acid-degradable group mentioned in the resin (X). The repeating unit having a group (z) decomposed by the action of an acid may have at least one of a fluorine atom and a silicon atom. The content of the repeating unit having the group (z) decomposed by the action of the acid is preferably 1 to 80 mol%, more preferably 10 to 80 mol%, and 20 to 20 to all the repeating units in the hydrophobic resin. 60 mol% is more preferred.
The hydrophobic resin may further have a repeating unit different from the repeating unit described above.

フッ素原子を含む繰り返し単位は、疎水性樹脂中の全繰り返し単位に対して、10~100モル%が好ましく、30~100モル%がより好ましい。また、ケイ素原子を含む繰り返し単位は、疎水性樹脂中の全繰り返し単位に対して、10~100モル%が好ましく、20~100モル%がより好ましい。 The repeating unit containing a fluorine atom is preferably 10 to 100 mol%, more preferably 30 to 100 mol%, based on all the repeating units in the hydrophobic resin. The repeating unit containing a silicon atom is preferably 10 to 100 mol%, more preferably 20 to 100 mol%, based on all the repeating units in the hydrophobic resin.

一方、特に疎水性樹脂が側鎖部分にCH部分構造を含む場合においては、疎水性樹脂が、フッ素原子及びケイ素原子を実質的に含まない形態も好ましい。また、疎水性樹脂は、炭素原子、酸素原子、水素原子、窒素原子及び硫黄原子から選ばれる原子のみによって構成された繰り返し単位のみで実質的に構成されることが好ましい。On the other hand, particularly when the hydrophobic resin contains a CH3 partial structure in the side chain portion, a form in which the hydrophobic resin does not substantially contain fluorine atoms and silicon atoms is also preferable. Further, it is preferable that the hydrophobic resin is substantially composed of only repeating units composed of only atoms selected from carbon atoms, oxygen atoms, hydrogen atoms, nitrogen atoms and sulfur atoms.

疎水性樹脂の標準ポリスチレン換算の重量平均分子量は、1,000~100,000が好ましく、1,000~50,000がより好ましい。 The weight average molecular weight of the hydrophobic resin in terms of standard polystyrene is preferably 1,000 to 100,000, more preferably 1,000 to 50,000.

疎水性樹脂に含まれる残存モノマー及び/又はオリゴマー成分の合計含有量は、0.01~5質量%が好ましく、0.01~3質量%がより好ましい。また、分散度(Mw/Mn)は、1~5の範囲が好ましく、より好ましくは1~3の範囲である。 The total content of the residual monomer and / or the oligomer component contained in the hydrophobic resin is preferably 0.01 to 5% by mass, more preferably 0.01 to 3% by mass. The dispersity (Mw / Mn) is preferably in the range of 1 to 5, and more preferably in the range of 1 to 3.

疎水性樹脂としては、公知の樹脂を、単独又はそれらの混合物として適宜に選択して使用できる。例えば、米国特許出願公開第2015/0168830A1号明細書の段落<0451>~<0704>、及び、米国特許出願公開第2016/0274458A1号明細書の段落<0340>~<0356>に開示された公知の樹脂を疎水性樹脂(E)として好適に使用できる。また、米国特許出願公開第2016/0237190A1号明細書の段落<0177>~<0258>に開示された繰り返し単位も、疎水性樹脂(E)を構成する繰り返し単位として好ましい。 As the hydrophobic resin, a known resin can be appropriately selected and used alone or as a mixture thereof. For example, publicly known disclosed in paragraphs <0451> to <0704> of U.S. Patent Application Publication No. 2015/016883A1 and paragraphs <0340> to <0356> of U.S. Patent Application Publication No. 2016/0274458A1. Can be suitably used as the hydrophobic resin (E). Further, the repeating unit disclosed in paragraphs <0177> to <0258> of US Patent Application Publication No. 2016/0237190A1 is also preferable as the repeating unit constituting the hydrophobic resin (E).

疎水性樹脂を構成する繰り返し単位に相当するモノマーの好ましい例を以下に示す。 A preferred example of the monomer corresponding to the repeating unit constituting the hydrophobic resin is shown below.

Figure 0006992166000051
Figure 0006992166000051

Figure 0006992166000052
Figure 0006992166000052

疎水性樹脂は、1種単独で使用してもよいし、2種以上を併用してもよい。
表面エネルギーが異なる2種以上の疎水性樹脂を混合して使用することが、液浸露光における液浸液追随性と現像特性の両立の観点から好ましい。
組成物中、疎水性樹脂の含有量(複数種存在する場合はその合計)は、組成物中の全固形分に対し、0.01~10.0質量%が好ましく、0.05~8.0質量%がより好ましい。
The hydrophobic resin may be used alone or in combination of two or more.
It is preferable to mix and use two or more kinds of hydrophobic resins having different surface energies from the viewpoint of achieving both immersion liquid followability and development characteristics in immersion exposure.
The content of the hydrophobic resin in the composition (the total of a plurality of types, if present) is preferably 0.01 to 10.0% by mass, preferably 0.05 to 8.% of the total solid content in the composition. 0% by mass is more preferable.

〔溶剤〕
本発明の組成物は、溶剤を含んでいてもよい。
溶剤は、下記成分(M1)及び下記成分(M2)のいずれか一方を少なくとも含むことが好ましく、なかでも、下記成分(M1)を含むことがより好ましい。
溶剤が下記成分(M1)を含む場合、溶剤は、実質的に成分(M1)のみからなるか、又は、成分(M1)及び成分(M2)を少なくとも含む混合溶剤であることが好ましい。
〔solvent〕
The composition of the present invention may contain a solvent.
The solvent preferably contains at least one of the following components (M1) and the following components (M2), and more preferably contains the following components (M1).
When the solvent contains the following component (M1), it is preferable that the solvent is substantially composed of only the component (M1) or is a mixed solvent containing at least the component (M1) and the component (M2).

以下に、成分(M1)及び成分(M2)を示す。
成分(M1):プロピレングリコールモノアルキルエーテルカルボキシレート
成分(M2):下記成分(M2-1)から選ばれる溶剤か、又は、下記成分(M2-2)から選ばれる溶剤
成分(M2-1):プロピレングリコールモノアルキルエーテル、乳酸エステル、酢酸エステル、酪酸ブチル、アルコキシプロピオン酸エステル、鎖状ケトン、環状ケトン、ラクトン、又はアルキレンカーボネート
成分(M2-2):引火点(以下、fpともいう)が37℃以上である溶剤
The component (M1) and the component (M2) are shown below.
Component (M1): Propylene glycol monoalkyl ether carboxylate Component (M2): Solvent selected from the following components (M2-1) or solvent selected from the following components (M2-2) Component (M2-1) :. Propropylene glycol monoalkyl ether, lactic acid ester, acetic acid ester, butyl butyrate, alkoxypropionic acid ester, chain ketone, cyclic ketone, lactone, or alkylene carbonate component (M2-2): Flamming point (hereinafter, also referred to as fp) is 37. Solvent above ℃

上記溶剤と上述した樹脂(X)とを組み合わせて用いると、組成物の塗布性が向上し、且つ、現像欠陥数の少ないパターンが得られる。その理由は必ずしも明らかではないが、上記溶剤は、上述した樹脂(X)の溶解性、沸点及び粘度のバランスが良いため、レジスト膜の膜厚のムラ及びスピンコート中の析出物の発生等を抑制できることに起因していると考えられる。 When the above solvent and the above-mentioned resin (X) are used in combination, the coatability of the composition is improved and a pattern with a small number of development defects can be obtained. The reason is not always clear, but since the solvent has a good balance of solubility, boiling point and viscosity of the resin (X) described above, uneven film thickness of the resist film and generation of precipitates in spin coating may occur. It is thought that this is due to the fact that it can be suppressed.

上記成分(M1)としては、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテルプロピオネート、及びプロピレングリコールモノエチルエーテルアセテートからなる群より選択される少なくとも1種が好ましく、プロピレングリコールモノメチルエーテルアセテート(PGMEA)がより好ましい。 As the component (M1), at least one selected from the group consisting of propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether propionate, and propylene glycol monoethyl ether acetate is preferable, and propylene glycol monomethyl ether acetate is preferable. (PGMEA) is more preferred.

上記成分(M2-1)としては、以下のものが好ましい。
プロピレングリコールモノアルキルエーテルとしては、プロピレングリコールモノメチルエーテル(PGME)、又はプロピレングリコールモノエチルエーテルが好ましい。
乳酸エステルとしては、乳酸エチル、乳酸ブチル、又は乳酸プロピルが好ましい。
酢酸エステルとしては、酢酸メチル、酢酸エチル、酢酸ブチル、酢酸イソブチル、酢酸プロピル、酢酸イソアミル、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、又は酢酸3-メトキシブチルが好ましい。
アルコキシプロピオン酸エステルとしては、3-メトキシプロピオン酸メチル(MMP)、又は3-エトキシプロピオン酸エチル(EEP)が好ましい。
鎖状ケトンとしては、1-オクタノン、2-オクタノン、1-ノナノン、2-ノナノン、アセトン、2-ヘプタノン、4-ヘプタノン、1-ヘキサノン、2-ヘキサノン、ジイソブチルケトン、フェニルアセトン、メチルエチルケトン、メチルイソブチルケトン、アセチルアセトン、アセトニルアセトン、イオノン、ジアセトニルアルコール、アセチルカービノール、アセトフェノン、メチルナフチルケトン、又はメチルアミルケトンが好ましい。
環状ケトンとしては、メチルシクロヘキサノン、イソホロン、又はシクロヘキサノンが好ましい。
ラクトンとしては、γ-ブチロラクトンが好ましい。
アルキレンカーボネートとしては、プロピレンカーボネートが好ましい。
The following components (M2-1) are preferable.
As the propylene glycol monoalkyl ether, propylene glycol monomethyl ether (PGME) or propylene glycol monoethyl ether is preferable.
As the lactic acid ester, ethyl lactate, butyl lactate, or propyl lactate is preferable.
As the acetic acid ester, methyl acetate, ethyl acetate, butyl acetate, isobutyl acetate, propyl acetate, isoamyl acetate, methyl acetate, ethyl acetate, butyl acetate, propyl acetate, or 3-methoxybutyl acetate are preferable.
As the alkoxypropionic acid ester, methyl 3-methoxypropionate (MMP) or ethyl 3-ethoxypropionate (EEP) is preferable.
Chain ketones include 1-octanone, 2-octanone, 1-nonanonone, 2-nonanonone, acetone, 2-heptanone, 4-heptanone, 1-hexanone, 2-hexanone, diisobutylketone, phenylacetone, methylethylketone, and methylisobutyl. Ketones, acetylacetones, acetonylacetones, ionones, diacetonyl alcohols, acetylcarbinols, acetophenones, methylnaphthylketones, or methylamylketones are preferred.
As the cyclic ketone, methylcyclohexanone, isophorone, or cyclohexanone is preferable.
As the lactone, γ-butyrolactone is preferable.
As the alkylene carbonate, propylene carbonate is preferable.

上記成分(M2-1)としては、プロピレングリコールモノメチルエーテル(PGME)、乳酸エチル、3-エトキシプロピオン酸エチル、メチルアミルケトン、シクロヘキサノン、酢酸ブチル、酢酸ペンチル、γ-ブチロラクトン、又はプロピレンカーボネートがより好ましい。 The above component (M2-1) is more preferably propylene glycol monomethyl ether (PGME), ethyl lactate, ethyl 3-ethoxypropionate, methyl amyl ketone, cyclohexanone, butyl acetate, pentyl acetate, γ-butyrolactone, or propylene carbonate. ..

上記成分(M2-2)としては、具体的に、プロピレングリコールモノメチルエーテル(fp:47℃)、乳酸エチル(fp:53℃)、3-エトキシプロピオン酸エチル(fp:49℃)、メチルアミルケトン(fp:42℃)、シクロヘキサノン(fp:44℃)、酢酸ペンチル(fp:45℃)、2-ヒドロキシイソ酪酸メチル(fp:45℃)、γ-ブチロラクトン(fp:101℃)、又はプロピレンカーボネート(fp:132℃)が挙げられる。これらのうち、プロピレングリコールモノエチルエーテル、乳酸エチル、酢酸ペンチル、又はシクロヘキサノンが好ましく、プロピレングリコールモノエチルエーテル、又は乳酸エチルがより好ましい。
なお、ここで「引火点」とは、東京化成工業株式会社又はシグマアルドリッチ社の試薬カタログに記載されている値を意味している。
Specific examples of the above component (M2-2) include propylene glycol monomethyl ether (fp: 47 ° C), ethyl lactate (fp: 53 ° C), ethyl 3-ethoxypropionate (fp: 49 ° C), and methyl amyl ketone. (Fp: 42 ° C), cyclohexanone (fp: 44 ° C), amyl acetate (fp: 45 ° C), methyl 2-hydroxyisobutyrate (fp: 45 ° C), γ-butyrolactone (fp: 101 ° C), or propylene carbonate. (Fp: 132 ° C.). Of these, propylene glycol monoethyl ether, ethyl lactate, pentyl acetate, or cyclohexanone are preferable, and propylene glycol monoethyl ether or ethyl lactate is more preferable.
Here, the "flash point" means a value described in the reagent catalog of Tokyo Chemical Industry Co., Ltd. or Sigma-Aldrich Co., Ltd.

成分(M1)と成分(M2)との混合比(質量比:M1/M2)は、現像欠陥数がより減少する点で、100/0~15/85が好ましく、100/0~40/60がより好ましく、100/0~60/40が更に好ましい。 The mixing ratio (mass ratio: M1 / M2) of the component (M1) and the component (M2) is preferably 100/0 to 15/85, preferably 100/0 to 40/60, in that the number of development defects is further reduced. Is more preferable, and 100/0 to 60/40 is even more preferable.

また、溶剤は、上記成分(M1)及び成分(M2)以外に更に他の溶剤を含んでいてもよい。この場合、成分(M1)及び(M2)以外の他の溶剤の含有量は、溶剤の全質量に対して、5~30質量%であることが好ましい。 Further, the solvent may further contain other solvents in addition to the above-mentioned component (M1) and component (M2). In this case, the content of the solvent other than the components (M1) and (M2) is preferably 5 to 30% by mass with respect to the total mass of the solvent.

他の溶剤としては、例えば、炭素数が7以上(7~14が好ましく、7~12がより好ましく、7~10が更に好ましい)、且つ、ヘテロ原子数が2以下のエステル系溶剤が挙げられる。なおここでいう、炭素数が7以上、且つ、ヘテロ原子数が2以下のエステル系溶剤には、上述した成分(M2)に該当する溶剤は含まれない。 Examples of the other solvent include an ester solvent having 7 or more carbon atoms (preferably 7 to 14, more preferably 7 to 12 and even more preferably 7 to 10) and having a heteroatom number of 2 or less. .. The ester solvent having 7 or more carbon atoms and 2 or less heteroatoms does not include the solvent corresponding to the above-mentioned component (M2).

炭素数が7以上、且つ、ヘテロ原子数が2以下のエステル系溶剤としては、酢酸アミル、酢酸2-メチルブチル、酢酸1-メチルブチル、酢酸ヘキシル、プロピオン酸ペンチル、プロピオン酸ヘキシル、プロピオン酸ブチル、イソ酪酸イソブチル、プロピオン酸ヘプチル、又はブタン酸ブチル等が好ましく、酢酸イソアミルが好ましい。 Examples of the ester solvent having 7 or more carbon atoms and 2 or less heteroatomic atoms include amyl acetate, 2-methylbutyl acetate, 1-methylbutyl acetate, hexyl acetate, pentyl propionate, hexyl propionate, butyl propionate, and iso. Isobutyl butyrate, heptyl propionate, butyl butanoate and the like are preferred, and isoamyl acetate is preferred.

〔その他の添加剤〕
本発明の組成物は、溶解阻止化合物(酸の作用により分解して有機系現像液中での溶解度が減少する化合物であり、分子量3000以下が好ましい。)、染料、可塑剤、光増感剤、光吸収剤、及び/又は現像液に対する溶解性を促進させる化合物(例えば、分子量1000以下のフェノール化合物、又はカルボキシ基を含んだ脂環族若しくは脂肪族化合物)を更に含んでいてもよい。
[Other additives]
The composition of the present invention is a dissolution-inhibiting compound (a compound that decomposes by the action of an acid to reduce its solubility in an organic developer, preferably having a molecular weight of 3000 or less), a dye, a plasticizer, and a photosensitizer. , A light absorber, and / or a compound that promotes solubility in a developing solution (for example, a phenol compound having a molecular weight of 1000 or less, or an alicyclic or aliphatic compound containing a carboxy group) may be further contained.

〔調製方法〕
本発明の組成物中、固形分濃度は、塗布性がより優れる点で、0.5~30質量%が好ましく、1.0~20.0質量%がより好ましく、1.0~10.0質量%が更に好ましく、1.0~5.0質量%が特に好ましい。固形分濃度とは、組成物の総質量に対する、溶剤を除く他のレジスト成分の質量の質量百分率である。
[Preparation method]
In the composition of the present invention, the solid content concentration is preferably 0.5 to 30% by mass, more preferably 1.0 to 20.0% by mass, and 1.0 to 10.0 in terms of better coatability. The mass% is more preferable, and 1.0 to 5.0% by mass is particularly preferable. The solid content concentration is the mass percentage of the mass of other resist components excluding the solvent with respect to the total mass of the composition.

本発明の組成物からなるレジスト膜(感活性光線性又は感放射線性膜)の膜厚は、解像力向上の観点から、一般的には200nm以下であり、100nm以下が好ましい。例えば線幅20nm以下の1:1ラインアンドスペースパターンを解像させるためには、形成されるレジスト膜の膜厚は90nm以下であることが好ましい。膜厚が90nm以下であれば、後述する現像工程を適用した際に、パターン倒れがより起こりにくくなり、より優れた解像性能が得られる。
膜厚の範囲としては、EUV露光又は電子線による露光の場合、なかでも、15~90nmが好ましい。組成物中の固形分濃度を適切な範囲に設定して適度な粘度をもたせ、塗布性又は製膜性を向上させることにより、このような膜厚とすることができる。
The film thickness of the resist film (active light-sensitive or radiation-sensitive film) made of the composition of the present invention is generally 200 nm or less, preferably 100 nm or less, from the viewpoint of improving the resolving power. For example, in order to resolve a 1: 1 line-and-space pattern having a line width of 20 nm or less, the film thickness of the resist film formed is preferably 90 nm or less. When the film thickness is 90 nm or less, pattern collapse is less likely to occur when the development process described later is applied, and more excellent resolution performance can be obtained.
In the case of EUV exposure or exposure with an electron beam, the film thickness is preferably 15 to 90 nm. Such a film thickness can be obtained by setting the solid content concentration in the composition to an appropriate range to give an appropriate viscosity and improving the coatability or the film forming property.

本発明の組成物は、上記の成分を所定の有機溶剤、好ましくは上記混合溶剤に溶解し、これをフィルター濾過した後、所定の支持体(基板)上に塗布して用いる。フィルター濾過に用いるフィルターのポアサイズは0.1μm以下が好ましく、0.05μm以下がより好ましく、0.03μm以下が更に好ましい。このフィルターは、ポリテトラフルオロエチレン製、ポリエチレン製、又はナイロン製のものが好ましい。フィルター濾過においては、例えば日本国特許出願公開第2002-62667号明細書(特開2002-62667)に開示されるように、循環的な濾過を行ってもよく、複数種類のフィルターを直列又は並列に接続して濾過を行ってもよい。また、組成物を複数回濾過してもよい。更に、フィルター濾過の前後で、組成物に対して脱気処理等を行ってもよい。 In the composition of the present invention, the above-mentioned components are dissolved in a predetermined organic solvent, preferably the above-mentioned mixed solvent, filtered through a filter, and then applied onto a predetermined support (substrate) for use. The pore size of the filter used for filter filtration is preferably 0.1 μm or less, more preferably 0.05 μm or less, still more preferably 0.03 μm or less. The filter is preferably made of polytetrafluoroethylene, polyethylene, or nylon. In filter filtration, for example, as disclosed in Japanese Patent Application Publication No. 2002-62667 (Japanese Patent Laid-Open No. 2002-62667), cyclic filtration may be performed, and a plurality of types of filters may be connected in series or in parallel. It may be connected to and filtered. Also, the composition may be filtered multiple times. Further, the composition may be degassed before and after the filter filtration.

〔用途〕
本発明の組成物は、活性光線又は放射線の照射により反応して性質が変化する感活性光線性又は感放射線性樹脂組成物に関する。更に詳しくは、本発明の組成物は、IC(Integrated Circuit)等の半導体製造工程、液晶若しくはサーマルヘッド等の回路基板の製造、インプリント用モールド構造体の作製、その他のフォトファブリケーション工程、又は平版印刷版、若しくは酸硬化性組成物の製造に使用される感活性光線性又は感放射線性樹脂組成物に関する。本発明において形成されるパターンは、エッチング工程、イオンインプランテーション工程、バンプ電極形成工程、再配線形成工程、及びMEMS(Micro Electro Mechanical Systems)等において使用できる。
[Use]
The composition of the present invention relates to a sensitive light-sensitive or radiation-sensitive resin composition whose properties change in response to irradiation with active light or radiation. More specifically, the composition of the present invention comprises a semiconductor manufacturing process such as an IC (Integrated Circuit), a circuit board manufacturing such as a liquid crystal or a thermal head, a molding structure for imprinting, another photofabrication step, or a photofabrication step. The present invention relates to a sensitive light-sensitive or radiation-sensitive resin composition used for producing a flat plate printing plate or an acid-curable composition. The pattern formed in the present invention can be used in an etching step, an ion implantation step, a bump electrode forming step, a rewiring forming step, a MEMS (Micro Electro Mechanical Systems), and the like.

[パターン形成方法]
本発明は上記感活性光線性又は感放射線性樹脂組成物を用いたパターン形成方法にも関する。以下、本発明のパターン形成方法について説明する。また、パターン形成方法の説明と併せて、本発明のレジスト膜についても説明する。
[Pattern formation method]
The present invention also relates to a pattern forming method using the above-mentioned sensitive light-sensitive or radiation-sensitive resin composition. Hereinafter, the pattern forming method of the present invention will be described. In addition to the description of the pattern forming method, the resist film of the present invention will also be described.

本発明のパターン形成方法は、
(i)上述した感活性光線性又は感放射線性樹脂組成物によってレジスト膜(感活性光線性又は感放射線性膜)を支持体上に形成する工程(レジスト膜形成工程)、
(ii)上記レジスト膜を露光する(活性光線又は放射線を照射する)工程(露光工程)、及び、
(iii)上記露光されたレジスト膜を、現像液を用いて現像する工程(現像工程)、
を有する。
The pattern forming method of the present invention is
(I) A step of forming a resist film (sensitive light-sensitive or radiation-sensitive film) on a support by the above-mentioned sensitive light-sensitive or radiation-sensitive resin composition (resist film forming step).
(Ii) A step (exposure step) of exposing the resist film (irradiating with active light rays or radiation), and
(Iii) A step of developing the exposed resist film using a developing solution (development step).
Have.

本発明のパターン形成方法は、上記(i)~(iii)の工程を含んでいれば特に限定されず、更に下記の工程を有していてもよい。
本発明のパターン形成方法は、(ii)露光工程における露光方法が、液浸露光であってもよい。
本発明のパターン形成方法は、(ii)露光工程の前に、(iv)前加熱(PB:PreBake)工程を含むことが好ましい。
本発明のパターン形成方法は、(ii)露光工程の後、かつ、(iii)現像工程の前に、(v)露光後加熱(PEB:Post Exposure Bake)工程を含むことが好ましい。
本発明のパターン形成方法は、(ii)露光工程を、複数回含んでいてもよい。
本発明のパターン形成方法は、(iv)前加熱工程を、複数回含んでいてもよい。
本発明のパターン形成方法は、(v)露光後加熱工程を、複数回含んでいてもよい。
The pattern forming method of the present invention is not particularly limited as long as it includes the steps (i) to (iii) above, and may further include the following steps.
In the pattern forming method of the present invention, the exposure method in the (ii) exposure step may be immersion exposure.
The pattern forming method of the present invention preferably includes (iv) preheating (PB: PreBake) step before the (ii) exposure step.
The pattern forming method of the present invention preferably includes (v) a post-exposure Bake (PEB) step after (ii) an exposure step and before (iii) a developing step.
The pattern forming method of the present invention may include (ii) an exposure step a plurality of times.
The pattern forming method of the present invention may include (iv) a preheating step a plurality of times.
The pattern forming method of the present invention may include (v) a post-exposure heating step a plurality of times.

本発明のパターン形成方法において、上述した(i)成膜工程、(ii)露光工程、及び(iii)現像工程は、一般的に知られている方法により行うことができる。
また、必要に応じて、レジスト膜と支持体との間にレジスト下層膜(例えば、SOG(Spin On Glass)、SOC(Spin On Carbon)、及び、反射防止膜)を形成してもよい。
レジスト下層膜を構成する材料としては、公知の有機系又は無機系の材料を適宜用いることができる。
レジスト膜の上層に、保護膜(トップコート)を形成してもよい。保護膜としては、公知の材料を適宜用いることができる。例えば、米国特許出願公開第2007/0178407号明細書、米国特許出願公開第2008/0085466号明細書、米国特許出願公開第2007/0275326号明細書、米国特許出願公開第2016/0299432号明細書、米国特許出願公開第2013/0244438号明細書、国際特許出願公開第2016/157988A号明細書に開示された保護膜形成用組成物を好適に使用できる。保護膜形成用組成物としては、上述した酸拡散制御剤を含むものが好ましい。
保護膜の膜厚は、10~200nmが好ましく、20~100nmがより好ましく、40~80nmが更に好ましい。
In the pattern forming method of the present invention, the above-mentioned (i) film forming step, (ii) exposure step, and (iii) developing step can be performed by a generally known method.
Further, if necessary, a resist underlayer film (for example, SOG (Spin On Glass), SOC (Spin On Carbon), and an antireflection film) may be formed between the resist film and the support.
As a material constituting the resist underlayer film, a known organic or inorganic material can be appropriately used.
A protective film (top coat) may be formed on the upper layer of the resist film. As the protective film, a known material can be appropriately used. For example, US Patent Application Publication No. 2007/0178407, US Patent Application Publication No. 2008/805466, US Patent Application Publication No. 2007/0275326, US Patent Application Publication No. 2016/0299432, The composition for forming a protective film disclosed in US Patent Application Publication No. 2013/02444438 and International Patent Application Publication No. 2016/157988A can be preferably used. The composition for forming a protective film preferably contains the above-mentioned acid diffusion control agent.
The film thickness of the protective film is preferably 10 to 200 nm, more preferably 20 to 100 nm, and even more preferably 40 to 80 nm.

支持体は、特に限定されるものではなく、IC等の半導体の製造工程、又は液晶若しくはサーマルヘッド等の回路基板の製造工程のほか、その他のフォトファブリケーションのリソグラフィー工程等で一般的に用いられる基板を用いることができる。支持体の具体例としては、シリコン、SiO、及びSiN等の無機基板等が挙げられる。The support is not particularly limited, and is generally used in a semiconductor manufacturing process such as an IC, a circuit board manufacturing process such as a liquid crystal or a thermal head, and other photolithography lithography processes. A substrate can be used. Specific examples of the support include an inorganic substrate such as silicon, SiO 2 , and SiN.

加熱温度は、(iv)前加熱工程及び(v)露光後加熱工程のいずれにおいても、80~150℃が好ましく、80~140℃がより好ましく、80~130℃が更に好ましい。
加熱時間は、(iv)前加熱工程及び(v)露光後加熱工程のいずれにおいても、30~1000秒が好ましく、60~800秒がより好ましく、60~600秒が更に好ましい。
加熱は、露光装置及び現像装置に備わっている手段で行うことができ、ホットプレート等を用いて行ってもよい。
The heating temperature is preferably 80 to 150 ° C., more preferably 80 to 140 ° C., still more preferably 80 to 130 ° C. in both the (iv) preheating step and (v) post-exposure heating step.
The heating time is preferably 30 to 1000 seconds, more preferably 60 to 800 seconds, still more preferably 60 to 600 seconds in both the (iv) preheating step and (v) post-exposure heating step.
The heating can be performed by means provided in the exposure apparatus and the developing apparatus, and may be performed by using a hot plate or the like.

露光工程に用いられる光源波長に制限はないが、例えば、赤外光、可視光、紫外光、遠紫外光、極紫外光(EUV)、X線、及び電子線等が挙げられる。なかでも、光源波長は、250nm以下が好ましく、220nm以下がより好ましく、1~200nmが更に好ましい。具体的には、KrFエキシマレーザー(248nm)、ArFエキシマレーザー(193nm)、Fエキシマレーザー(157nm)、X線、EUV(13nm)、又は電子線等であり、KrFエキシマレーザー、ArFエキシマレーザー、EUV、又は電子線が好ましく、EUV又は電子線がより好ましく、EUVが更に好ましい。The wavelength of the light source used in the exposure process is not limited, and examples thereof include infrared light, visible light, ultraviolet light, far ultraviolet light, polar ultraviolet light (EUV), X-ray, and electron beam. Among them, the light source wavelength is preferably 250 nm or less, more preferably 220 nm or less, and further preferably 1 to 200 nm. Specifically, it is a KrF excimer laser (248 nm), ArF excimer laser (193 nm), F2 excimer laser ( 157 nm), X-ray, EUV (13 nm), electron beam or the like, and is a KrF excimer laser, ArF excimer laser, etc. EUV or electron beam is preferable, EUV or electron beam is more preferable, and EUV is further preferable.

(iii)現像工程においては、アルカリ現像液を使用しても、有機溶剤を含む現像液(以下、有機系現像液ともいう)を使用してもよい。 (Iii) In the developing step, an alkaline developer or a developer containing an organic solvent (hereinafter, also referred to as an organic developer) may be used.

アルカリ現像液に含まれるアルカリ成分としては、通常、テトラメチルアンモニウムヒドロキシドに代表される4級アンモニウム塩が用いられる。これ以外にも、無機アルカリ、1~3級アミン、アルコールアミン、及び環状アミン等のアルカリ成分を含むアルカリ水溶液も使用可能である。
更に、上記アルカリ現像液は、アルコール類、及び/又は界面活性剤を適当量含んでいてもよい。アルカリ現像液のアルカリ濃度は、通常0.1~20質量%である。アルカリ現像液のpHは、通常10~15である。
アルカリ現像液を用いて現像を行う時間は、通常10~300秒である。
アルカリ現像液のアルカリ濃度、pH、及び現像時間は、形成するパターンに応じて、適宜調整できる。
As the alkaline component contained in the alkaline developer, a quaternary ammonium salt typified by tetramethylammonium hydroxide is usually used. In addition to this, an alkaline aqueous solution containing an alkaline component such as an inorganic alkali, a primary to tertiary amine, an alcohol amine, and a cyclic amine can also be used.
Further, the alkaline developer may contain an appropriate amount of alcohols and / or a surfactant. The alkaline concentration of the alkaline developer is usually 0.1 to 20% by mass. The pH of the alkaline developer is usually 10 to 15.
The time for developing with an alkaline developer is usually 10 to 300 seconds.
The alkali concentration, pH, and development time of the alkaline developer can be appropriately adjusted according to the pattern to be formed.

有機系現像液は、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤、及び炭化水素系溶剤からなる群より選択される少なくとも1種の有機溶剤を含む現像液であるのが好ましい。 The organic developer is a developer containing at least one organic solvent selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent, an ether solvent, and a hydrocarbon solvent. Is preferable.

ケトン系溶剤としては、例えば、1-オクタノン、2-オクタノン、1-ノナノン、2-ノナノン、アセトン、2-ヘプタノン(メチルアミルケトン)、4-ヘプタノン、1-ヘキサノン、2-ヘキサノン、ジイソブチルケトン、シクロヘキサノン、メチルシクロヘキサノン、フェニルアセトン、メチルエチルケトン、メチルイソブチルケトン、アセチルアセトン、アセトニルアセトン、イオノン、ジアセトニルアルコール、アセチルカービノール、アセトフェノン、メチルナフチルケトン、イソホロン、及びプロピレンカーボネート等が挙げられる。 Examples of the ketone solvent include 1-octanone, 2-octanone, 1-nonanonone, 2-nonanonone, acetone, 2-heptanone (methylamylketone), 4-heptanone, 1-hexanone, 2-hexanone, and diisobutylketone. Cyclohexanone, methylcyclohexanone, phenylacetone, methylethylketone, methylisobutylketone, acetylacetone, acetonylacetone, ionone, diacetonyl alcohol, acetylcarbinol, acetophenone, methylnaphthylketone, isophorone, propylene carbonate and the like can be mentioned.

エステル系溶剤としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸ペンチル、酢酸イソペンチル、酢酸アミル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、エチル-3-エトキシプロピオネート、3-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、ブタン酸ブチル、2-ヒドロキシイソ酪酸メチル、酢酸イソアミル、イソ酪酸イソブチル、及びプロピオン酸ブチル等が挙げられる。 Examples of the ester solvent include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, pentyl acetate, isoamyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, and diethylene glycol monoethyl. Ether acetate, ethyl-3-ethoxypropionate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, methyl formic acid, ethyl forerate, butyl forerate, propyl forerate, ethyl lactate, butyl lactate, propyl lactate, butane Examples thereof include butyl acetate, methyl 2-hydroxyisobutyrate, isoamyl acetate, isobutyl isobutyrate, butyl propionate and the like.

アルコール系溶剤、アミド系溶剤、エーテル系溶剤、及び炭化水素系溶剤としては、米国特許出願公開2016/0070167A1号明細書の段落<0715>~<0718>に開示された溶剤を使用できる。 As the alcohol-based solvent, the amide-based solvent, the ether-based solvent, and the hydrocarbon-based solvent, the solvents disclosed in paragraphs <0715> to <0718> of US Patent Application Publication No. 2016/0070167A1 can be used.

上記の溶剤は、複数混合してもよいし、上記以外の溶剤又は水と混合してもよい。現像液全体としての含水率は、50質量%未満が好ましく、20質量%未満がより好ましく、10質量%未満が更に好ましく、実質的に水分を含まないことが特に好ましい。
有機系現像液に対する有機溶剤の含有量は、現像液の全量に対して、50~100質量%が好ましく、80~100質量%がより好ましく、90~100質量%が更に好ましく、95~100質量%が特に好ましい。
A plurality of the above solvents may be mixed, or may be mixed with a solvent other than the above or water. The water content of the developer as a whole is preferably less than 50% by mass, more preferably less than 20% by mass, further preferably less than 10% by mass, and particularly preferably substantially free of water.
The content of the organic solvent in the organic developer is preferably 50 to 100% by mass, more preferably 80 to 100% by mass, further preferably 90 to 100% by mass, and 95 to 100% by mass with respect to the total amount of the developer. % Is particularly preferable.

有機系現像液は、必要に応じて公知の界面活性剤を適当量含んでいてもよい。 The organic developer may contain an appropriate amount of a known surfactant, if necessary.

界面活性剤の含有量は現像液の全量に対して、通常0.001~5質量%であり、0.005~2質量%が好ましく、0.01~0.5質量%がより好ましい。 The content of the surfactant is usually 0.001 to 5% by mass, preferably 0.005 to 2% by mass, more preferably 0.01 to 0.5% by mass, based on the total amount of the developing solution.

有機系現像液は、上述した酸拡散制御剤を含んでいてもよい。 The organic developer may contain the above-mentioned acid diffusion control agent.

現像方法としては、例えば、現像液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、基板表面に現像液を表面張力によって盛り上げて一定時間静止する方法(パドル法)、基板表面に現像液を噴霧する方法(スプレー法)、又は一定速度で回転している基板上に一定速度で現像液吐出ノズルをスキャンしながら現像液を吐出しつづける方法(ダイナミックディスペンス法)等が挙げられる。 Examples of the developing method include a method of immersing the substrate in a tank filled with a developing solution for a certain period of time (dip method), a method of raising the developing solution on the surface of the substrate by surface tension and allowing it to stand still for a certain period of time (paddle method), and a substrate. A method of spraying the developer on the surface (spray method) or a method of continuously discharging the developer while scanning the developer discharge nozzle at a constant speed on a substrate rotating at a constant speed (dynamic discharge method) can be mentioned. Be done.

アルカリ水溶液を用いて現像を行う工程(アルカリ現像工程)、及び有機溶剤を含む現像液を用いて現像する工程(有機溶剤現像工程)を組み合わせてもよい。これにより、中間的な露光強度の領域のみを溶解させずにパターン形成が行えるので、より微細なパターンを形成できる。 A step of developing with an alkaline aqueous solution (alkaline developing step) and a step of developing with a developer containing an organic solvent (organic solvent developing step) may be combined. As a result, the pattern can be formed without dissolving only the region of the intermediate exposure intensity, so that a finer pattern can be formed.

(iii)現像工程の後に、リンス液を用いて洗浄する工程(リンス工程)を含むことが好ましい。 (Iii) It is preferable to include a step of washing with a rinsing solution (rinsing step) after the developing step.

アルカリ現像液を用いた現像工程の後のリンス工程に用いるリンス液は、例えば純水を使用できる。純水は、界面活性剤を適当量含んでいてもよい。この場合、現像工程又はリンス工程の後に、パターン上に付着している現像液又はリンス液を超臨界流体により除去する処理を追加してもよい。更に、リンス処理又は超臨界流体による処理の後、パターン中に残存する水分を除去するために加熱処理を行ってもよい。 As the rinsing solution used in the rinsing step after the developing step using the alkaline developer, for example, pure water can be used. Pure water may contain an appropriate amount of a surfactant. In this case, after the developing step or the rinsing step, a process of removing the developing solution or the rinsing solution adhering to the pattern with a supercritical fluid may be added. Further, after the rinsing treatment or the treatment with the supercritical fluid, a heat treatment may be performed to remove the water remaining in the pattern.

有機溶剤を含む現像液を用いた現像工程の後のリンス工程に用いるリンス液は、パターンを溶解しないものであれば特に制限はなく、一般的な有機溶剤を含む溶液を使用できる。リンス液としては、炭化水素系溶剤、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、及びエーテル系溶剤からなる群より選択される少なくとも1種の有機溶剤を含むリンス液を用いることが好ましい。
炭化水素系溶剤、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、及びエーテル系溶剤の具体例としては、有機溶剤を含む現像液において説明したものと同様のものが挙げられる。
この場合のリンス工程に用いるリンス液としては、1価アルコールを含むリンス液がより好ましい。
The rinsing solution used in the rinsing step after the developing step using the developing solution containing an organic solvent is not particularly limited as long as it does not dissolve the pattern, and a general solution containing an organic solvent can be used. As the rinsing solution, a rinsing solution containing at least one organic solvent selected from the group consisting of a hydrocarbon solvent, a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent, and an ether solvent shall be used. Is preferable.
Specific examples of the hydrocarbon solvent, the ketone solvent, the ester solvent, the alcohol solvent, the amide solvent, and the ether solvent include the same as those described for the developing solution containing the organic solvent.
As the rinsing liquid used in the rinsing step in this case, a rinsing liquid containing a monohydric alcohol is more preferable.

リンス工程で用いられる1価アルコールとしては、直鎖状、分岐鎖状、又は環状の1価アルコールが挙げられる。具体的には、1-ブタノール、2-ブタノール、3-メチル-1-ブタノール、tert―ブチルアルコール、1-ペンタノール、2-ペンタノール、1-ヘキサノール、4-メチル-2-ペンタノール、1-ヘプタノール、1-オクタノール、2-ヘキサノール、シクロペンタノール、2-ヘプタノール、2-オクタノール、3-ヘキサノール、3-ヘプタノール、3-オクタノール、4-オクタノール、及びメチルイソブチルカルビノールが挙げられる。炭素数5以上の1価アルコールとしては、1-ヘキサノール、2-ヘキサノール、4-メチル-2-ペンタノール、1-ペンタノール、3-メチル-1-ブタノール、及びメチルイソブチルカルビノール等が挙げられる。 Examples of the monohydric alcohol used in the rinsing step include linear, branched or cyclic monohydric alcohols. Specifically, 1-butanol, 2-butanol, 3-methyl-1-butanol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1 -Heptanol, 1-octanol, 2-hexanol, cyclopentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol, and methylisobutylcarbinol can be mentioned. Examples of the monohydric alcohol having 5 or more carbon atoms include 1-hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol, methyl isobutylcarbinol and the like. ..

各成分は、複数混合してもよいし、上記以外の有機溶剤と混合して使用してもよい。
リンス液中の含水率は、10質量%以下が好ましく、5質量%以下がより好ましく、3質量%以下が更に好ましい。含水率を10質量%以下とすることで、良好な現像特性が得られる。
A plurality of each component may be mixed, or may be used by mixing with an organic solvent other than the above.
The water content in the rinse solution is preferably 10% by mass or less, more preferably 5% by mass or less, and even more preferably 3% by mass or less. By setting the water content to 10% by mass or less, good development characteristics can be obtained.

リンス液は、界面活性剤を適当量含んでいてもよい。
リンス工程においては、有機系現像液を用いる現像を行った基板を、有機溶剤を含むリンス液を用いて洗浄処理する。洗浄処理の方法は特に限定されないが、例えば、一定速度で回転している基板上にリンス液を吐出しつづける方法(回転塗布法)、リンス液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、又は基板表面にリンス液を噴霧する方法(スプレー法)等が挙げられる。なかでも、回転塗布法で洗浄処理を行い、洗浄後に基板を2,000~4,000rpmの回転数で回転させ、リンス液を基板上から除去することが好ましい。また、リンス工程の後に加熱工程(Post Bake)を含むことも好ましい。この加熱工程によりパターン間及びパターン内部に残留した現像液及びリンス液が除去される。リンス工程の後の加熱工程において、加熱温度は通常40~160℃であり、70~95℃が好ましく、加熱時間は通常10秒~3分であり、30~90秒が好ましい。
The rinse solution may contain an appropriate amount of a surfactant.
In the rinsing step, the substrate developed with an organic developer is washed with a rinsing solution containing an organic solvent. The cleaning treatment method is not particularly limited, but for example, a method of continuously discharging the rinse liquid onto a substrate rotating at a constant speed (rotational coating method), or immersing the substrate in a tank filled with the rinse liquid for a certain period of time. Examples thereof include a method (dip method) and a method of spraying a rinse liquid on the substrate surface (spray method). Above all, it is preferable to perform a cleaning treatment by a rotary coating method, and after cleaning, rotate the substrate at a rotation speed of 2,000 to 4,000 rpm to remove the rinse liquid from the substrate. It is also preferable to include a heating step (Post Bake) after the rinsing step. By this heating step, the developing solution and the rinsing solution remaining between the patterns and inside the patterns are removed. In the heating step after the rinsing step, the heating temperature is usually 40 to 160 ° C., preferably 70 to 95 ° C., and the heating time is usually 10 seconds to 3 minutes, preferably 30 to 90 seconds.

本発明の感活性光線性又は感放射線性樹脂組成物、及び、本発明のパターン形成方法において使用される各種材料(例えば、レジスト溶剤、現像液、リンス液、反射防止膜形成用組成物、又はトップコート形成用組成物等)は、金属成分、異性体、及び残存モノマー等の不純物を含まないことが好ましい。上記の各種材料に含まれるこれらの不純物の含有量としては、1ppm以下が好ましく、100ppt以下がより好ましく、10ppt以下が更に好ましく、実質的に含まないこと(測定装置の検出限界以下であること)が特に好ましい。 The sensitive light-sensitive or radiation-sensitive resin composition of the present invention, and various materials used in the pattern forming method of the present invention (for example, a resist solvent, a developing solution, a rinsing solution, an antireflection film forming composition, or The composition for forming a top coat, etc.) preferably does not contain impurities such as metal components, isomers, and residual monomers. The content of these impurities contained in the above-mentioned various materials is preferably 1 ppm or less, more preferably 100 ppt or less, further preferably 10 ppt or less, and substantially not contained (below the detection limit of the measuring device). Is particularly preferable.

上記各種材料から金属等の不純物を除去する方法としては、例えば、フィルターを用いた濾過が挙げられる。フィルター孔径としては、ポアサイズ10nm以下が好ましく、5nm以下がより好ましく、3nm以下が更に好ましい。フィルターの材質としては、ポリテトラフルオロエチレン製、ポリエチレン製、又はナイロン製のフィルターが好ましい。フィルターは、有機溶剤であらかじめ洗浄したものを用いてもよい。フィルター濾過工程では、複数種類のフィルターを直列又は並列に接続して用いてもよい。複数種類のフィルターを使用する場合は、孔径及び/又は材質が異なるフィルターを組み合わせて使用してもよい。また、各種材料を複数回濾過してもよく、複数回濾過する工程が循環濾過工程であってもよい。フィルターとしては、日本国特許出願公開第2016-201426号明細書(特開2016-201426)に開示されるような溶出物が低減されたものが好ましい。
フィルター濾過のほか、吸着材による不純物の除去を行ってもよく、フィルター濾過と吸着材を組み合わせて使用してもよい。吸着材としては、公知の吸着材を用いることができ、例えば、シリカゲル若しくはゼオライト等の無機系吸着材、又は活性炭等の有機系吸着材を使用できる。金属吸着剤としては、例えば、日本国特許出願公開第2016-206500号明細書(特開2016-206500)に開示されるものが挙げられる。
また、上記各種材料に含まれる金属等の不純物を低減する方法としては、各種材料を構成する原料として金属含有量が少ない原料を選択する、各種材料を構成する原料に対してフィルター濾過を行う、又は装置内をテフロン(登録商標)でライニングする等してコンタミネーションを可能な限り抑制した条件下で蒸留を行う等の方法が挙げられる。各種材料を構成する原料に対して行うフィルター濾過における好ましい条件は、上記した条件と同様である。
Examples of the method for removing impurities such as metals from the above-mentioned various materials include filtration using a filter. The filter pore diameter is preferably 10 nm or less, more preferably 5 nm or less, and even more preferably 3 nm or less. As the material of the filter, a filter made of polytetrafluoroethylene, polyethylene, or nylon is preferable. The filter may be one that has been pre-cleaned with an organic solvent. In the filter filtration step, a plurality of types of filters may be connected in series or in parallel for use. When using a plurality of types of filters, filters having different pore diameters and / or materials may be used in combination. Further, various materials may be filtered a plurality of times, and the step of filtering the various materials a plurality of times may be a circulation filtration step. The filter preferably has a reduced amount of eluate as disclosed in Japanese Patent Application Publication No. 2016-201426 (Japanese Patent Laid-Open No. 2016-201426).
In addition to filter filtration, impurities may be removed by an adsorbent, or filter filtration and an adsorbent may be used in combination. As the adsorbent, a known adsorbent can be used, and for example, an inorganic adsorbent such as silica gel or zeolite, or an organic adsorbent such as activated carbon can be used. Examples of the metal adsorbent include those disclosed in Japanese Patent Application Publication No. 2016-206500 (Japanese Patent Laid-Open No. 2016-206500).
Further, as a method for reducing impurities such as metals contained in the various materials, a raw material having a low metal content is selected as a raw material constituting the various materials, and filter filtration is performed on the raw materials constituting the various materials. Alternatively, a method such as lining the inside of the apparatus with Teflon (registered trademark) to perform distillation under conditions in which contamination is suppressed as much as possible can be mentioned. The preferred conditions for filter filtration performed on the raw materials constituting the various materials are the same as the above-mentioned conditions.

上記の各種材料は、不純物の混入を防止するために、米国特許出願公開第2015/0227049号明細書、日本国特許出願公開第2015-123351号明細書(特開2015-123351)等に記載された容器に保存されることが好ましい。 The above-mentioned various materials are described in US Patent Application Publication No. 2015/0227049, Japanese Patent Application Publication No. 2015-123351 (Japanese Patent Laid-Open No. 2015-123351), etc. in order to prevent contamination with impurities. It is preferable to store it in a container.

本発明のパターン形成方法により形成されるパターンに、パターンの表面荒れを改善する方法を適用してもよい。パターンの表面荒れを改善する方法としては、例えば、米国特許出願公開第2015/0104957号明細書に開示された、水素を含むガスのプラズマによってパターンを処理する方法が挙げられる。その他にも、日本国特許出願公開第2004-235468号明細書(特開2004-235468)、米国特許出願公開第2010/0020297号明細書、Proc. of SPIE Vol.8328 83280N-1“EUV Resist Curing Technique for LWR Reduction and Etch Selectivity Enhancement”に記載されるような公知の方法を適用してもよい。
また、上記の方法によって形成されたパターンは、例えば日本国特許出願公開第1991-270227号明細書(特開平3-270227)及び米国特許出願公開第2013/0209941号明細書に開示されたスペーサープロセスの芯材(Core)として使用できる。
A method for improving the surface roughness of the pattern may be applied to the pattern formed by the pattern forming method of the present invention. Examples of the method for improving the surface roughness of the pattern include a method of treating the pattern with plasma of a gas containing hydrogen disclosed in US Patent Application Publication No. 2015/010497. In addition, Japanese Patent Application Publication No. 2004-235468 (Japanese Patent Laid-Open No. 2004-235468), US Patent Application Publication No. 2010/0020297, Proc. of SPIE Vol. A known method as described in 832883280N-1 “EUV Resist Curing Technology for LWR Resistion and Etch Sensitivity Enhancement” may be applied.
Further, the pattern formed by the above method is a spacer process disclosed in, for example, Japanese Patent Application Publication No. 1991-270227 (Japanese Patent Laid-Open No. 3-270227) and US Patent Application Publication No. 2013/209941. Can be used as a core material (Core).

[電子デバイスの製造方法]
また、本発明は、上記したパターン形成方法を含む、電子デバイスの製造方法にも関する。本発明の電子デバイスの製造方法により製造された電子デバイスは、電気電子機器(例えば、家電、OA(Office Automation)関連機器、メディア関連機器、光学用機器、及び通信機器等)に、好適に搭載される。
[Manufacturing method of electronic device]
The present invention also relates to a method for manufacturing an electronic device, including the above-mentioned pattern forming method. The electronic device manufactured by the method for manufacturing an electronic device of the present invention is suitably mounted on an electric electronic device (for example, a home appliance, an OA (Office Automation) related device, a media related device, an optical device, a communication device, etc.). Will be done.

以下に実施例に基づいて本発明を更に詳細に説明する。以下の実施例に示す材料、使用量、割合、処理内容、及び処理手順等は、本発明の趣旨を逸脱しない限り適宜変更することができる。したがって、本発明の範囲は以下に示す実施例により限定的に解釈されるべきものではない。 Hereinafter, the present invention will be described in more detail based on examples. The materials, amounts used, ratios, treatment contents, treatment procedures, etc. shown in the following examples can be appropriately changed as long as they do not deviate from the gist of the present invention. Therefore, the scope of the present invention should not be construed as limiting by the examples shown below.

[感活性光線性又は感放射線性樹脂組成物]
以下に、まず、表2に示す配合の感活性光線性又は感放射線性樹脂組成物(以下、「レジスト組成物」という。)が含む各種成分を示す。
[Actinic cheilitis or radiation-sensitive resin composition]
Below, first, various components contained in the sensitive light-sensitive or radiation-sensitive resin composition (hereinafter referred to as “resist composition”) of the formulation shown in Table 2 are shown.

〔各種成分〕
<樹脂>
下記表1に、表2に示される各樹脂の組成比(質量比)、重量平均分子量(Mw)、及び分散度(Mw/Mn)を示す。また、下記表1に、樹脂中のフッ素原子又はヨウ素原子の含有量(質量%)も併せて示す。
[Various ingredients]
<Resin>
Table 1 below shows the composition ratio (mass ratio), weight average molecular weight (Mw), and dispersity (Mw / Mn) of each resin shown in Table 2. Table 1 below also shows the content (% by mass) of fluorine atoms or iodine atoms in the resin.

Figure 0006992166000053
Figure 0006992166000053

Figure 0006992166000054
Figure 0006992166000054

以下に、表1に示される樹脂P-1~P-59、並びに比較例用樹脂A-1及びA-2に使用される各モノマーの具体的な構造を示す。なお、下記に示すモノマーにおいて、M-1~M-9及びM-63~M-66に由来する繰り返し単位が、一般式(B-1)で表される繰り返し単位に該当する。 The specific structures of the monomers used in the resins P-1 to P-59 shown in Table 1 and the resins A-1 and A-2 for comparative examples are shown below. In the monomers shown below, the repeating units derived from M-1 to M-9 and M-63 to M-66 correspond to the repeating units represented by the general formula (B-1).

Figure 0006992166000055
Figure 0006992166000055

Figure 0006992166000056
Figure 0006992166000056

以下に、表1に示される樹脂合成例を示す。
(合成例1:樹脂P-1の合成)
樹脂P-1の各繰り返し単位(M-1/M-11/M-12)に相当するモノマーを、左から順に50.0g、15.0g、35.0gと、重合開始剤V-601(和光純薬工業(株)製)(12.72g)と、をシクロヘキサノン(358g)に溶解させた。このように得られた溶液を、モノマー溶液とした。
反応容器中にシクロヘキサノン(198g)を入れ、系中が85℃となるように調整した上記反応容器中に、窒素ガス雰囲気下で、4時間かけて上記モノマー溶液を滴下した。得られた反応溶液を、反応容器中で2時間、85℃で撹拌した後、これを室温になるまで放冷した。
放冷後の反応溶液を、メタノール及び水の混合液(メタノール/水=5/5(質量比))に20分かけて滴下し、析出した粉体をろ取した。得られた粉体を乾燥し、樹脂P-1(31.6g)を得た。
NMR(核磁気共鳴)法から求めた各繰り返し単位の組成比(質量比)は50/15/35であった。樹脂P-1のGPC法による重量平均分子量は標準ポリスチレン換算で6200、分散度(Mw/Mn)は1.6であった。
An example of resin synthesis shown in Table 1 is shown below.
(Synthesis Example 1: Synthesis of Resin P-1)
The monomers corresponding to each repeating unit (M-1 / M-11 / M-12) of the resin P-1 were added in order from the left to 50.0 g, 15.0 g, 35.0 g, and the polymerization initiator V-601 (the polymerization initiator V-601). Wako Pure Chemical Industries, Ltd. (12.72 g) and cyclohexanone (358 g) were dissolved. The solution thus obtained was used as a monomer solution.
Cyclohexanone (198 g) was placed in the reaction vessel, and the monomer solution was added dropwise over 4 hours in the reaction vessel adjusted so that the temperature in the system was 85 ° C. under a nitrogen gas atmosphere. The obtained reaction solution was stirred in a reaction vessel for 2 hours at 85 ° C., and then allowed to cool to room temperature.
The reaction solution after allowing to cool was added dropwise to a mixed solution of methanol and water (methanol / water = 5/5 (mass ratio)) over 20 minutes, and the precipitated powder was collected by filtration. The obtained powder was dried to obtain resin P-1 (31.6 g).
The composition ratio (mass ratio) of each repeating unit determined by the NMR (nuclear magnetic resonance) method was 50/15/35. The weight average molecular weight of the resin P-1 by the GPC method was 6200 in terms of standard polystyrene, and the dispersity (Mw / Mn) was 1.6.

(樹脂P-2~P-59、並びに、比較例用樹脂A-1及びA-2の合成)
上記合成例1と同様の操作を行い、後掲の樹脂P-2~P-59、並びに比較例用樹脂A-1及びA-2を合成した。なお、樹脂P-2~P-59、並びに比較例用樹脂A-1及びA-2において、各繰り返し単位の組成(質量比)、重量平均分子量(Mw)、及び分散度(Mw/Mn)は、上述した樹脂P-1と同様の方法により求めた。
(Synthesis of resins P-2 to P-59 and comparative examples resins A-1 and A-2)
The same operation as in Synthesis Example 1 was carried out to synthesize the resins P-2 to P-59 described later, and the resins A-1 and A-2 for Comparative Examples. In the resins P-2 to P-59 and the comparative examples resins A-1 and A-2, the composition (mass ratio), weight average molecular weight (Mw), and dispersity (Mw / Mn) of each repeating unit. Was obtained by the same method as the above-mentioned resin P-1.

<光酸発生剤>
表2に示される光酸発生剤の構造を以下に示す。
なお、以下においては、光酸発生剤のカチオン部とアニオン部をそれぞれ個別に示す。つまり、表2に示す光酸発生剤は、カチオン部とアニオン部との組み合わせからなる。但し、下記に示すPAG-Cation10については、一分子中にカチオン部とアニオン部を有する両性イオンであり、単独で光酸発生剤として作用する。
(光酸発生剤のカチオン部)
<Photoacid generator>
The structure of the photoacid generator shown in Table 2 is shown below.
In the following, the cation part and the anion part of the photoacid generator are shown individually. That is, the photoacid generator shown in Table 2 is composed of a combination of a cation portion and an anion portion. However, PAG-Cation 10 shown below is an amphoteric ion having a cation portion and an anion portion in one molecule, and acts alone as a photoacid generator.
(Cation part of photoacid generator)

Figure 0006992166000057
Figure 0006992166000057

(光酸発生剤のアニオン部) (Anion part of photoacid generator)

Figure 0006992166000058
Figure 0006992166000058

<酸拡散制御剤>
表2に示される酸拡散制御剤の構造を以下に示す。
<Acid diffusion control agent>
The structure of the acid diffusion control agent shown in Table 2 is shown below.

Figure 0006992166000059
Figure 0006992166000059

<添加ポリマー(疎水性樹脂)>
表2に示される添加ポリマー(疎水性樹脂)の構造を以下に示す。
なお、以下の式中の数値は、各繰り返し単位の質量比(質量%)を表す。
<Additional polymer (hydrophobic resin)>
The structure of the added polymer (hydrophobic resin) shown in Table 2 is shown below.
The numerical values in the following formulas represent the mass ratio (mass%) of each repeating unit.

Figure 0006992166000060
Figure 0006992166000060

<界面活性剤>
表2に示される界面活性剤を以下に示す。
W-1: メガファックF176(DIC(株)製;フッ素系)
W-2: メガファックR08(DIC(株)製;フッ素及びシリコン系)
<Surfactant>
The surfactants shown in Table 2 are shown below.
W-1: Megafuck F176 (manufactured by DIC Corporation; fluorine-based)
W-2: Megafuck R08 (manufactured by DIC Corporation; fluorine and silicon)

<溶剤>
表2に示される溶剤を以下に示す。
SL-1: プロピレングリコールモノメチルエーテルアセテート(PGMEA)
SL-2: プロピレングリコールモノメチルエーテル(PGME)
SL-3: 乳酸エチル
SL-4: γ-ブチロラクトン
SL-5: シクロヘキサノン
<Solvent>
The solvents shown in Table 2 are shown below.
SL-1: Propylene glycol monomethyl ether acetate (PGMEA)
SL-2: Propylene glycol monomethyl ether (PGME)
SL-3: Ethyl lactate SL-4: γ-Butyrolactone SL-5: Cyclohexanone

〔レジスト組成物の調製〕
表2に示す各成分を、表2に記載の固形分濃度及び組成となるように混合した。次いで、得られた混合液を、0.03μmのポアサイズを有するポリエチレンフィルターで濾過することにより、レジスト組成物を調液した。なお、レジスト組成物において、固形分とは、溶剤以外の全ての成分を意味する。得られたレジスト組成物を、実施例及び比較例で使用した。
なお、以下の「樹脂」、「光酸発生剤」、「酸拡散制御剤」、「添加ポリマー」、及び「界面活性剤」の欄に記載の各成分の含有量(質量%)は、全固形分に対する各成分の割合を表す。「溶剤」の欄における記載は、各溶剤の含有量の質量比を表す。
[Preparation of resist composition]
Each component shown in Table 2 was mixed so as to have the solid content concentration and composition shown in Table 2. Then, the obtained mixed solution was filtered through a polyethylene filter having a pore size of 0.03 μm to prepare a resist composition. In the resist composition, the solid content means all components other than the solvent. The obtained resist composition was used in Examples and Comparative Examples.
The content (% by mass) of each component described in the columns of "resin", "photoacid generator", "acid diffusion control agent", "added polymer", and "surfactant" below is all. Represents the ratio of each component to the solid content. The description in the column of "solvent" represents the mass ratio of the content of each solvent.

Figure 0006992166000061
Figure 0006992166000061

Figure 0006992166000062
Figure 0006992166000062

〔パターン形成及び評価〕
上記レジスト組成物を用いてパターンを形成した。パターンの形成においては、以下に示す、現像液及びリンス液、並びに、下層膜を使用した。
[Pattern formation and evaluation]
A pattern was formed using the above resist composition. In forming the pattern, the developer and rinse solutions and the underlayer film shown below were used.

<現像液及びリンス液>
D-1: 3.00質量%テトラメチルアンモニウムヒドロキシド水溶液
D-2: 2.38質量%テトラメチルアンモニウムヒドロキシド水溶液
D-3: 1.50質量%テトラメチルアンモニウムヒドロキシド水溶液
D-4: 1.00質量%テトラメチルアンモニウムヒドロキシド水溶液
D-5: 0.80質量%テトラメチルアンモニウムヒドロキシド水溶液
D-6: 純水
D-7: FIRM Extreme 10(AZEM製)
E-1: 酢酸ブチル
E-2: 2-ヘプタノン
E-3: ジイソブチルケトン
E-4: 酢酸イソアミル
E-5: ジブチルエーテル
E-6: ウンデカン
<Developer and rinse solution>
D-1: 3.00 mass% tetramethylammonium hydroxide aqueous solution D-2: 2.38 mass% tetramethylammonium hydroxide aqueous solution D-3: 1.50 mass% tetramethylammonium hydroxide aqueous solution D-4: 1 .00 Mass% Tetramethylammonium Hydroxide Aqueous Solution D-5: 0.80 Mass% Tetramethylammonium Hydroxide Aqueous Solution D-6: Pure Water D-7: FIRM Extreme 10 (manufactured by AZEM)
E-1: Butyl acetate E-2: 2-Heptanone E-3: Diisobutyl ketone E-4: Isoamyl acetate E-5: Dibutyl ether E-6: Undecane

<下層膜>
UL-1: AL412(Brewer Science社製)
UL-2: SHB-A940 (信越化学工業社製)
<Underlayer membrane>
UL-1: AL412 (manufactured by Brewer Science)
UL-2: SHB-A940 (manufactured by Shin-Etsu Chemical Co., Ltd.)

<パターン形成>
後掲の表3に記載の下層膜を形成したシリコンウエハ(12インチ)上に、表3に記載のレジスト組成物を塗布して塗膜を形成した。得られた塗膜を、表3中の「レジスト塗布条件」欄に記載のbake条件にて加熱し、表3に記載の膜厚を有するレジスト膜を形成し、レジスト膜を有するシリコンウエハを得た。
EUV露光装置(Exitech社製、Micro Exposure Tool、NA0.3、Quadrupol、アウターシグマ0.68、インナーシグマ0.36)を用いて、得られたレジスト膜を有するシリコンウエハに対してパターン照射を行った。なお、レクチルとしては、ラインサイズ=20nmであり、且つ、ライン:スペース=1:1であるマスクを用いた。
その後、後掲の表3中の「PEB・現像条件」欄に示した条件でベーク(Post Exposure Bake;PEB)した後、表3に示した現像液で30秒間パドルして現像し、表3に示したリンス液でパドルしてリンスした。その後、4000rpmの回転数で30秒間シリコンウエハを回転させ、更に、90℃で60秒間ベークすることにより、ピッチ40nm、ライン幅20nm(スペース幅20nm)のラインアンドスペースパターンを得た。
<Pattern formation>
The resist composition shown in Table 3 was applied onto a silicon wafer (12 inches) on which the underlayer film shown in Table 3 below was formed to form a coating film. The obtained coating film is heated under the bake conditions described in the "resist coating conditions" column in Table 3 to form a resist film having the film thickness shown in Table 3 to obtain a silicon wafer having the resist film. rice field.
Using an EUV exposure device (Micro Exposure Tool, NA0.3, Quadrupole, outer sigma 0.68, inner sigma 0.36, manufactured by Exitech), pattern irradiation was performed on the silicon wafer having the obtained resist film. rice field. As the lectil, a mask having a line size of 20 nm and a line: space = 1: 1 was used.
Then, after baking (Post Exposure Bake; PEB) under the conditions shown in the "PEB / development conditions" column in Table 3 below, paddle with the developer shown in Table 3 for 30 seconds to develop, and then Table 3 It was paddled and rinsed with the rinse solution shown in. Then, the silicon wafer was rotated at a rotation speed of 4000 rpm for 30 seconds and further baked at 90 ° C. for 60 seconds to obtain a line-and-space pattern having a pitch of 40 nm and a line width of 20 nm (space width of 20 nm).

<評価>
上記の方法で得られたレジスト膜及びパターンを用いて、下記に示す評価を行った。結果を表3にまとめる。
<Evaluation>
Using the resist film and pattern obtained by the above method, the evaluation shown below was performed. The results are summarized in Table 3.

(感度)
露光量を変化させながら形成したラインアンドスペースパターンのライン幅を測定し、ライン幅が20nmとなる際の露光量(最適露光量)を求め、これを感度(mJ/cm)とした。この値が小さいほど、レジスト膜が高感度であることを示し良好な性能であることを示す。
(sensitivity)
The line width of the line-and-space pattern formed while changing the exposure amount was measured, and the exposure amount (optimum exposure amount) when the line width became 20 nm was obtained, and this was defined as the sensitivity (mJ / cm 2 ). The smaller this value is, the higher the sensitivity of the resist film is and the better the performance is.

(倒れ抑制性(パターン倒れ抑制性))
露光量を変化させながら形成したラインアンドスペースパターンのライン幅を測定した。この際、10μm四方にわたりパターンが倒れることなく解像している最小のライン幅を、倒れ線幅とした。この値が小さいほど、パターン倒れのマージンが広く、パターン倒れ抑制性が良好であることを示す。
(Inhibitory of collapse (inhibitory of pattern collapse))
The line width of the line-and-space pattern formed while changing the exposure amount was measured. At this time, the minimum line width in which the pattern is resolved without collapsing over 10 μm square is defined as the tilt line width. The smaller this value is, the wider the margin of pattern collapse is, and the better the pattern collapse suppression property is.

(LER性能)
感度評価における最適露光量にて解像したラインアンドスペースのレジストパターンの観測において、測長走査型電子顕微鏡(SEM:Scanning Electron Microscope(日立ハイテクノロジーズ社製 CG-4100))にてパターン上部から観察する際、パターンの中心からエッジまでの距離を任意のポイント(100点)で観測し、その測定ばらつきを3σで評価した。値が小さいほど良好なLER性能であることを示す。
(LER performance)
In observing a line-and-space resist pattern resolved at the optimum exposure in sensitivity evaluation, observe from the top of the pattern with a long-measurement scanning electron microscope (SEM: Scanning Electron Microscope (CG-4100 manufactured by Hitachi High-Technologies)). At that time, the distance from the center of the pattern to the edge was observed at an arbitrary point (100 points), and the measurement variation was evaluated by 3σ. The smaller the value, the better the LER performance.

結果を下記表3に示す。 The results are shown in Table 3 below.

Figure 0006992166000063
Figure 0006992166000063

Figure 0006992166000064
Figure 0006992166000064

表3の結果から、実施例のレジスト組成物(及び実施例のレジスト組成物により形成されるレジスト膜)は、感度が優れていることが確認された。また、実施例のレジスト組成物により形成されるパターンは、倒れ抑制性及びLERが優れていることが確認された。
実施例1と実施例8及び実施例9の対比から、樹脂(X)中の特定ハロゲン原子の含有量が、樹脂(X)の全質量に対して16質量%以上である場合、レジスト組成物の感度がより優れることが確認された。
実施例1及び実施例10~13の対比から、樹脂(X)の重量平均分子量が3,500~15,000の場合、形成されるパターンの倒れ抑制性がより優れていることが確認された。
実施例1と実施例14との対比から、光酸発生剤を2種併用した場合、形成されるパターンの倒れ抑制性がより優れていることが確認された。
実施例1と実施例20~23との対比から、樹脂(X)が、一般式(B-3)で表される繰り返し単位を含み、且つ、L及びLの少なくとも一方が-CO-、-SO-、又は-SO-を表す場合、形成されるパターンの倒れ抑制性がより優れていることが確認された。なかでも、樹脂(X)が、一般式(B-4)で表される繰り返し単位を含む場合、形成されるパターンはLERについてもより優れていることが確認された。
実施例60~実施例65の対比から、現像方法がアルカリ現像であっても有機溶剤現像であっても、同様の効果が得られることが確認された。

From the results in Table 3, it was confirmed that the resist composition of the example (and the resist film formed by the resist composition of the example) had excellent sensitivity. Further, it was confirmed that the pattern formed by the resist composition of the example was excellent in anti-falling property and LER.
From the comparison between Example 1 and Examples 8 and 9, when the content of the specific halogen atom in the resin (X) is 16% by mass or more with respect to the total mass of the resin (X), the resist composition It was confirmed that the sensitivity of the was superior.
From the comparison between Examples 1 and 10 to 13, it was confirmed that when the weight average molecular weight of the resin (X) was 3,500 to 15,000, the pattern collapse inhibitory property was more excellent. ..
From the comparison between Example 1 and Example 14, it was confirmed that when two kinds of photoacid generators were used in combination, the ability to suppress the collapse of the formed pattern was more excellent.
From the comparison between Examples 1 and Examples 20 to 23, the resin (X) contains a repeating unit represented by the general formula (B-3), and at least one of L 3 and L 4 is −CO−. , -SO-, or -SO 2- , it was confirmed that the formed pattern is more excellent in the collapse suppressing property. Among them, it was confirmed that when the resin (X) contains a repeating unit represented by the general formula (B-4), the formed pattern is also superior to LER.
From the comparison of Examples 60 to 65, it was confirmed that the same effect can be obtained regardless of whether the development method is alkaline development or organic solvent development.

Claims (17)

活性光線又は放射線の照射により酸を発生する化合物と、
酸の作用により極性が増大する樹脂と、を含み、
前記樹脂が、下記一般式(B-1)で表される繰り返し単位を含み、かつ、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を含
前記ハロゲン原子の含有量が、前記樹脂の全質量に対して、16質量%以上である、感活性光線性又は感放射線性樹脂組成物。
Figure 0006992166000065

上記式中、X及びYは、各々独立に、酸素原子、硫黄原子、又は-NR-を表す。R、R、及びRは、各々独立に、水素原子又は有機基を表す。環Wは、2つの炭素原子とXとYとを含み、置換基を有していてもよい環を表す。
とRとは、互いに結合して環を形成してもよい。R及びRは、各々独立に、環W中に含まれる原子と互いに結合して環を形成してもよい。X及びYの少なくとも1種以上が-NR-を表す場合、Rは、各々独立に、R、R、又は環W中に含まれる原子と互いに結合して環を形成してもよい。
Compounds that generate acid by irradiation with active light or radiation, and
Containing a resin whose polarity increases due to the action of acid,
The resin contains a repeating unit represented by the following general formula (B-1), and also contains at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom.
A sensitive light-sensitive or radiation-sensitive resin composition in which the content of the halogen atom is 16% by mass or more with respect to the total mass of the resin.
Figure 0006992166000065

In the above formula, X and Y each independently represent an oxygen atom, a sulfur atom, or -NR 3- . R 1 , R 2 , and R 3 each independently represent a hydrogen atom or an organic group. Ring W 1 represents a ring containing two carbon atoms, X and Y, which may have a substituent.
R 1 and R 2 may be coupled to each other to form a ring. R 1 and R 2 may independently bond with the atoms contained in the ring W 1 to form a ring. When at least one of X and Y represents -NR 3- , each R 3 independently combines with an atom contained in R 1 , R 2 , or ring W 1 to form a ring. May be good.
前記一般式(B-1)で表される繰り返し単位が、下記一般式(B-2)で表される繰り返し単位である、請求項1に記載の感活性光線性又は感放射線性樹脂組成物。
Figure 0006992166000066

上記式中、X及びYは、各々独立に、酸素原子、硫黄原子、又は-NR-を表す。L及びLは、各々独立に、-CO-、-C(R)(R)-、-SO-、-SO-、及びその組み合わせからなる群より選択される2価の連結基を表す。R、R、R、R、及びRは、各々独立に、水素原子又は有機基を表す。
とRとは、互いに結合して環を形成してもよい。RとRとは、互いに結合して環を形成してもよい。X及びYの少なくとも1種以上が-NR-を表す場合、Rは、各々独立に、R、R、R、又はRと互いに結合して環を形成してもよい。
The actinic light-sensitive or radiation-sensitive resin composition according to claim 1, wherein the repeating unit represented by the general formula (B-1) is a repeating unit represented by the following general formula (B-2). ..
Figure 0006992166000066

In the above formula, X and Y each independently represent an oxygen atom, a sulfur atom, or -NR 3- . L 1 and L 2 are divalent concatenations independently selected from the group consisting of -CO-, -C (R 4 ) (R 5 )-, -SO-, -SO 2- , and combinations thereof. Represents a group. R 1 , R 2 , R 3 , R 4 , and R 5 each independently represent a hydrogen atom or an organic group.
R 1 and R 2 may be coupled to each other to form a ring. R 4 and R 5 may be coupled to each other to form a ring. If at least one of X and Y represents −NR 3− , R 3 may independently combine with R 1 , R 2 , R 4 or R 5 to form a ring.
前記一般式(B-2)で表される繰り返し単位が、下記一般式(B-3)で表される繰り返し単位である、請求項2に記載の感活性光線性又は感放射線性樹脂組成物。
Figure 0006992166000067

上記式中、X及びYは、各々独立に、酸素原子、硫黄原子、又は-NR-を表す。L及びLは、各々独立に、-CO-、-C(R)(R)-、-SO-、又は-SO-を表す。R、R、R、R、及びRは、各々独立に、水素原子又は有機基を表す。
とRとは、互いに結合して環を形成してもよい。RとRとは、互いに結合して環を形成してもよい。
The actinic light-sensitive or radiation-sensitive resin composition according to claim 2, wherein the repeating unit represented by the general formula (B-2) is a repeating unit represented by the following general formula (B-3). ..
Figure 0006992166000067

In the above formula, X and Y each independently represent an oxygen atom, a sulfur atom, or -NR 3- . L 3 and L 4 independently represent -CO-, -C (R 4 ) (R 5 )-, -SO-, or -SO 2- . R 1 , R 2 , R 3 , R 4 , and R 5 each independently represent a hydrogen atom or an organic group.
R 1 and R 2 may be coupled to each other to form a ring. R 4 and R 5 may be coupled to each other to form a ring.
及びLの少なくとも一方が、-CO-、-SO-、又は-SO-を表す、請求項3に記載の感活性光線性又は感放射線性樹脂組成物。 The actinic or radiation-sensitive resin composition according to claim 3, wherein at least one of L 3 and L 4 represents -CO-, -SO-, or -SO 2- . 前記一般式(B-3)で表される繰り返し単位が、下記一般式(B-4)で表される繰り返し単位である、請求項3又は4に記載の感活性光線性又は感放射線性樹脂組成物。
Figure 0006992166000068

上記式中、R及びRは、各々独立に、水素原子又は有機基を表す。
とRとは、互いに結合して環を形成してもよい。
The actinic or radiation-sensitive resin according to claim 3 or 4, wherein the repeating unit represented by the general formula (B-3) is a repeating unit represented by the following general formula (B-4). Composition.
Figure 0006992166000068

In the above formula, R 1 and R 2 each independently represent a hydrogen atom or an organic group.
R 1 and R 2 may be coupled to each other to form a ring.
活性光線又は放射線の照射により酸を発生する化合物と、Compounds that generate acid by irradiation with active light or radiation, and
酸の作用により極性が増大する樹脂と、を含み、Containing a resin whose polarity increases due to the action of acid,
前記樹脂が、下記一般式(B-1)で表される繰り返し単位を含み、かつ、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を含む、感活性光線性又は感放射線性樹脂組成物。The resin contains a repeating unit represented by the following general formula (B-1) and contains at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom. Sex resin composition.
Figure 0006992166000069
Figure 0006992166000069

上記式中、X及びYは、各々独立に、酸素原子、硫黄原子、又は-NRIn the above formula, X and Y are independently oxygen atom, sulfur atom, or -NR. 3 -を表す。R-Represents. R 1 、R, R 2 、及びR, And R 3 は、各々独立に、水素原子又は有機基を表す。ただし、RIndependently represent a hydrogen atom or an organic group. However, R 1 及びRAnd R 2 の少なくとも一方は、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を有する有機基を表す。前記環WAt least one of the above represents an organic group having at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom. The ring W 1 は、2つの炭素原子とXとYとを含み、置換基を有していてもよい環を表す。Represents a ring containing two carbon atoms, X and Y, which may have a substituent.
R 1 及びRAnd R 2 は、各々独立に、環WIndependently, ring W 1 中に含まれる原子と互いに結合して環を形成してもよい。X及びYの少なくとも1種以上が-NRThe atoms contained therein may be bonded to each other to form a ring. At least one of X and Y is -NR 3 -を表す場合、RWhen representing-, R 3 は、各々独立に、RAre independent of each other, R 1 、R, R 2 、又は環W, Or ring W 1 中に含まれる原子と互いに結合して環を形成してもよい。The atoms contained therein may be bonded to each other to form a ring.
前記一般式(B-1)で表される繰り返し単位が、下記一般式(B-2)で表される繰り返し単位である、請求項6に記載の感活性光線性又は感放射線性樹脂組成物。The actinic light-sensitive or radiation-sensitive resin composition according to claim 6, wherein the repeating unit represented by the general formula (B-1) is a repeating unit represented by the following general formula (B-2). ..
Figure 0006992166000070
Figure 0006992166000070

上記式中、X及びYは、各々独立に、酸素原子、硫黄原子、又は-NRIn the above formula, X and Y are independently oxygen atom, sulfur atom, or -NR. 3 -を表す。L-Represents. L 1 及びLAnd L 2 は、各々独立に、-CO-、-C(RAre independently -CO- and -C (R). 4 )(R) (R 5 )-、-SO-、-SO)-, -SO-, -SO 2 -、及びその組み合わせからなる群より選択される2価の連結基を表す。R-Represents a divalent linking group selected from the group consisting of and combinations thereof. R 1 、R, R 2 、R, R 3 、R, R 4 、及びR, And R 5 は、各々独立に、水素原子又は有機基を表す。ただし、RIndependently represent a hydrogen atom or an organic group. However, R 1 及びRAnd R 2 の少なくとも一方は、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を有する有機基を表す。At least one of the above represents an organic group having at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom.
R 4 とRAnd R 5 とは、互いに結合して環を形成してもよい。X及びYの少なくとも1種以上が-NRMay be combined with each other to form a ring. At least one of X and Y is -NR 3 -を表す場合、RWhen representing-, R 3 は、各々独立に、RAre independent of each other, R 1 、R, R 2 、R, R 4 、又はR, Or R 5 と互いに結合して環を形成してもよい。May be combined with each other to form a ring.
前記一般式(B-2)で表される繰り返し単位が、下記一般式(B-3)で表される繰り返し単位である、請求項7に記載の感活性光線性又は感放射線性樹脂組成物。The actinic light-sensitive or radiation-sensitive resin composition according to claim 7, wherein the repeating unit represented by the general formula (B-2) is a repeating unit represented by the following general formula (B-3). ..
Figure 0006992166000071
Figure 0006992166000071

上記式中、X及びYは、各々独立に、酸素原子、硫黄原子、又は-NRIn the above formula, X and Y are independently oxygen atom, sulfur atom, or -NR. 3 -を表す。L-Represents. L 3 及びLAnd L 4 は、各々独立に、-CO-、-C(RAre independently -CO- and -C (R). 4 )(R) (R 5 )-、-SO-、又は-SO)-, -SO-, or -SO 2 -を表す。R-Represents. R 1 、R, R 2 、R, R 3 、R, R 4 、及びR, And R 5 は、各々独立に、水素原子又は有機基を表す。ただし、RIndependently represent a hydrogen atom or an organic group. However, R 1 及びRAnd R 2 の少なくとも一方は、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を有する有機基を表す。At least one of the above represents an organic group having at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom.
R 4 とRAnd R 5 とは、互いに結合して環を形成してもよい。May be combined with each other to form a ring.
L 3 及びLAnd L 4 の少なくとも一方が、-CO-、-SO-、又は-SOAt least one of is -CO-, -SO-, or -SO 2 -を表す、請求項8に記載の感活性光線性又は感放射線性樹脂組成物。The actinic light-sensitive or radiation-sensitive resin composition according to claim 8. 前記一般式(B-3)で表される繰り返し単位が、下記一般式(B-4)で表される繰り返し単位である、請求項8又は9に記載の感活性光線性又は感放射線性樹脂組成物。The actinic or radiation-sensitive resin according to claim 8 or 9, wherein the repeating unit represented by the general formula (B-3) is a repeating unit represented by the following general formula (B-4). Composition.
Figure 0006992166000072
Figure 0006992166000072

上記式中、RIn the above formula, R 1 及びRAnd R 2 は、各々独立に、水素原子又は有機基を表す。ただし、RIndependently represent a hydrogen atom or an organic group. However, R 1 及びRAnd R 2 の少なくとも一方は、フッ素原子及びヨウ素原子からなる群より選ばれる少なくとも1種のハロゲン原子を有する有機基を表す。At least one of the above represents an organic group having at least one halogen atom selected from the group consisting of a fluorine atom and an iodine atom.
前記樹脂の重量平均分子量が、2,000~30,000である、請求項1~10のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。 The actinic light-sensitive or radiation-sensitive resin composition according to any one of claims 1 to 10 , wherein the resin has a weight average molecular weight of 2,000 to 30,000. 前記樹脂が、フッ素原子を含む、請求項1~11のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物。 The actinic light-sensitive or radiation-sensitive resin composition according to any one of claims 1 to 11 , wherein the resin contains a fluorine atom. 請求項1~12のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物により形成されたレジスト膜。 A resist film formed by the sensitive light-sensitive or radiation-sensitive resin composition according to any one of claims 1 to 12 . 請求項1~12のいずれか1項に記載の感活性光線性又は感放射線性樹脂組成物を用いてレジスト膜を形成するレジスト膜形成工程と、
前記レジスト膜を露光する露光工程と、
露光された前記レジスト膜を、現像液を用いて現像する現像工程と、を含むパターン形成方法。
A resist film forming step of forming a resist film using the sensitive light-sensitive or radiation-sensitive resin composition according to any one of claims 1 to 12 .
The exposure process for exposing the resist film and
A pattern forming method comprising a developing step of developing the exposed resist film with a developing solution.
前記露光工程が、極紫外光で前記レジスト膜を露光する工程である、請求項14に記載のパターン形成方法。 The pattern forming method according to claim 14 , wherein the exposure step is a step of exposing the resist film with extreme ultraviolet light. 前記現像液が、有機溶剤を含む現像液であ、請求項14又は15に記載のパターン形成方法。 The pattern forming method according to claim 14 , wherein the developer is a developer containing an organic solvent. 請求項1416のいずれか1項に記載のパターン形成方法を含む、電子デバイスの製造方法。 A method for manufacturing an electronic device, which comprises the pattern forming method according to any one of claims 14 to 16 .
JP2020510420A 2018-03-30 2019-02-20 Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device Active JP6992166B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018069224 2018-03-30
JP2018069224 2018-03-30
PCT/JP2019/006186 WO2019187803A1 (en) 2018-03-30 2019-02-20 Active-ray-sensitive or radiation-sensitive resin composition, resist film, method for forming pattern, and method for manufacturing electronic device

Publications (2)

Publication Number Publication Date
JPWO2019187803A1 JPWO2019187803A1 (en) 2021-02-25
JP6992166B2 true JP6992166B2 (en) 2022-01-13

Family

ID=68060163

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020510420A Active JP6992166B2 (en) 2018-03-30 2019-02-20 Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device

Country Status (2)

Country Link
JP (1) JP6992166B2 (en)
WO (1) WO2019187803A1 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7333213B2 (en) * 2018-07-13 2023-08-24 住友化学株式会社 RESIN, RESIST COMPOSITION AND METHOD FOR MANUFACTURING RESIST PATTERN
WO2020049963A1 (en) * 2018-09-07 2020-03-12 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for manufacturing electronic device
JP7134066B2 (en) * 2018-11-02 2022-09-09 東京応化工業株式会社 Resist composition and resist pattern forming method
JP7158251B2 (en) * 2018-11-15 2022-10-21 東京応化工業株式会社 Resist composition and resist pattern forming method
JPWO2020203073A1 (en) * 2019-03-29 2020-10-08
JP2021076650A (en) * 2019-11-06 2021-05-20 東京応化工業株式会社 Resist composition and resist pattern forming method
JPWO2023085414A1 (en) 2021-11-15 2023-05-19
WO2023157712A1 (en) * 2022-02-15 2023-08-24 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method, and polymer
WO2024029354A1 (en) * 2022-08-01 2024-02-08 サンアプロ株式会社 Sulfonium salt and acid generator containing said sulfonium salt
WO2024147289A1 (en) * 2023-01-06 2024-07-11 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, and electronic device production method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007093909A (en) 2005-09-28 2007-04-12 Fujifilm Corp Positive resist composition and pattern forming method using same
JP2010077440A (en) 2001-10-23 2010-04-08 Mitsubishi Rayon Co Ltd Polymer for resist, resist composition, and method for forming pattern
JP2011195818A (en) 2010-02-24 2011-10-06 Central Glass Co Ltd Fluorine-containing lactone monomeric compound, fluorine-containing lactone polymeric compound, resist fluid of the same, and method for pattern formation using the same
JP2017058421A (en) 2015-09-14 2017-03-23 Jsr株式会社 Radiation-sensitive resin composition and method for forming resist pattern

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010077440A (en) 2001-10-23 2010-04-08 Mitsubishi Rayon Co Ltd Polymer for resist, resist composition, and method for forming pattern
JP2007093909A (en) 2005-09-28 2007-04-12 Fujifilm Corp Positive resist composition and pattern forming method using same
JP2011195818A (en) 2010-02-24 2011-10-06 Central Glass Co Ltd Fluorine-containing lactone monomeric compound, fluorine-containing lactone polymeric compound, resist fluid of the same, and method for pattern formation using the same
JP2017058421A (en) 2015-09-14 2017-03-23 Jsr株式会社 Radiation-sensitive resin composition and method for forming resist pattern

Also Published As

Publication number Publication date
WO2019187803A1 (en) 2019-10-03
JPWO2019187803A1 (en) 2021-02-25

Similar Documents

Publication Publication Date Title
JP6992166B2 (en) Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
WO2020004306A1 (en) Active ray-sensitive or radiation-sensitive resin composition, pattern formation method, electronic device manufacturing method, resin
JP7053789B2 (en) Sensitive ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method, resin
JP7016873B2 (en) Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
JP7041756B2 (en) Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
JP6987873B2 (en) A method for producing a sensitive light-sensitive or radiation-sensitive resin composition, a resist film, a pattern forming method, and an electronic device.
JPWO2019187445A1 (en) Actinic light-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and manufacturing method of electronic device
JP2023184542A (en) Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method, resist film, and method for manufacturing electronic device
JP6861284B2 (en) Actinic light-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
JP6937834B2 (en) Actinic light-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and manufacturing method of electronic device
JP7379536B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, pattern forming method, and electronic device manufacturing method
JP7220229B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method
WO2020241099A1 (en) Actinic-ray-sensitive or radiation-sensitive resin composition, method for forming pattern, and method for producing electronic device
JP7084995B2 (en) Sensitive ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, electronic device manufacturing method, resin
WO2022024856A1 (en) Active-ray-sensitive or radiation-sensitive resin composition, method for manufacturing electronic device, and compound
WO2021065549A1 (en) Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, method for forming pattern, and method for producing electronic device
JPWO2020105523A1 (en) Actinic light-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
JP7039715B2 (en) Actinic cheilitis or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic device
WO2021065548A1 (en) Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, pattern forming method, and electronic device manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210928

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211208

R150 Certificate of patent or registration of utility model

Ref document number: 6992166

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150