JP6017902B2 - Inspection device - Google Patents

Inspection device Download PDF

Info

Publication number
JP6017902B2
JP6017902B2 JP2012203375A JP2012203375A JP6017902B2 JP 6017902 B2 JP6017902 B2 JP 6017902B2 JP 2012203375 A JP2012203375 A JP 2012203375A JP 2012203375 A JP2012203375 A JP 2012203375A JP 6017902 B2 JP6017902 B2 JP 6017902B2
Authority
JP
Japan
Prior art keywords
lens
optical system
electrons
electron
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012203375A
Other languages
Japanese (ja)
Other versions
JP2014060001A (en
Inventor
當間 康
康 當間
畠山 雅規
雅規 畠山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp filed Critical Ebara Corp
Priority to JP2012203375A priority Critical patent/JP6017902B2/en
Priority to TW102129102A priority patent/TWI617805B/en
Priority to KR1020130109870A priority patent/KR102145469B1/en
Priority to US14/026,385 priority patent/US8742344B2/en
Publication of JP2014060001A publication Critical patent/JP2014060001A/en
Priority to US14/258,607 priority patent/US8946629B2/en
Priority to US14/571,594 priority patent/US20150097116A1/en
Application granted granted Critical
Publication of JP6017902B2 publication Critical patent/JP6017902B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Electron Sources, Ion Sources (AREA)

Description

本発明は、検査対象の表面に形成されたパターンの欠陥等を検査する検査装置に関し、詳しくは、検査対象の表面の性状に応じて変化する二次荷電粒子を捕捉して画像データを形成し、その画像データに基づいて検査対象の表面に形成されたパターン等を高いスループットで検査する検査装置、並びに検査方法に関する。   The present invention relates to an inspection apparatus that inspects a defect or the like of a pattern formed on a surface of an inspection target, and more specifically, captures secondary charged particles that change according to the properties of the surface of the inspection target to form image data. The present invention also relates to an inspection apparatus and an inspection method for inspecting a pattern or the like formed on a surface to be inspected with high throughput based on the image data.

従来の半導体検査装置は、100nmデザインルールに対応した装置と技術であった。しかし、検査対象の試料は、ウエハ、露光用マスク、EUVマスク、NIL(ナノインプリントリソグラフィ)マスク及び基板と多様化しており、現在は試料が5〜30nmのデザインルールに対応した装置及び技術が求められている。すなわち、パターンにおけるL/S(ライン/スペース)又はhp(ハーフピッチ)のノードが5〜30nmの世代に対する対応が求められている。このような試料を検査装置で検査する場合、高分解能を得ることが必要になる。   The conventional semiconductor inspection apparatus has been an apparatus and technology corresponding to the 100 nm design rule. However, the samples to be inspected are diversified with wafers, exposure masks, EUV masks, NIL (nanoimprint lithography) masks, and substrates, and currently, there is a need for an apparatus and technology corresponding to the design rule for samples of 5 to 30 nm. ing. That is, it is required to deal with generations in which the node of L / S (line / space) or hp (half pitch) in the pattern is 5 to 30 nm. When inspecting such a sample with an inspection apparatus, it is necessary to obtain high resolution.

ここで「試料」とは、露光用マスク、EUVマスク、ナノインプリント用マスク(及びテンプレート)、半導体ウエハ、光学素子用基板、光回路用基板等である。これらは、パターンを有するものとパターンがないものとがある。パターンが有るものは、凹凸のあるものとないものとが有る。凹凸のないパターンは、異なった材料によるパターン形成がなされている。パターンがないものには、酸化膜がコーティングされているものと、酸化膜がコーティングされていないものとが有る。   Here, “sample” refers to an exposure mask, EUV mask, nanoimprint mask (and template), semiconductor wafer, optical element substrate, optical circuit substrate, and the like. Some of these have a pattern and some have no pattern. Some of them have a pattern and some do not. Patterns with no irregularities are formed with different materials. Those without a pattern include those coated with an oxide film and those not coated with an oxide film.

ここで、従来技術の検査装置の課題をまとめると以下のとおりとなる。   Here, the problems of the conventional inspection apparatus are summarized as follows.

第一に、分解能とスループットの不足の問題である。写像光学系の従来技術において、ピクセルサイズは50nm、収差200nm程度であった。さらに高分解能とスループットを向上させるには、収差低減、照射電流のエネルギ幅の低減、小ピクセルサイズ、電流量の増加が必要であった。   The first is the problem of lack of resolution and throughput. In the prior art of the mapping optical system, the pixel size is about 50 nm and the aberration is about 200 nm. In order to further improve the high resolution and throughput, it is necessary to reduce aberration, reduce the energy width of the irradiation current, increase the small pixel size, and increase the amount of current.

第二に、SEM式の検査の場合、微細構造の検査になるほど、スループットの問題は大きくなる。より小さなピクセルサイズを用いないと像の解像が不足するからである。これらはSEMが主にエッジコントラストによる像形成と欠陥検査を行うことに起因する。たとえば、5nmPxサイズ、200MPPSであれば、およそ6hr/cm2となる。これは、写像投影式の20〜50倍の時間がかかり、検査において非現実的である。 Second, in the case of the SEM type inspection, the problem of throughput increases as the inspection of the fine structure is performed. This is because image resolution is insufficient unless a smaller pixel size is used. These are due to the fact that SEM mainly performs image formation and defect inspection by edge contrast. For example, in the case of 5 nm Px size and 200 MPPS, it is approximately 6 hr / cm 2 . This takes 20 to 50 times as long as the projection method, and is unrealistic in inspection.

国際公開第2002/001596号International Publication No. 2002/001596 特開2007−48686号公報JP 2007-48686 A 特開平11−132975号公報Japanese Patent Laid-Open No. 11-132975

従来の検査装置では、光電子発生装置の光電子面の母材として、石英や合成石英などが用いられるが、石英や合成石英は熱伝導率が低く、電子照射を受けた部分の熱を速やかに発散させることができない。そのため、検査装置の分解能を高めてスループットを向上させるために、光電子面に照射するレーザのパワー密度を高くしようとすると、電子照射により光電子面がダメージを受けてしまい、量子効率の低下や場所によって量子効率にムラが発生してしまうという問題があった。   In conventional inspection equipment, quartz or synthetic quartz is used as the base material for the photoelectron surface of the photoelectron generator. However, quartz and synthetic quartz have low thermal conductivity, and the heat of the part irradiated with electrons is quickly dissipated. I can't let you. Therefore, in order to increase the resolution of the inspection apparatus and improve the throughput, when trying to increase the power density of the laser that irradiates the photoelectron surface, the photoelectron surface is damaged by the electron irradiation, depending on the decrease in quantum efficiency and the location. There was a problem that unevenness in quantum efficiency occurred.

本発明は、上記の課題に鑑みてなされたもので、電子照射により光電子面が受けるダメージを低減させることのできる検査装置を提供することを目的とする。   The present invention has been made in view of the above problems, and an object of the present invention is to provide an inspection apparatus capable of reducing damage to the photoelectron surface caused by electron irradiation.

本発明の検査装置は、荷電粒子又は電磁波の何れかをビームとして発生させるビーム発生手段と、ワーキングチャンバ内に保持した検査対象に、ビームを導き照射する1次光学系と、検査対象から発生した二次荷電粒子を検出する2次光学系と、検出された二次荷電粒子に基づいて画像を形成する画像処理系と、を備え、1次光学系は、光電子面を有する光電子発生装置を有し、光電子面の母材には、石英より熱伝導率の高い材料が用いられる。   The inspection apparatus of the present invention is generated from a beam generating means for generating either charged particles or electromagnetic waves as a beam, a primary optical system for directing and irradiating the beam to the inspection object held in the working chamber, and the inspection object. A secondary optical system for detecting secondary charged particles; and an image processing system for forming an image based on the detected secondary charged particles. The primary optical system includes a photoelectron generator having a photoelectron surface. A material having higher thermal conductivity than quartz is used for the base material of the photoelectron surface.

また、本発明の検査装置では、光電子面の母材に、サファイア、または、ダイアモンドが用いられてもよい。光電子面の形状は、直径が10μm〜200μmの円形、又は、一辺が10μm〜200μmの矩形であってもよい。   In the inspection apparatus of the present invention, sapphire or diamond may be used for the base material of the photoelectron surface. The shape of the photoelectron surface may be a circle having a diameter of 10 μm to 200 μm or a rectangle having a side of 10 μm to 200 μm.

また、本発明の検査装置では、光電子面に、光電子材料がコーティングされており、光電子材料としては、ルテニウム、または、金が用いられてもよい。光電子材料の厚さは、5nm〜100nmであってもよい。   In the inspection apparatus of the present invention, the photoelectron surface may be coated with a photoelectron material, and ruthenium or gold may be used as the photoelectron material. The thickness of the optoelectronic material may be 5 nm to 100 nm.

本発明によれば、電子照射により光電子面が受けるダメージを低減させることのできる検査装置を提供することができる。   ADVANTAGE OF THE INVENTION According to this invention, the inspection apparatus which can reduce the damage which a photoelectron surface receives by electron irradiation can be provided.

本発明の一実施形態に係る検査装置の主要構成要素を示す立面図であって、図2の線A−Aに沿って見た図である。FIG. 3 is an elevational view showing main components of an inspection apparatus according to an embodiment of the present invention, viewed along line AA in FIG. 2. 図1に示す検査装置の主要構成要素の平面図であって、図1の線B−Bに沿って見た図である。It is the top view of the main components of the inspection apparatus shown in FIG. 1, Comprising: It is the figure seen along line BB of FIG. 本発明の一実施形態に係る検査装置における基板搬入装置の他の実施例を示す概略断面図である。It is a schematic sectional drawing which shows the other Example of the board | substrate carrying-in apparatus in the test | inspection apparatus which concerns on one Embodiment of this invention. 図1のミニエンバイロメント装置を示す断面図であって、線C−Cに沿って見た図である。It is sectional drawing which shows the mini-environment apparatus of FIG. 1, Comprising: It is the figure seen along line CC. 図1のローダハウジングを示す図であって、図2の線D−Dに沿って見た図である。FIG. 3 is a diagram illustrating the loader housing of FIG. 1, as viewed along line DD in FIG. 2. ウエハラックの拡大図であって、[A]は側面図で、[B]は[A]の線E−Eに沿って見た断面図である。It is an enlarged view of a wafer rack, [A] is a side view, [B] is a sectional view taken along line EE of [A]. 主ハウジングの支持方法の変形例を示す図である。It is a figure which shows the modification of the support method of a main housing. 主ハウジングの支持方法の変形例を示す図である。It is a figure which shows the modification of the support method of a main housing. 光照射型の電子光学装置の概略構成を示す模式図である。It is a schematic diagram which shows schematic structure of a light irradiation type electron optical apparatus. 本発明の一実施形態に係る図であり、検査装置の全体構成を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the whole structure of an inspection apparatus. 本発明の一実施形態に係る図であり、電子銃を備える検査装置の例を示す図である。It is a figure concerning one embodiment of the present invention, and is a figure showing an example of an inspection device provided with an electron gun. 本発明の一実施形態に係る図であり、試料表面に照射される電子ビームの照射電流の強度(量)とエネルギの状態及び試料表面に照射されたビームの状態を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the intensity | strength (amount) and energy state of the irradiation current of the electron beam with which a sample surface is irradiated, and the state of the beam irradiated to the sample surface. 本発明の一実施形態に係る図であり、UV、EUV又はX線を用いる1次光学系の例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the example of the primary optical system using UV, EUV, or X-ray | X_line. 本発明の一実施形態に係る図であり、1次光学系のクロスオーバの形成の模式図である。It is a figure which concerns on one Embodiment of this invention, and is a schematic diagram of formation of the crossover of a primary optical system. 本発明の一実施形態に係る図であり、1次光学系の第2の実施形態を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows 2nd Embodiment of a primary optical system. 本発明の一実施形態に係る図であり、1次系の途中位置から、コラム内に設置されたミラーにより、光電子面に光またはレーザが導かれるときの例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows an example when light or a laser is guide | induced to a photoelectron surface from the middle position of a primary system by the mirror installed in the column. 本発明の一実施形態に係る図であり、1次系の途中位置から、コラム内に設置されたミラーにより、光電子面に光またはレーザが導かれるときの例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows an example when light or a laser is guide | induced to a photoelectron surface from the middle position of a primary system by the mirror installed in the column. 本発明の一実施形態に係る図であり、光電子面にパターンのマスキング材をコーティングした例を、1次光学系の第2の実施形態に係る1次光学系に用いる例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the example used for the primary optical system which concerns on 2nd Embodiment of a primary optical system by coating the masking material of a pattern on the photoelectron surface. 本発明の一実施形態に係る図であり、透過した光またはレーザを反射させて、光電子面に再度照射する方法を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the method of reflecting the transmitted light or laser, and irradiating a photoelectron surface again. 本発明の一実施形態に係る半導体検査装置の二重管構造を模式的に示す図である。It is a figure showing typically the double tube structure of the semiconductor inspection device concerning one embodiment of the present invention. 本発明の一実施形態に係る半導体検査装置の全体構成を示す図である。It is a figure showing the whole semiconductor inspection device composition concerning one embodiment of the present invention. 本発明の一実施形態に係る図であり、試料に電子ビームを照射したときのランディングエネルギーLEと階調DNの関係を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the relationship between the landing energy LE when the sample is irradiated with an electron beam, and the gradation DN. 本発明の一実施形態に係る図であり、遷移領域の現象を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the phenomenon of a transition area | region. 本発明の一実施形態に係る図であり、LEに対するCO位置でのビーム形状の測定例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the example of a measurement of the beam shape in the CO position with respect to LE. 本発明の一実施形態に係る図であり、第2検出器の原理を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the principle of a 2nd detector. 本発明の一実施形態に係る図であり、本発明を適用した電子線検査装置の構成を示した図である。It is a figure which concerns on one Embodiment of this invention, and is the figure which showed the structure of the electron beam inspection apparatus to which this invention is applied. 本発明の一実施形態に係る図であり、EB−TDIと、EB−CCDを切り替え可能な検出器を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the detector which can switch EB-TDI and EB-CCD. 本発明の一実施形態に係る図であり、本発明が適用された電子線検査装置を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the electron beam inspection apparatus with which this invention was applied. 本発明の一実施形態に係る図であり、同一のメインチャンバに、写像光学式検査装置の電子コラムと、SEM式検査装置とを設置する場合の構成の一例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows an example of a structure in the case of installing the electronic column of a mapping optical type | mold inspection apparatus, and a SEM type | mold inspection apparatus in the same main chamber. 本発明の一実施形態に係る図であり、光またはレーザを試料に照射する形態と、1次系に電子ビームで試料を照射する形態の融合を行った形態の例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the example of the form which united the form which irradiates a sample with light or a laser, and the form which irradiates a sample with an electron beam to a primary system. 本発明の一実施形態に係る図であり、光またはレーザを試料に照射する形態と、1次系に電子ビームで試料を照射する形態の融合を行った形態の例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the example of the form which united the form which irradiates a sample with light or a laser, and the form which irradiates a sample with an electron beam to a primary system. 本発明の一実施形態に係る図であり、光またはレーザを試料に照射する形態と、1次系に電子ビームで試料を照射する形態の融合を行った形態の例を示す図である。It is a figure which concerns on one Embodiment of this invention, and is a figure which shows the example of the form which united the form which irradiates a sample with light or a laser, and the form which irradiates a sample with an electron beam to a primary system.

以下、図面を参照して、本発明の実施形態について、検査対象として表面にパターンが形成された基板すなわちウエハを検査する半導体検査装置として説明する。なお、以下の実施形態は、本発明の検査装置及び検査方法の例であって、これらに限定されるわけではない。   Hereinafter, an embodiment of the present invention will be described with reference to the drawings as a semiconductor inspection apparatus for inspecting a substrate, that is, a wafer having a pattern formed as an inspection target, that is, a wafer. The following embodiments are examples of the inspection apparatus and the inspection method of the present invention, and are not limited to these.

図1及び図2Aにおいて、本実施形態の半導体検査装置1の主要構成要素が立面及び平面で示されている。   1 and 2A, the main components of the semiconductor inspection apparatus 1 of the present embodiment are shown in an elevational plane and a plane.

本実施形態の半導体検査装置1は、複数枚のウエハを収納したカセットを保持するカセットホルダ10と、ミニエンバイロメント装置20と、ワーキングチャンバを画成する主ハウジング30と、ミニエンバイロメント装置20と主ハウジング30との間に配置されていて、二つのローディングチャンバを画成するローダハウジング40と、ウエハをカセットホルダ10から主ハウジング30内に配置されたステージ装置50上に装填するローダー60と、真空ハウジングに取り付けられた電子光学装置70と、光学顕微鏡3000と、走査型電子顕微鏡(SEM)3002を備え、それらは図1及び図2Aに示されるような位置関係で配置されている。半導体検査装置1は、更に、真空の主ハウジング30内に配置されたプレチャージユニット81と、ウエハに電位を印加する電位印加機構83(図14に図示)と、電子ビームキャリブレーション機構85と、ステージ装置上でのウエハの位置決めを行うためのアライメント制御装置87を構成する光学顕微鏡871とを備えている。電子光学装置70は、鏡筒71及び光源筒7000を有している。電子光学装置70の内部構造については、後述する。   The semiconductor inspection apparatus 1 according to the present embodiment includes a cassette holder 10 that holds a cassette that stores a plurality of wafers, a mini-environment device 20, a main housing 30 that defines a working chamber, and a mini-environment device 20. A loader housing 40 disposed between the main housing 30 and defining two loading chambers; a loader 60 for loading a wafer from the cassette holder 10 onto a stage device 50 disposed in the main housing 30; An electron optical device 70 attached to a vacuum housing, an optical microscope 3000, and a scanning electron microscope (SEM) 3002 are provided and are arranged in a positional relationship as shown in FIGS. 1 and 2A. The semiconductor inspection apparatus 1 further includes a precharge unit 81 disposed in the vacuum main housing 30, a potential application mechanism 83 (shown in FIG. 14) for applying a potential to the wafer, an electron beam calibration mechanism 85, And an optical microscope 871 constituting an alignment controller 87 for positioning the wafer on the stage device. The electron optical device 70 includes a lens barrel 71 and a light source tube 7000. The internal structure of the electro-optical device 70 will be described later.

<カセットホルダ>
カセットホルダ10は、複数枚(例えば25枚)のウエハが上下方向に平行に並べられた状態で収納されたカセットc(例えば、アシスト社製のSMIF、FOUPのようなクローズドカセット)を複数個(この実施形態では2個)保持するようになっている。このカセットホルダとしては、カセットをロボット等により搬送してきて自動的にカセットホルダ10に装填する場合にはそれに適した構造のものを、また人手により装填する場合にはそれに適したオープンカセット構造のものをそれぞれ任意に選択して設置できるようになっている。カセットホルダ10は、この実施形態では、自動的にカセットcが装填される形式であり、例えば昇降テーブル11と、その昇降テール11を上下移動させる昇降機構12とを備え、カセットcは昇降テーブル上に図2Aで鎖線図示の状態で自動的にセット可能になっていて、セット後、図2Aで実線図示の状態に自動的に回転されてミニエンバイロメント装置内の第1の搬送ユニットの回動軸線に向けられる。また、昇降テーブル11は図1で鎖線図示の状態に降下される。このように、自動的に装填する場合に使用するカセットホルダ、或いは人手により装填する場合に使用するカセットホルダはいずれも公知の構造のものを適宜使用すれば良いので、その構造及び機能の詳細な説明は省略する。
<Cassette holder>
The cassette holder 10 includes a plurality of cassettes c (for example, closed cassettes such as SMIF and FOUP manufactured by Assist) in which a plurality of wafers (for example, 25 wafers) are stored in parallel with each other in the vertical direction. 2 in this embodiment). As this cassette holder, a cassette having a structure suitable for the case where the cassette is transported by a robot or the like and automatically loaded into the cassette holder 10, or an open cassette having a structure suitable for the manual loading is used. Each can be selected and installed. In this embodiment, the cassette holder 10 is a type in which the cassette c is automatically loaded. The cassette holder 10 includes, for example, an elevating table 11 and an elevating mechanism 12 that moves the elevating tail 11 up and down. The cassette c is on the elevating table. 2A can be automatically set in the state shown by the chain line in FIG. 2A, and after the setting, it is automatically rotated to the state shown in the solid line in FIG. 2A to rotate the first transport unit in the mini-environment device. Directed to the axis. Further, the lifting table 11 is lowered to the state shown by the chain line in FIG. As described above, the cassette holder used for automatic loading or the cassette holder used for manual loading may be a known structure as appropriate. Description is omitted.

別の実施の態様では、図2Bに示すように、複数の300mm基板を箱本体501の内側に固定した溝型ポケット(記載せず)に収納した状態で収容し、搬送、保管等を行うものである。この基板搬送箱24は、角筒状の箱本体501と基板搬出入ドア自動開閉装置に連絡されて箱本体501の側面の開口部を機械により開閉可能な基板搬出入ドア502と、開口部と反対側に位置し、フィルタ類およびファンモータの着脱を行うための開口部を覆う蓋体503と、基板Wを保持するための溝型ポケット(図示せず)、ULPAフィルタ505、ケミカルフィルタ506、ファンモータ507とから構成されている。この実施の態様では、ローダー60のロボット式の第1の搬送ユニット612により、基板を出し入れする。   In another embodiment, as shown in FIG. 2B, a plurality of 300 mm substrates are accommodated in a grooved pocket (not shown) fixed inside the box body 501, and are transported, stored, etc. It is. The substrate transport box 24 is connected to a rectangular tube-shaped box body 501 and a substrate loading / unloading door automatic opening / closing device, and a substrate loading / unloading door 502 capable of opening and closing a side opening of the box body 501 by a machine, A lid 503 that is positioned on the opposite side and covers an opening for attaching and detaching filters and a fan motor, a groove-type pocket (not shown) for holding the substrate W, a ULPA filter 505, a chemical filter 506, And a fan motor 507. In this embodiment, the substrate is loaded and unloaded by the robot-type first transfer unit 612 of the loader 60.

なお、カセットc内に収納される基板すなわちウエハは、検査を受けるウエハであり、そのような検査は、半導体製造工程中でウエハを処理するプロセスの後、若しくはプロセスの途中で行われる。具体的には、成膜工程、CMP、イオン注入等を受けた基板すなわちウエハ、表面に配線パターンが形成されたウエハ、又は配線パターンが未だに形成されていないウエハが、カセット内に収納される。カセットc内に収容されるウエハは多数枚上下方向に隔ててかつ平行に並べて配置されているため、任意の位置のウエハと後述する第1の搬送ユニットで保持できるように、第1の搬送ユニットのアームを上下移動できるようになっている。   The substrate, that is, the wafer housed in the cassette c is a wafer to be inspected, and such inspection is performed after or during the process of processing the wafer in the semiconductor manufacturing process. Specifically, a substrate that has been subjected to a film forming process, CMP, ion implantation, or the like, that is, a wafer having a wiring pattern formed on the surface, or a wafer on which a wiring pattern has not yet been formed is stored in a cassette. Since a large number of wafers accommodated in the cassette c are arranged in parallel in the vertical direction, the first transfer unit can be held by a wafer at an arbitrary position and a first transfer unit described later. The arm can be moved up and down.

<ミニエンバイロメント装置>
図1ないし図3において、ミニエンバイロメント装置20は、雰囲気制御されるようになっているミニエンバイロメント空間21を画成するハウジング22と、ミニエンバイロメント空間21内で清浄空気のような気体を循環して雰囲気制御するための気体循環装置23と、ミニエンバイロメント空間21内に供給された空気の一部を回収して排出する排出装置24と、ミニエンバイロメント空間21内に配設されていて検査対象としての基板すなわちウエハを粗位置決めするプリアライナ25とを備えている。
<Mini-environment device>
1 to 3, a mini-environment device 20 includes a housing 22 that defines a mini-environment space 21 that is controlled in atmosphere, and a gas such as clean air in the mini-environment space 21. A gas circulation device 23 for circulating and controlling the atmosphere, a discharge device 24 for collecting and discharging a part of the air supplied into the mini-environment space 21, and a mini-environment space 21 are provided. And a pre-aligner 25 for roughly positioning a substrate to be inspected, that is, a wafer.

ハウジング22は、頂壁221、底壁222及び四周を囲む周壁223を有し、ミニエンバイロメント空間21を外部から遮断する構造になっている。ミニエンバイロメント空間を雰囲気制御するために、気体循環装置23は、図3に示されるように、ミニエンバイロメント空間21内において、頂壁221に取り付けられていて、気体(この実施形態では空気)を清浄にして一つ又はそれ以上の気体吹き出し口(図示せず)を通して清浄空気を真下に向かって層流状に流す気体供給ユニット231と、ミニエンバイロメント空間内において底壁222の上に配置されていて、底に向かって流れ下った空気を回収する回収ダクト232と、回収ダクト232と気体供給ユニット231とを接続して回収された空気を気体供給ユニット231に戻す導管233とを備えている。この実施形態では、気体供給ユニット231は供給する空気の約20%をハウジング22の外部から取り入れて清浄にするようになっているが、この外部から取り入れられる気体の割合は任意に選択可能である。気体供給ユニット231は、清浄空気をつくりだすための公知の構造のHEPA若しくはULPAフィルタを備えている。清浄空気の層流状の下方向の流れすなわちダウンフローは、主に、ミニエンバイロメント空間21内に配置された後述する第1の搬送ユニットによる搬送面を通して流れるように供給され、搬送ユニットにより発生する虞のある塵埃がウエハに付着するのを防止するようになっている。したがって、ダウンフローの噴出口は必ずしも図示のように頂壁に近い位置である必要はなく、搬送ユニットによる搬送面より上側にあればよい。また、ミニエンバイロメント空間全面に亘って流す必要もない。なお、場合によっては、清浄空気としてイオン風を使用することによって清浄度を確保することができる。また、ミニエンバイロメント空間内には清浄度を観察するためのセンサを設け、清浄度が悪化したときに装置をシャットダウンすることもできる。ハウジング22の周壁223のうちカセットホルダ10に隣接する部分には出入り口225が形成されている。出入り口225近傍には公知の構造のシャッタ装置を設けて出入り口225をミニエンバイロメント装置側から閉じるようにしてもよい。ウエハ近傍でつくる層流のダウンフローは、例えば0.3ないし0.4m/secの流速でよい。気体供給ユニットはミニエンバイロメント空間内でなくその外側に設けてもよい。   The housing 22 has a top wall 221, a bottom wall 222, and a peripheral wall 223 that surrounds the four circumferences, and has a structure that blocks the mini-environment space 21 from the outside. In order to control the atmosphere of the mini-environment space, the gas circulation device 23 is attached to the top wall 221 in the mini-environment space 21 as shown in FIG. 3, and gas (air in this embodiment) is installed. And a gas supply unit 231 for flowing clean air in a laminar flow downwardly through one or more gas outlets (not shown) and disposed on the bottom wall 222 in the mini-environment space A recovery duct 232 that recovers air that has flowed down toward the bottom, and a conduit 233 that connects the recovery duct 232 and the gas supply unit 231 and returns the recovered air to the gas supply unit 231. Yes. In this embodiment, the gas supply unit 231 takes about 20% of the supplied air from the outside of the housing 22 and cleans it. However, the ratio of the gas taken in from the outside can be arbitrarily selected. . The gas supply unit 231 includes a HEPA or ULPA filter having a known structure for producing clean air. The laminar flow of the clean air, that is, the downward flow, is mainly supplied to flow through the transfer surface of the first transfer unit, which will be described later, disposed in the mini-environment space 21, and is generated by the transfer unit. This prevents dust that may be adhered to the wafer. Therefore, it is not always necessary that the downflow jet outlet is located close to the top wall as shown in the drawing, and it is sufficient if it is above the transport surface of the transport unit. Moreover, there is no need to flow over the entire mini-environment space. In some cases, cleanliness can be ensured by using ion wind as clean air. Further, a sensor for observing the cleanliness can be provided in the mini-environment space, and the apparatus can be shut down when the cleanliness deteriorates. An entrance / exit 225 is formed in a portion of the peripheral wall 223 of the housing 22 adjacent to the cassette holder 10. A shutter device having a known structure may be provided in the vicinity of the doorway 225 so that the doorway 225 is closed from the mini-environment device side. The laminar flow downflow created near the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec. The gas supply unit may be provided outside the mini-environment space.

排出装置24は、前記搬送ユニットのウエハ搬送面より下側の位置で搬送ユニットの下部に配置された吸入ダクト241と、ハウジング22の外側に配置されたブロワー242と、吸入ダクト241とブロワー242とを接続する導管243と、を備えている。この排出装置24は、搬送ユニットの周囲を流れ下り搬送ユニットにより発生する可能性のある塵埃を含んだ気体を、吸入ダクト241により吸引し、導管243、244及びブロワー242を介してハウジング22の外側に排出する。この場合、ハウジング22の近くに引かれた排気管(図示せず)内に排出してもよい。   The discharge device 24 includes a suction duct 241 disposed below the transfer unit at a position below the wafer transfer surface of the transfer unit, a blower 242 disposed outside the housing 22, a suction duct 241, and a blower 242. And a conduit 243 for connecting the two. The discharge device 24 sucks a gas containing dust that may flow around the transport unit and may be generated by the transport unit through the suction duct 241, and the outside of the housing 22 through the conduits 243 and 244 and the blower 242. To discharge. In this case, the air may be discharged into an exhaust pipe (not shown) drawn near the housing 22.

ミニエンバイロメント空間21内に配置されたアライナ25は、ウエハに形成されたオリエンテーションフラット(円形のウエハの外周に形成された平坦部分を言い、以下においてオリフラと呼ぶ)や、ウエハの外周縁に形成された一つ又はそれ以上のV型の切欠きすなわちノッチを光学的に或いは機械的に検出してウエハの軸線O−Oの周りの回転方向の位置を約±1度の精度で予め位置決めしておくようになっている。プリアライナは請求項に記載された発明の検査対象の座標を決める機構の一部を構成し、検査対象の粗位置決めを担当する。このプリアライナ自体は公知の構造のものでよいので、その構造、動作の説明は省略する。   The aligner 25 disposed in the mini-environment space 21 is formed on an orientation flat formed on the wafer (referred to as a flat portion formed on the outer periphery of a circular wafer, hereinafter referred to as an orientation flat) or on the outer peripheral edge of the wafer. One or more V-shaped notches or notches are detected optically or mechanically to pre-position the rotational position around the wafer axis OO with an accuracy of about ± 1 degree. It is supposed to keep. The pre-aligner constitutes a part of the mechanism for determining the coordinates of the inspection object of the invention described in the claims, and is responsible for the rough positioning of the inspection object. Since this pre-aligner itself may have a known structure, description of its structure and operation is omitted.

なお、図示しないが、プリアライナの下部にも排出装置用の回収ダクトを設けて、プリアライナから排出された塵埃を含んだ空気を外部に排出するようにしてもよい。   Although not shown, a recovery duct for a discharge device may be provided at the lower portion of the pre-aligner so that air containing dust discharged from the pre-aligner is discharged to the outside.

<主ハウジング>
図1及び図2Aにおいて、ワーキングチャンバ31を画成する主ハウジング30は、ハウジング本体32を備え、そのハウジング本体32は、台フレーム36上に配置された振動遮断装置すなわち防振装置37の上に載せられたハウジング支持装置33によって支持されている。ハウジング支持装置33は矩形に組まれたフレーム構造体331を備えている。ハウジング本体32はフレーム構造体331上に配設固定されていて、フレーム構造体上に載せられた底壁321と、頂壁322と、底壁321及び頂壁322に接続されて四周を囲む周壁323とを備えていてワーキングチャンバ31を外部から隔離している。底壁321は、この実施形態では、上に載置されるステージ装置等の機器による加重で歪みの発生しないように比較的肉厚の厚い鋼板で構成されているが、その他の構造にしてもよい。この実施形態において、ハウジング本体及びハウジング支持装置33は、剛構造に組み立てられていて、台フレーム36が設置されている床からの振動がこの剛構造に伝達されるのを防振装置37で阻止するようになっている。ハウジング本体32の周壁323のうち後述するローダハウジングに隣接する周壁にはウエハ出し入れ用の出入り口325が形成されている。
<Main housing>
1 and 2A, a main housing 30 that defines a working chamber 31 includes a housing body 32 that is mounted on a vibration isolating device or vibration isolating device 37 disposed on a base frame 36. It is supported by the mounted housing support device 33. The housing support device 33 includes a frame structure 331 assembled in a rectangular shape. The housing main body 32 is disposed and fixed on the frame structure 331, and is connected to the bottom wall 321 mounted on the frame structure, the top wall 322, the bottom wall 321 and the top wall 322, and surrounds the circumference. 323 to isolate the working chamber 31 from the outside. In this embodiment, the bottom wall 321 is made of a relatively thick steel plate so as not to be distorted by weighting by a device such as a stage device placed on the bottom wall 321. Good. In this embodiment, the housing body and the housing support device 33 are assembled in a rigid structure, and vibrations from the floor on which the base frame 36 is installed are prevented from being transmitted to the rigid structure by the vibration isolator 37. It is supposed to be. Of the peripheral wall 323 of the housing body 32, an entrance / exit 325 for taking in and out the wafer is formed in a peripheral wall adjacent to a loader housing described later.

なお、防振装置は、空気バネ、磁気軸受け等を有するアクティブ式のものでも、或いはこれらを有するパッシブ式のもよい。いずれも公知の構造のものでよいので、それ自体の構造及び機能の説明は省略する。ワーキングチャンバ31は公知の構造の真空装置(図示せず)により真空雰囲気に保たれるようになっている。台フレーム36の下には装置全体の動作を制御する制御装置2が配置されている。   The vibration isolator may be an active type having an air spring, a magnetic bearing or the like, or a passive type having these. Since any of them may have a known structure, description of its own structure and function is omitted. The working chamber 31 is maintained in a vacuum atmosphere by a known vacuum device (not shown). A control device 2 that controls the operation of the entire apparatus is disposed under the base frame 36.

<ローダハウジング>
図1、図2A及び図4において、ローダハウジング40は、第1のローディングチャンバ41と第2のローディングチャンバ42とを画成するハウジング本体43を備えている。ハウジング本体43は底壁431と、頂壁432と、四周を囲む周壁433と、第1のローディングチャンバ41と第2のローディングチャンバ42とを仕切る仕切壁434とを有していて、両ローディングチャンバを外部から隔離できるようになっている。仕切壁434には両ローディングチャンバ間でウエハのやり取りを行うための開口すなわち出入り口435が形成されている。また、周壁433のミニエンバイロメント装置及び主ハウジングに隣接した部分には出入り口436及び437が形成されている。このローダハウジング40のハウジング本体43は、ハウジング支持装置33のフレーム構造体331上に載置されてそれによって支持されている。したがって、このローダハウジング40にも床の振動が伝達されないようになっている。ローダハウジング40の出入り口436とミニエンバイロメント装置のハウジング22の出入り口226とは整合されていて、そこにはミニエンバイロメント空間21と第1のローディングチャンバ41との連通を選択的に阻止するシャッタ装置27が設けられている。シャッタ装置27は、出入り口226及び436の周囲を囲んで側壁433と密に接触して固定されたシール材271、シール材271と協働して出入り口を介しての空気の流通を阻止する扉272と、その扉を動かす駆動装置273とを有している。また、ローダハウジング40の出入り口437とハウジング本体32の出入り口325とは整合されていて、そこには第2のローディングチャンバ42とワーキンググチャンバ31との連通を選択的に密封阻止するシャッタ装置45が設けられている。シャッタ装置45は、出入り口437及び325の周囲を囲んで側壁433及び323と密に接触してそれらに固定されたシール材451、シール材451と協働して出入り口を介しての空気の流通を阻止する扉452と、その扉を動かす駆動装置453とを有している。更に、仕切壁434に形成された開口には、扉461によりそれを閉じて第1及び第2のローディングチャンバ間の連通を選択的に密封阻止するシャッタ装置46が設けられている。これらのシャッタ装置27、45及び46は、閉じ状態にあるとき各チャンバを気密シールできるようになっている。これらのシャッタ装置は公知のものでよいので、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置20のハウジング22の支持方法とローダハウジングの支持方法が異なり、ミニエンバイロメント装置を介して床からの振動がローダハウジング40、主ハウジング30に伝達されるのを防止するために、ハウジング22とローダハウジング40との間には出入り口の周囲を気密に囲むように防振用のクッション材を配置しておけば良い。
<Loader housing>
1, 2 </ b> A, and 4, the loader housing 40 includes a housing body 43 that defines a first loading chamber 41 and a second loading chamber 42. The housing main body 43 includes a bottom wall 431, a top wall 432, a peripheral wall 433 that surrounds the four circumferences, and a partition wall 434 that partitions the first loading chamber 41 and the second loading chamber 42. Can be isolated from the outside. The partition wall 434 has an opening, that is, an entrance / exit 435 for exchanging wafers between both loading chambers. In addition, entrances 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device and the main housing. The housing main body 43 of the loader housing 40 is placed on and supported by the frame structure 331 of the housing support device 33. Therefore, the floor vibration is not transmitted to the loader housing 40. A shutter device for selectively preventing communication between the mini-environment space 21 and the first loading chamber 41 is aligned with the entrance / exit 436 of the loader housing 40 and the entrance / exit 226 of the housing 22 of the mini-environment device. 27 is provided. The shutter device 27 surrounds the doorways 226 and 436 and seals 271 fixed in close contact with the side wall 433, and a door 272 that blocks air flow through the doorway in cooperation with the sealant 271. And a driving device 273 for moving the door. Further, the entrance / exit 437 of the loader housing 40 and the entrance / exit 325 of the housing main body 32 are aligned with each other, and there is a shutter device 45 that selectively blocks the communication between the second loading chamber 42 and the working chamber 31. Is provided. The shutter device 45 surrounds the entrances and exits 437 and 325, closely contacts the side walls 433 and 323, and cooperates with the sealing material 451 and the sealing material 451 that are fixed to the side walls 433 and 323. It has a door 452 for blocking and a driving device 453 for moving the door. Further, the opening formed in the partition wall 434 is provided with a shutter device 46 which is closed by a door 461 and selectively prevents communication between the first and second loading chambers. These shutter devices 27, 45 and 46 are adapted to hermetically seal each chamber when in the closed state. Since these shutter devices may be known ones, detailed description of their structure and operation will be omitted. The support method of the housing 22 of the mini-environment device 20 and the support method of the loader housing are different, and in order to prevent vibration from the floor from being transmitted to the loader housing 40 and the main housing 30 via the mini-environment device. In addition, an anti-vibration cushion material may be disposed between the housing 22 and the loader housing 40 so as to airtightly surround the doorway.

第1のローディングチャンバ41内には、複数(本実施形態では2枚)のウエハを上下に隔てて水平の状態で支持するウエハラック47が配設されている。ウエハラック47は、図5に示されるように、矩形の基板471の四隅に互いに隔てて直立状態で固定された支柱472を備え、各支柱472にはそれぞれ2段の支持部473及び474が形成され、その支持部の上にウエハWの周縁を載せて保持するようになっている。そして後述する第1及び第2の搬送ユニットのアームの先端を隣接する支柱間からウエハに接近させてアームによりウエハを把持するようになっている。   In the first loading chamber 41, a wafer rack 47 is disposed that supports a plurality (two in this embodiment) of wafers in a horizontal state with a vertical separation. As shown in FIG. 5, the wafer rack 47 includes support columns 472 that are fixed upright at four corners of a rectangular substrate 471, and two support portions 473 and 474 are formed on each support column 472. Then, the periphery of the wafer W is placed on and held on the support portion. Then, the tips of arms of first and second transfer units, which will be described later, are brought close to the wafer from between adjacent columns, and the wafer is held by the arm.

ローディングチャンバ41及び42は、図示しない真空ポンプを含む公知の構造の真空排気装置(図示せず)によって高真空状態(真空度としては10-5〜10-6Pa)に雰囲気制御され得るようになっている。この場合、第1のローディングチャンバ41を低真空チャンバとして低真空雰囲気に保ち、第2のローディングチャンバ42を高真空チャンバとして高真空雰囲気に保ち、ウエハの汚染防止を効果的に行うこともできる。このような構造を採用することによってローディングチャンバ内に収容されていて次に欠陥検査されるウエハをワーキングチャンバ内に遅滞なく搬送することができる。このようなローディングチャンバを採用することによって、欠陥検査のスループットを向上させ、更に保管状態が高真空状態であることを要求される電子源周辺の真空度を可能な限り高真空度状態にすることができる。 The loading chambers 41 and 42 can be controlled in a high vacuum state (the degree of vacuum is 10 −5 to 10 −6 Pa) by an evacuation apparatus (not shown) having a known structure including a vacuum pump (not shown). It has become. In this case, the first loading chamber 41 can be maintained as a low vacuum chamber in a low vacuum atmosphere, and the second loading chamber 42 can be maintained as a high vacuum chamber in a high vacuum atmosphere to effectively prevent wafer contamination. By adopting such a structure, a wafer which is accommodated in the loading chamber and to be inspected next can be transferred into the working chamber without delay. By adopting such a loading chamber, the throughput of defect inspection is improved, and the degree of vacuum around the electron source that is required to be kept in a high vacuum state is made as high as possible. Can do.

第1及び第2のローディングチャンバ41及び42は、それぞれ真空排気配管と不活性ガス(例えば乾燥純窒素)用のベント配管(それぞれ図示せず)が接続されている。これによって、各ローディングチャンバ内の大気圧状態は不活性ガスベント(不活性ガスを注入して不活性ガス以外の酸素ガス等が表面に付着するのを防止する)によって達成される。このような不活性ガスベントを行う装置自体は公知の構造のものでよいので、その詳細な説明は省略する。   The first and second loading chambers 41 and 42 are connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (for example, dry pure nitrogen), respectively. Thereby, the atmospheric pressure state in each loading chamber is achieved by an inert gas vent (injecting an inert gas to prevent oxygen gas other than the inert gas from adhering to the surface). Since the apparatus for performing such an inert gas vent itself may have a known structure, a detailed description thereof will be omitted.

<ステージ装置>
ステージ装置50は、主ハウジング30の底壁321上に配置された固定テーブル51と、固定テーブル上でY方向(図1において紙面に垂直の方向)に移動するYテーブル52と、Yテーブル上でX方向(図1において左右方向)に移動するXテーブル53と、Xテーブル上で回転可能な回転テーブル54と、回転テーブル54上に配置されたホルダ55とを備えている。そのホルダ55のウエハ載置面551上にウエハを解放可能に保持する。ホルダは、ウエハを機械的に或いは静電チャック方式で解放可能に把持できる公知の構造のものでよい。ステージ装置50は、サーボモータ、エンコーダ及び各種のセンサ(図示せず)を用いて、上記のような複数のテーブルを動作させることにより、載置面551上でホルダに保持されたウエハを電子光学装置から照射される電子ビームに対してX方向、Y方向及びZ方向(図1において上下方向)に、更にウエハの支持面に鉛直な軸線の回り方向(θ方向)に高い精度で位置決めできるようになっている。なお、Z方向の位置決めは、例えばホルダ上の載置面の位置をZ方向に微調整可能にしておけばよい。この場合、載置面の基準位置を微細径レーザによる位置測定装置(干渉計の原理を使用したレーザ干渉測距装置)によって検知し、その位置を図示しないフィードバック回路によって制御したり、それと共に或いはそれに代えてウエハのノッチ或いはオリフラの位置を測定してウエハの電子ビームに対する平面位置、回転位置を検知し、回転テーブルを微小角度制御可能なステッピングモータなどにより回転させて制御したりする。ワーキングチャンバ内での塵埃の発生を極力防止するために、ステージ装置用のサーボモータ521、531及びエンコーダ522、532は、主ハウジング30の外側に配置されている。なお、ステージ装置50は、例えばステッパー等で使用されている公知の構造のもので良いので、その構造及び動作の詳細な説明は省略する。また、上記レーザ干渉測距装置も公知の構造のものでよいので、その構造、動作の詳細な説明は省略する。
<Stage device>
The stage device 50 includes a fixed table 51 disposed on the bottom wall 321 of the main housing 30, a Y table 52 that moves in the Y direction (a direction perpendicular to the paper surface in FIG. 1) on the fixed table, and a Y table. An X table 53 that moves in the X direction (left-right direction in FIG. 1), a rotary table 54 that can rotate on the X table, and a holder 55 that is arranged on the rotary table 54 are provided. The wafer is releasably held on the wafer placement surface 551 of the holder 55. The holder may have a known structure capable of releasably gripping the wafer mechanically or by an electrostatic chuck method. The stage apparatus 50 uses a servo motor, an encoder, and various sensors (not shown) to operate the plurality of tables as described above, thereby causing the wafer held by the holder on the mounting surface 551 to be electro-optically. It can be positioned with high accuracy in the X direction, Y direction, and Z direction (up and down direction in FIG. 1) with respect to the electron beam irradiated from the apparatus, and further in the direction around the vertical axis (θ direction) on the wafer support surface. It has become. For positioning in the Z direction, for example, the position of the mounting surface on the holder may be finely adjusted in the Z direction. In this case, the reference position of the mounting surface is detected by a position measuring device (laser interference distance measuring device using the principle of an interferometer) using a fine-diameter laser, and the position is controlled by a feedback circuit (not shown). Instead, the position of the notch or orientation flat of the wafer is measured to detect the planar position and the rotational position of the wafer with respect to the electron beam, and the rotary table is rotated by a stepping motor capable of controlling a minute angle or the like. In order to prevent the generation of dust in the working chamber as much as possible, the servomotors 521 and 531 for the stage device and the encoders 522 and 532 are arranged outside the main housing 30. Note that the stage device 50 may have a known structure used in, for example, a stepper, and the detailed description of the structure and operation is omitted. Also, since the laser interference distance measuring device may have a known structure, detailed description of the structure and operation is omitted.

電子ビームに対するウエハの回転位置やX、Y位置を予め後述する信号検出系或いは画像処理系に入力することで得られる信号の基準化を図ることもできる。更に、このホルダに設けられたウエハチャック機構は、ウエハをチャックするための電圧を静電チャックの電極に与えられるようになっていて、ウエハの外周部の3点(好ましくは周方向に等隔に隔てられた)を押さえて位置決めするようになっている。ウエハチャック機構は、二つの固定位置決めピンと、一つの押圧式クランクピンとを備えている。クランプピンは、自動チャック及び自動リリースを実現できるようになっており、かつ電圧印加の導通箇所を構成している。   It is also possible to standardize a signal obtained by inputting the rotation position of the wafer with respect to the electron beam and the X and Y positions in advance to a signal detection system or an image processing system described later. Further, the wafer chuck mechanism provided in the holder is adapted to apply a voltage for chucking the wafer to the electrode of the electrostatic chuck, and has three points (preferably equally spaced in the circumferential direction) on the outer periphery of the wafer. It is designed to press and hold (separated). The wafer chuck mechanism includes two fixed positioning pins and one pressing crank pin. The clamp pin can realize automatic chucking and automatic release, and constitutes a conduction point for voltage application.

なお、この実施形態では図2Aで左右方向に移動するテーブルをXテーブルとし、上下方向に移動するテーブルをYテーブルとしたが、同図で左右方向に移動するテーブルをYテーブルとし、上下方向に移動するテーブルをXテーブルとしてもよい。   In this embodiment, the table that moves in the horizontal direction in FIG. 2A is the X table and the table that moves in the vertical direction is the Y table. However, the table that moves in the horizontal direction in FIG. The moving table may be an X table.

<ローダー>
ローダー60は、ミニエンバイロメント装置20のハウジング22内に配置されたロボット式の第1の搬送ユニット61と、第2のローディングチャンバ42内に配置されたロボット式の第2の搬送ユニット63とを備えている。
<Loader>
The loader 60 includes a robot-type first transfer unit 61 arranged in the housing 22 of the mini-environment device 20 and a robot-type second transfer unit 63 arranged in the second loading chamber 42. I have.

第1の搬送ユニット61は、駆動部611に関して軸線O1−O1の回りで回転可能になっている多節のアーム612を有している。多節のアームとしては任意の構造のものを使用できるが、この実施形態では、互いに回動可能に取り付けられた三つの部分を有している。第1の搬送ユニット61のアーム612の一つの部分すなわち最も駆動部611側の第1の部分は、駆動部611内に設けられた公知の構造の駆動機構(図示せず)により回転可能な軸613に取り付けられている。アーム612は、軸613により軸線O1−O1の回りで回動できると共に、部分間の相対回転により全体として軸線O1−O1に関して半径方向に伸縮可能になっている。アーム612の軸613から最も離れた第3の部分の先端には、には公知の構造の機械式チャック又は静電チャック等のウエハを把持する把持装置616が設けられている。駆動部611は、公知の構造の昇降機構615により上下方向に移動可能になっている。 The first transport unit 61 has a multi-node arm 612 that is rotatable about the axis O 1 -O 1 with respect to the drive unit 611. As the multi-node arm, an arbitrary structure can be used, but in this embodiment, the multi-node arm has three portions which are rotatably attached to each other. One portion of the arm 612 of the first transport unit 61, that is, the first portion closest to the drive unit 611 is a shaft that can be rotated by a drive mechanism (not shown) having a known structure provided in the drive unit 611. 613 is attached. The arm 612 can be rotated around the axis O 1 -O 1 by the shaft 613, and can expand and contract in the radial direction with respect to the axis O 1 -O 1 as a whole by relative rotation between the parts. A gripping device 616 for gripping a wafer such as a mechanical chuck or an electrostatic chuck having a known structure is provided at the tip of the third portion farthest from the shaft 613 of the arm 612. The drive unit 611 can be moved in the vertical direction by an elevating mechanism 615 having a known structure.

この第1の搬送ユニット61は、アーム612がカセットホルダに保持された二つのカセットcの内いずれか一方の方向M1又はM2に向かってアームが伸び、カセットc内に収容されたウエハを1枚アームの上に載せ或いはアームの先端に取り付けたチャック(図示せず)により把持して取り出す。その後アームが縮み(図2Aに示すような状態)、アームがプリアライナ25の方向M3に向かって伸長できる位置まで回転してその位置で停止する。するとアームが再び伸びてアームに保持されたウエハをプリアライナ25に載せる。プリアライナから前記と逆にしてウエハを受け取った後は、アームは更に回転し第2のローディングチャンバ41に向かって伸長できる位置(向きM4)で停止し、第2のローディングチャンバ41内のウエハ受け47にウエハを受け渡す。なお、機械的にウエハを把持する場合にはウエハの周縁部(周縁から約5mmの範囲)を把持する。これはウエハには周縁部を除いて全面にデバイス(回路配線)が形成されており、この部分を把持するとデバイスの破壊、欠陥の発生を生じさせるからである。   In the first transfer unit 61, the arm extends in one direction M1 or M2 of the two cassettes c in which the arm 612 is held by the cassette holder, and one wafer is stored in the cassette c. It is taken out by holding it on an arm or holding it with a chuck (not shown) attached to the tip of the arm. Thereafter, the arm contracts (as shown in FIG. 2A), and the arm rotates to a position where it can extend in the direction M3 of the pre-aligner 25 and stops at that position. Then, the arm extends again and the wafer held by the arm is placed on the pre-aligner 25. After receiving the wafer from the pre-aligner in the reverse direction, the arm further rotates and stops at a position (direction M4) where the arm can extend toward the second loading chamber 41, and the wafer receiver 47 in the second loading chamber 41. Deliver the wafer. When the wafer is mechanically gripped, the peripheral edge of the wafer (in the range of about 5 mm from the peripheral edge) is gripped. This is because a device (circuit wiring) is formed on the entire surface of the wafer except for the peripheral portion, and if this portion is gripped, the device is broken or a defect is generated.

第2の搬送ユニット63も第1の搬送ユニットと構造が基本的に同じであり、ウエハの搬送をウエハラック47とステージ装置の載置面上との間で行う点でのみ相違するだけであるから、詳細な説明は省略する。   The second transfer unit 63 is basically the same in structure as the first transfer unit, and is different only in that the wafer is transferred between the wafer rack 47 and the mounting surface of the stage apparatus. Therefore, detailed description is omitted.

上記ローダー60では、第1及び第2の搬送ユニット61及び63は、カセットホルダに保持されたカセットからワーキングチャンバ31内に配置されたステージ装置50上への及びその逆のウエハの搬送をほぼ水平状態に保ったままで行い、搬送ユニットのアームが上下動するのは、単に、ウエハのカセットからの取り出し及びそれへの挿入、ウエハのウエハラックへの載置及びそこからの取り出し及びウエハのステージ装置への載置及びそこからの取り出しのときだけである。したがって、大型のウエハ、例えば直径30cmのウエハの移動もスムースに行うことができる。   In the loader 60, the first and second transfer units 61 and 63 transfer the wafer from the cassette held in the cassette holder onto the stage device 50 disposed in the working chamber 31 and vice versa. The arm of the transfer unit is moved up and down while maintaining the state. The wafer unit is simply taken out from the cassette and inserted into the cassette, placed on the wafer rack and taken out from the wafer rack, and the wafer stage device. Only when placed on and taken out of. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm can be moved smoothly.

<ウエハの搬送>
次にカセットホルダに支持されたカセットcからワーキングチャンバ31内に配置されたステージ装置50までへのウエハの搬送について、順を追って説明する。
<Wafer transfer>
Next, the transfer of the wafer from the cassette c supported by the cassette holder to the stage device 50 disposed in the working chamber 31 will be described in order.

カセットホルダ10は、上述したように人手によりカセットをセットする場合にはそれに適した構造のものが、また自動的にカセットをセットする場合にはそれに適した構造のものが使用される。この実施形態において、カセットcがカセットホルダ10の昇降テーブル11の上にセットされると、昇降テーブル11は昇降機構12によって降下されカセットcが出入り口225に整合される。   As described above, the cassette holder 10 has a structure suitable for manually setting a cassette, and has a structure suitable for automatically setting a cassette. In this embodiment, when the cassette c is set on the lifting table 11 of the cassette holder 10, the lifting table 11 is lowered by the lifting mechanism 12 and the cassette c is aligned with the entrance / exit 225.

カセットが出入り口225に整合されると、カセットに設けられたカバー(図示せず)が開きまたカセットcとミニエンバイロメントの出入り口225との間には筒状の覆いが配置されてカセット内及びミニエンバイロメント空間内を外部から遮断する。これらの構造は公知のものであるから、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置20側に出入り口225を開閉するシャッタ装置が設けられている場合にはそのシャッタ装置が動作して出入り口225を開く。   When the cassette is aligned with the entrance / exit 225, a cover (not shown) provided on the cassette is opened, and a cylindrical cover is disposed between the cassette c and the entrance / exit 225 of the mini-environment, so Block the environment space from the outside. Since these structures are publicly known, detailed description of the structure and operation is omitted. When a shutter device that opens and closes the entrance / exit 225 is provided on the mini-environment device 20 side, the shutter device operates to open the entrance / exit 225.

一方、第1の搬送ユニット61のアーム612は方向M1又はM2のいずれかに向いた状態(この説明ではM1の方向)で停止しており、出入り口225が開くとアームが伸びて先端でカセット内に収容されているウエハのうち1枚を受け取る。なお、アームと、カセットから取り出されるべきウエハとの上下方向の位置調整は、この実施形態では第1の搬送ユニット61の駆動部611及びアーム612の上下移動で行うが、カセットホルダの昇降テーブルの上下動行っても或いはその両者で行ってもよい。   On the other hand, the arm 612 of the first transport unit 61 is stopped in a state facing in either the direction M1 or M2 (in this description, the direction of M1). One of the wafers stored in the wafer is received. In this embodiment, the vertical position adjustment between the arm and the wafer to be taken out from the cassette is performed by the vertical movement of the driving unit 611 and the arm 612 of the first transfer unit 61. It may be performed up and down or both.

アーム612によるウエハの受け取りが完了すると、アームは縮み、シャッタ装置を動作して出入り口を閉じ(シャッタ装置がある場合)、次にアーム612は軸線O1−O1の回りで回動して方向M3に向けて伸長できる状態になる。すると、アームは伸びて先端に載せられ或いはチャックで把持されたウエハをプリアライナ25の上に載せ、そのプリアライナによってウエハの回転方向の向き(ウエハ平面に垂直な中心軸線の回りの向き)を所定の範囲内に位置決めする。位置決めが完了すると搬送ユニット61はアームの先端にプリアライナ25からウエハを受け取ったのちアームを縮ませ、方向M4に向けてアームを伸長できる姿勢になる。するとシャッタ装置27の扉272が動いて出入り口226及び436を開き、アーム612が伸びてウエハを第1のローディングチャンバ41内のウエハラック47の上段側又は下段側に載せる。なお、前記のようにシャッタ装置27が開いてウエハラック47にウエハが受け渡される前に、仕切壁434に形成された開口435はシャッタ装置46の扉461により気密状態で閉じられている。   When the reception of the wafer by the arm 612 is completed, the arm contracts and operates the shutter device to close the entrance / exit (if there is a shutter device), and then the arm 612 rotates around the axis O1-O1 in the direction M3. It will be in the state where it can extend toward. Then, the arm extends and is placed on the tip or held by the chuck, the wafer is placed on the pre-aligner 25, and the pre-aligner sets the rotation direction of the wafer (the direction around the central axis perpendicular to the wafer plane) to a predetermined value. Position within range. When the positioning is completed, the transfer unit 61 receives the wafer from the pre-aligner 25 at the tip of the arm and then contracts the arm so that the arm can be extended in the direction M4. Then, the door 272 of the shutter device 27 moves to open the entrances 226 and 436 and the arm 612 extends to place the wafer on the upper stage side or the lower stage side of the wafer rack 47 in the first loading chamber 41. Note that the opening 435 formed in the partition wall 434 is closed in an airtight state by the door 461 of the shutter device 46 before the shutter device 27 is opened and the wafer is transferred to the wafer rack 47 as described above.

上記第1の搬送ユニットによるウエハの搬送過程において、ミニエンバイロメント装置のハウジングの上に設けられた気体供給ユニット231からは清浄空気が層流状に流れ(ダウンフローとして)、搬送途中で塵埃がウエハの上面に付着するのを防止する。搬送ユニット周辺の空気の一部(この実施形態では供給ユニットから供給される空気の約20%で主に汚れた空気)は排出装置24の吸入ダクト241から吸引されてハウジング外に排出される。残りの空気はハウジングの底部に設けられた回収ダクト232を介して回収され再び気体供給ユニット231に戻される。   In the wafer transfer process by the first transfer unit, clean air flows in a laminar flow (as a downflow) from the gas supply unit 231 provided on the housing of the mini-environment device, and dust is generated during transfer. Prevents adhesion to the upper surface of the wafer. A part of the air around the transport unit (in this embodiment, air mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24 and discharged out of the housing. The remaining air is recovered via a recovery duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.

ローダハウジング40の第1のローディングチャンバ41内のウエハラック47内に第1の搬送ユニット61によりウエハが載せられると、シャッタ装置27が閉じて、ローディングチャンバ41内を密閉する。すると、第1のローディングチャンバ41内には不活性ガスが充填されて空気が追い出された後、その不活性ガスも排出されてそのローディングチャンバ41内は真空雰囲気にされる。この第1のローディングチャンバの真空雰囲気は低真空度でよい。ローディングチャンバ41内の真空度がある程度得られると、シャッタ装置46が動作して扉461で密閉していた出入り口434を開き、第2の搬送ユニット63のアーム632が伸びて先端の把持装置でウエハ受け47から1枚のウエハを受け取る(先端の上に載せて或いは先端に取り付けられたチャックで把持して)。ウエハの受け取りが完了するとアームが縮み、シャッタ装置46が再び動作して扉461で出入り口435を閉じる。なお、シャッタ装置46が開く前にアーム632は予めウエハラック47の方向N1に向けて伸長できる姿勢になる。また、前記のようにシャッタ装置46が開く前にシャッタ装置45の扉452で出入り口437、325を閉じていて、第2のローディングチャンバ42内とワーキングチャンバ31内との連通を気密状態で阻止しており、第2のローディングチャンバ42内は真空排気される。   When a wafer is loaded on the wafer rack 47 in the first loading chamber 41 of the loader housing 40 by the first transfer unit 61, the shutter device 27 is closed and the loading chamber 41 is sealed. Then, after the inert gas is expelled in the first loading chamber 41 and the air is expelled, the inert gas is also discharged and the inside of the loading chamber 41 is made a vacuum atmosphere. The vacuum atmosphere of the first loading chamber may be a low vacuum level. When the degree of vacuum in the loading chamber 41 is obtained to some extent, the shutter device 46 operates to open the doorway 434 that has been sealed by the door 461, the arm 632 of the second transfer unit 63 extends, and the wafer is held by the gripping device at the tip. One wafer is received from the receiver 47 (mounted on the tip or held by a chuck attached to the tip). When the receipt of the wafer is completed, the arm contracts, and the shutter device 46 operates again to close the doorway 435 with the door 461. Note that before the shutter device 46 is opened, the arm 632 can be extended in advance in the direction N1 of the wafer rack 47. In addition, as described above, the doors 437 and 325 are closed by the door 452 of the shutter device 45 before the shutter device 46 is opened, thereby preventing communication between the second loading chamber 42 and the working chamber 31 in an airtight state. The inside of the second loading chamber 42 is evacuated.

シャッタ装置46が出入り口435を閉じると、第2のローディングチャンバ内は再度真空排気され、第1のローディングチャンバ内よりも高真空度で真空にされる。その間に、第2の搬送ユニット61のアームはワーキングチャンバ31内のステージ装置50の方向に向いて伸長できる位置に回転される。一方ワーキングチャンバ31内のステージ装置では、Yテーブル52が、Xテーブル53の中心線X0−X0が第2の搬送ユニット63の回動軸線O2−O2を通るX軸線X1−X1とほぼ一致する位置まで、図2Aで上方に移動し、また、Xテーブル53は図2Aで最も左側の位置に接近する位置まで移動し、この状態で待機している。第2のローディングチャンバがワーキングチャンバの真空状態と略同じになると、シャッタ装置45の扉452が動いて出入り口437、325を開き、アームが伸びてウエハを保持したアームの先端がワーキングチャンバ31内のステージ装置に接近する。そしてステージ装置50の載置面551上にウエハを載置する。ウエハの載置が完了するとアームが縮み、シャッタ装置45が出入り口437、325を閉じる。 When the shutter device 46 closes the entrance / exit 435, the inside of the second loading chamber is evacuated again, and is evacuated at a higher degree of vacuum than in the first loading chamber. Meanwhile, the arm of the second transfer unit 61 is rotated to a position where it can extend toward the stage device 50 in the working chamber 31. On the other hand, in the stage apparatus in the working chamber 31, the Y table 52 has an X axis X 1 -X in which the center line X 0 -X 0 of the X table 53 passes through the rotation axis O 2 -O 2 of the second transport unit 63. 2 moves upward in FIG. 2A to a position substantially coincident with 1, and the X table 53 moves to a position closest to the leftmost position in FIG. 2A and stands by in this state. When the second loading chamber becomes substantially the same as the vacuum state of the working chamber, the door 452 of the shutter device 45 moves to open the entrances 437 and 325, and the tip of the arm that holds the wafer by extending the arm is in the working chamber 31. Approach the stage device. Then, a wafer is placed on the placement surface 551 of the stage apparatus 50. When the placement of the wafer is completed, the arm contracts and the shutter device 45 closes the entrances 437 and 325.

以上は、カセットc内のウエハをステージ装置上に搬送するまでの動作に付いて説明したが、ステージ装置に載せられて処理が完了したウエハをステージ装置からカセットc内に戻すには前述と逆の動作を行って戻す。また、ウエハラック47に複数のウエハを載置しておくため、第2の搬送ユニットでウエハラックとステージ装置との間でウエハの搬送を行う間に、第1の搬送ユニットでカセットとウエハラックとの間でウエハの搬送を行うことができ、検査処理を効率良く行うことができる。   The above description is about the operation until the wafer in the cassette c is transported onto the stage device. However, in order to return the wafer that has been placed on the stage device and has been processed into the cassette c from the stage device, the reverse of the above. Perform the operation and return. Further, in order to place a plurality of wafers on the wafer rack 47, the cassette and the wafer rack are used in the first transfer unit while the wafer is transferred between the wafer rack and the stage apparatus in the second transfer unit. The wafer can be transferred between the two and the inspection process can be performed efficiently.

具体的には、第2の搬送ユニットのウエハラック47に、既に処理済のウエハAと未処理のウエハBがある場合、
(1)まず、ステージ装置50に未処理のウエハBを移動し、処理を開始する。(2)この処理中に、処理済ウエハAを、アームによりステージ装置50からウエハラック47に移動し、未処理のウエハCを同じくアームによりウエハラックから抜き出し、プリアライナで位置決めした後、ローディングチャンバ41のウエハラック47に移動する。
このようにすることで、ウエハラック47の中は、ウエハBを処理中に、処理済のウエハAが未処理のウエハCに置き換えることができる。
Specifically, when there are already processed wafers A and unprocessed wafers B in the wafer rack 47 of the second transfer unit,
(1) First, an unprocessed wafer B is moved to the stage apparatus 50, and processing is started. (2) During this process, the processed wafer A is moved from the stage device 50 to the wafer rack 47 by the arm, and the unprocessed wafer C is extracted from the wafer rack by the arm and positioned by the pre-aligner. The wafer rack 47 is moved.
In this way, in the wafer rack 47, the processed wafer A can be replaced with the unprocessed wafer C while the wafer B is being processed.

また、検査や評価を行うこのような装置の利用の仕方によっては、ステージ装置50を複数台並列に置き、それぞれの装置に一つのウエハラック47からウエハを移動することで、複数枚のウエハを同じ処理することもできる。   Further, depending on how to use such an apparatus for performing inspection and evaluation, a plurality of stage apparatuses 50 are placed in parallel, and a plurality of wafers are transferred by moving wafers from one wafer rack 47 to each apparatus. The same processing can be performed.

図6において、主ハウジングの支持方法の変形例が示されている。図6に示された変形例では、ハウジング支持装置33aを厚肉で矩形の鋼板331aで構成し、その鋼板の上にハウジング本体32aが載せられている。したがって、ハウジング本体32aの底壁321aは、前記実施形態の底壁に比較して薄い構造になっている。図7に示された変形例では、ハウジング支持装置33bのフレーム構造体336bによりハウジング本体32b及びローダハウジング40bを吊り下げて状態で支持するようになっている。フレーム構造体336bに固定された複数の縦フレーム337bの下端は、ハウジング本体32bの底壁321bの四隅に固定され、その底壁により周壁及び頂壁を支持するようになっている。そして防振装置37bは、フレーム構造体336bと台フレーム36bとの間に配置されている。また、ローダハウジング40もフレーム構造体336に固定された吊り下げ部材49bによって吊り下げられている。ハウジング本体32bのこの図7に示された変形例では、吊り下げ式に支えるので主ハウジング及びその中に設けられた各種機器全体の低重心化が可能である。上記変形例を含めた主ハウジング及びローダハウジングの支持方法では主ハウジング及びローダハウジングに床からの振動が伝わらないようになっている。   FIG. 6 shows a modification of the main housing support method. In the modification shown in FIG. 6, the housing support device 33a is formed of a thick and rectangular steel plate 331a, and the housing body 32a is placed on the steel plate. Therefore, the bottom wall 321a of the housing body 32a has a thin structure as compared with the bottom wall of the above embodiment. In the modification shown in FIG. 7, the housing body 32b and the loader housing 40b are suspended and supported by the frame structure 336b of the housing support device 33b. Lower ends of the plurality of vertical frames 337b fixed to the frame structure 336b are fixed to four corners of the bottom wall 321b of the housing main body 32b, and the peripheral wall and the top wall are supported by the bottom wall. The vibration isolator 37b is disposed between the frame structure 336b and the base frame 36b. The loader housing 40 is also suspended by a suspension member 49b fixed to the frame structure 336. In the modification shown in FIG. 7 of the housing main body 32b, since it is supported in a suspended manner, the center of gravity of the main housing and the various devices provided therein can be lowered. In the main housing and loader housing support methods including the above-described modifications, vibrations from the floor are not transmitted to the main housing and the loader housing.

図示しない別の変形例では、主ハウジングのハウジング本外のみがハウジング支持装置によって下から支えられ、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配置され得る。また、図示しない更に別の変形例では、主ハウジングのハウジング本体のみがフレーム構造体に吊り下げ式で支持され、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配置され得る。   In another variant not shown, only the main housing exterior of the main housing is supported from below by the housing support device, and the loader housing can be placed on the floor in the same way as the adjacent mini-environment device. In yet another variant, not shown, only the housing body of the main housing is supported in a suspended manner on the frame structure, and the loader housing can be placed on the floor in the same manner as the adjacent mini-environment device.

上記の実施形態によれば、次のような効果を奏することが可能である。
(A)電子線を用いた写像投影方式の検査装置の全体構成が得られ、高いスループットで検査対象を処理することができる。
(B)ミニエンバイロメント空間内で検査対象に清浄気体を流して塵埃の付着を防止すると共に清浄度を観察するセンサを設けることによりその空間内の塵埃を監視しながら検査対象の検査を行うことができる。
(C)ローディングチャンバ及びワーキングチャンバを、一体的に振動防止装置を介して支持したので、外部の環境に影響されずにステージ装置への検査対象の供給及び検査を行うことができる。
According to the above embodiment, the following effects can be obtained.
(A) An overall configuration of a mapping projection type inspection apparatus using an electron beam is obtained, and an inspection object can be processed with high throughput.
(B) Inspecting the inspection object while monitoring the dust in the space by providing a sensor for observing the cleanliness by supplying a clean gas to the inspection object in the mini-environment space to prevent the adhesion of dust. Can do.
(C) Since the loading chamber and the working chamber are integrally supported via the vibration preventing device, it is possible to supply and inspect the inspection target to the stage device without being affected by the external environment.

<電子光学装置>
電子光学装置70は、ハウジング本体32に固定された鏡筒71を備え、その中には、一次光源光学系(以下単に「1次光学系」という。)72と、二次電子光学系(以下単に「2次光学系」という。)74とを備える光学系と、検出系76とが設けられている。図8は、「光照射型」の電子光学装置の概略構成を示す模式図である。なお、本発明の実施の形態の電子光学装置としては、後述する「電子照射型」の電子光学装置が用いられる。図8の電子光学装置(光照射型の電子光学装置)では、1次光学系72は、光線を検査対象であるウエハWの表面に照射する光学系で、光線を放出する光源10000と、光線の角度を変更するミラー10001とを備えている。この光照射型の電子光学装置では、光源から出射される光線10000Aの光軸は、検査対象のウエハWから放出される光電子の光軸(ウエハWの表面に垂直)に対して斜めになっている。
<Electronic optical device>
The electron optical device 70 includes a lens barrel 71 fixed to the housing main body 32, and includes a primary light source optical system (hereinafter simply referred to as “primary optical system”) 72 and a secondary electron optical system (hereinafter referred to as “first optical system”). An optical system provided with a "secondary optical system" 74) and a detection system 76 are provided. FIG. 8 is a schematic diagram showing a schematic configuration of a “light irradiation type” electron optical device. As an electron optical device according to an embodiment of the present invention, an “electron irradiation type” electron optical device described later is used. In the electron optical device of FIG. 8 (light irradiation type electron optical device), the primary optical system 72 is an optical system that irradiates the surface of the wafer W to be inspected, a light source 10000 that emits light, and a light beam. And a mirror 10001 for changing the angle of. In this light irradiation type electro-optical device, the optical axis of the light beam 10000A emitted from the light source is inclined with respect to the optical axis of the photoelectrons emitted from the wafer W to be inspected (perpendicular to the surface of the wafer W). Yes.

検出系76は、レンズ系741の結像面に配置された検出器761及び画像処理部763を備えている。   The detection system 76 includes a detector 761 and an image processing unit 763 arranged on the image plane of the lens system 741.

<光源(光線光源)>
図8の電子光学装置においては、光源10000には、DUVレーザ光源を用いている。DUVレーザ光源10000からは、DUVレーザ光が出射される。なお、UV、DUV、EUVの光及びレーザ、そしてX線及びX線レーザ等、光源10000からの光が照射された基板から光電子が放出される光源であれば他の光源を用いても良い。
<Light source (light source)>
In the electro-optical device of FIG. 8, a DUV laser light source is used as the light source 10000. A DUV laser beam is emitted from the DUV laser light source 10000. Other light sources may be used as long as they emit light electrons from a substrate irradiated with light from the light source 10000, such as UV, DUV, EUV light and laser, and X-ray and X-ray laser.

<1次光学系>
光源10000より出射される光線によって一次光線を形成し、ウエハW面上に矩形、又は円形(楕円であってもよい)ビームを照射する部分で1次光学系と呼ぶ。光源10000より出射される光線は、対物レンズ光学系724を通ってステージ装置50上のウエハWFに一次光線として照射される。
<Primary optical system>
A portion where a primary light beam is formed by a light beam emitted from the light source 10000 and is irradiated with a rectangular or circular (may be elliptical) beam on the wafer W surface is referred to as a primary optical system. The light beam emitted from the light source 10000 passes through the objective lens optical system 724 and is irradiated on the wafer WF on the stage apparatus 50 as a primary light beam.

<2次光学系>
ウエハW上に照射された光線により発生する光電子による二次元の画像を、ミラー10001に形成された穴を通り抜け、静電レンズ(トランスファーレンズ)10006及び10009によりニューメリカルアパーチャ10008を通して視野絞り位置で結像させ、後段のレンズ741で拡大投影し、検出系76で検知する。この結像投影光学系を2次光学系74と呼ぶ。
<Secondary optical system>
A two-dimensional image by photoelectrons generated by light rays irradiated on the wafer W passes through a hole formed in the mirror 10001, and is connected at a field stop position through a numerical aperture 10008 by electrostatic lenses (transfer lenses) 10006 and 10009. The image is magnified and projected by the lens 741 at the subsequent stage, and detected by the detection system 76. This imaging projection optical system is called a secondary optical system 74.

このとき、ウエハにはマイナスのバイアス電圧が印加されている。静電レンズ724(レンズ724−1及び724−2)とウエハ間の電位差で試料面上から発生した光電子を加速させ、色収差を低減させる効果を持つ。この対物レンズ光学系724における引き出し電界は、3kV/mm〜10kV/mmであり、高い電界になっている。引き出し電界を増加させると、収差の低減効果があり、分解能が向上するという関係にある。一方で、引き出し電界を増加させると、電圧勾配が大きくなり放電が発生しやすくなる。したがって、引き出し電界は、適切な値を選んで用いることが重要である。レンズ724(CL)によって規定倍率に拡大された電子はレンズ(TL1)10006により収束され、ニューメリカルアパーチャ10008(NA)上にクロスオーバ(CO)を形成する。また、レンズ(TL1)10006とレンズ(TL2)10009の組み合わせにより、倍率のズームを行うことが可能である。その後レンズ(PL)741で拡大投影し、検出器761におけるMCP(Micro Channel Plate)上に結像させる。本光学系ではTL1−TL
2間にNAを配置し、これを最適化することで軸外収差低減が可能な光学系を構成している。
At this time, a negative bias voltage is applied to the wafer. Photoelectrons generated from the sample surface are accelerated by the potential difference between the electrostatic lens 724 (lenses 724-1 and 724-2) and the wafer, and the effect of reducing chromatic aberration is obtained. The extraction electric field in the objective lens optical system 724 is 3 kV / mm to 10 kV / mm, which is a high electric field. Increasing the extraction electric field has the effect of reducing aberrations and improving the resolution. On the other hand, when the extraction electric field is increased, the voltage gradient becomes large and discharge is likely to occur. Therefore, it is important to select an appropriate value for the extraction electric field. The electrons expanded to the specified magnification by the lens 724 (CL) are converged by the lens (TL1) 10006 to form a crossover (CO) on the numerical aperture 10008 (NA). In addition, zooming can be performed by combining the lens (TL1) 10006 and the lens (TL2) 10009. Thereafter, the image is magnified and projected by a lens (PL) 741 and formed on an MCP (Micro Channel Plate) in the detector 761. In this optical system, TL1-TL
An optical system capable of reducing off-axis aberrations is configured by arranging an NA between the two and optimizing the NA.

<検出器>
2次光学系で結像されるウエハからの光電子画像は、まずマイクロチャンネルプレート(MCP)で増幅されたのち、蛍光スクリーンにあたり光の像に変換される。MCPの原理としては直径6〜25μm、長さ0.24〜1.0mmという非常に細い導電性のガラスキャピラリを数百万本束ね、薄い板状に整形したもので、所定の電圧印加を行うことで、一本一本のキャピラリが、独立した電子増幅器として働き、全体として電子増幅器を形成する。
<Detector>
A photoelectron image from a wafer imaged by the secondary optical system is first amplified by a microchannel plate (MCP) and then converted to a light image by hitting a fluorescent screen. The principle of MCP is a bundle of millions of very thin conductive glass capillaries having a diameter of 6 to 25 μm and a length of 0.24 to 1.0 mm, which are shaped into a thin plate and applied with a predetermined voltage. Thus, each capillary functions as an independent electronic amplifier and forms an electronic amplifier as a whole.

この検出器により光に変換された画像は、真空透過窓を介して大気中に置かれたFOP(Fiber Optical Plate)系でTDI(Time Delay integration)−CCD(Charge Coupled Device)上に1対1で投影される。また、他の方法としては蛍光材のコートされたFOPがTDIセンサ面に接続されて真空中にて電子/光変換された信号がTDIセンサに
導入される場合がある。このほうが、大気中に置かれた場合よりも、透過率やMTF(Modulation Transfer Function)の効率がよい。例えば透過率およびMTFにおいて×5〜×10の高い値が得られる。このとき、検出器としては、上述したように、MCP+TDIを用いることがあるが、その代わりに、EB(Electron Bombardment)−TDIまたは、EB−CCDを用いてもよい。EB−TDIを用いると、試料表面から発生し、2次元像を形成している光電子が、直接EB−TDIセンサ面に入射するので、分解能の劣化がなく像信号の形成ができる。例えば、MCP+TDIであると、MCPで電子増幅した後、蛍光材やシンチレータ等により電子/光変換が行われ、その光像の情報がTDIセンサに届けられることになる。それに対して、EB−TDI、EB−CCDでは、電子/光変換、光増情報の伝達部品/損失がないので、像の劣化がなく、センサに信号が届くのである。例えば、MCP+TDIを用いたときは、EB−TDIやEB−CCDを用いたときと比べて、MTFやコントラストが1/2〜1/3になる。
The image converted into light by this detector is one-to-one on a TDI (Time Delay integration) -CCD (Charge Coupled Device) in a FOP (Fiber Optical Plate) system placed in the atmosphere through a vacuum transmission window. Is projected. As another method, a fluorescent material-coated FOP is connected to the TDI sensor surface, and a signal that has been subjected to electronic / optical conversion in a vacuum is introduced into the TDI sensor. This is more efficient for transmittance and MTF (Modulation Transfer Function) than when placed in the atmosphere. For example, high values of x5 to x10 can be obtained in transmittance and MTF. At this time, as described above, MCP + TDI may be used as the detector, but EB (Electron Bombardment) -TDI or EB-CCD may be used instead. When EB-TDI is used, photoelectrons generated from the sample surface and forming a two-dimensional image are directly incident on the EB-TDI sensor surface, so that an image signal can be formed without degradation in resolution. For example, in the case of MCP + TDI, after electronic amplification by MCP, electron / light conversion is performed by a fluorescent material, a scintillator or the like, and information on the optical image is delivered to the TDI sensor. On the other hand, in EB-TDI and EB-CCD, there are no electronic / optical conversion and light-enhanced information transmission parts / losses, so there is no image degradation and the signal reaches the sensor. For example, when MCP + TDI is used, the MTF and contrast are ½ to 1 / compared to when EB-TDI or EB-CCD is used.

なお、この実施形態において、対物レンズ系724は、10ないし50kVの高電圧が印加され、ウエハWは設置されているものとする。   In this embodiment, it is assumed that a high voltage of 10 to 50 kV is applied to the objective lens system 724 and the wafer W is installed.

<写像投影方式の主な機能の関係とその全体像の説明>
図9に本実施の形態の全体構成図を示す。但し、一部構成を省略図示している。
<Relationship between main functions of map projection method and explanation of its overall image>
FIG. 9 shows an overall configuration diagram of the present embodiment. However, a part of the configuration is omitted.

図9において、検査装置は鏡筒71、光源筒7000およびチャンバ32を有している。光源筒7000内部には、光源10000が設けられており、光源10000から照射される光線(一次光線)の光軸上に1次光学系72が配置される。また、チャンバ32の内部には、ステージ装置50が設置され、ステージ装置50上にはウエハWが載置される。   In FIG. 9, the inspection apparatus has a lens barrel 71, a light source cylinder 7000, and a chamber 32. A light source 10000 is provided inside the light source tube 7000, and the primary optical system 72 is disposed on the optical axis of the light beam (primary light beam) emitted from the light source 10000. A stage device 50 is installed inside the chamber 32, and a wafer W is placed on the stage device 50.

一方、鏡筒71の内部には、ウエハWから放出される二次ビームの光軸上に、カソードレンズ724(724−1及び724−2)、トランスファーレンズ10006及び10009、ニューメリカルアパーチャ(NA)10008、レンズ741および検出器761が配置される。なお、ニューメリカルアパーチャ(NA)10008は、開口絞りに相当するもので、円形の穴が開いた金属製(Mo等)の薄板である。   On the other hand, inside the lens barrel 71, on the optical axis of the secondary beam emitted from the wafer W, a cathode lens 724 (724-1 and 724-2), transfer lenses 10006 and 10009, and a numerical aperture (NA). 10008, a lens 741 and a detector 761 are arranged. The numerical aperture (NA) 10008 corresponds to an aperture stop, and is a thin plate made of metal (such as Mo) having a circular hole.

一方、検出器761の出力は、コントロールユニット780に入力され、コントロールユニット780の出力は、CPU781に入力される。CPU781の制御信号は、光源制御ユニット71a、鏡筒制御ユニット71bおよびステージ駆動機構56に入力される。光源制御ユニット71aは、光源10000の電源制御を行い、鏡筒制御ユニット71bは、カソードレンズ724、レンズ10006及び10009、レンズ741のレンズ電圧制御と、アライナ(図示せず)の電圧制御(偏向量制御)を行う。   On the other hand, the output of the detector 761 is input to the control unit 780, and the output of the control unit 780 is input to the CPU 781. The control signal of the CPU 781 is input to the light source control unit 71a, the lens barrel control unit 71b, and the stage drive mechanism 56. The light source control unit 71a controls the power source of the light source 10000, and the lens barrel control unit 71b controls the lens voltage of the cathode lens 724, the lenses 10006 and 10009 and the lens 741, and the voltage control (deflection amount) of the aligner (not shown). Control).

また、ステージ駆動機構56は、ステージの位置情報をCPU781に伝達する。さらに、光源筒7000、鏡筒71、チャンバ32は、真空排系(図示せず)と繋がっており、真空排気系のターボポンプにより排気されて、内部は真空状態を維持している。また、ターボポンプの下流側には、通常ドライポンプまたはロータリーポンプによる粗引き真空排気装置系が設置されている。   The stage driving mechanism 56 transmits stage position information to the CPU 781. Further, the light source cylinder 7000, the lens barrel 71, and the chamber 32 are connected to a vacuum exhaust system (not shown), and are exhausted by a vacuum pump of a vacuum exhaust system to maintain a vacuum state inside. In addition, a roughing vacuum exhaust system using a dry pump or a rotary pump is installed downstream of the turbo pump.

一次光線が試料に照射されると、ウエハWの光線照射面からは、二次ビームとして光電子が発生する。   When the sample is irradiated with the primary light, photoelectrons are generated as a secondary beam from the light irradiation surface of the wafer W.

二次ビームは、カソードレンズ724、TLレンズ群10006と10009、レンズ(PL)741を通って検出器に導かれ結像する。   The secondary beam passes through the cathode lens 724, the TL lens groups 10006 and 10009, and the lens (PL) 741 and is guided to the detector to form an image.

ところで、カソードレンズ724は、3枚の電極で構成されている。一番下の電極は、試料W側の電位との間で、正の電界を形成し、電子(特に、指向性が小さい二次電子)を引き込み、効率よくレンズ内に導くように設計されている。そのため、カソードレンズは両テレセントリックとなっていると効果的である。カソードレンズによって結像した二次ビームは、ミラー10001の穴を通過する。   Incidentally, the cathode lens 724 is composed of three electrodes. The bottom electrode is designed to form a positive electric field with the potential on the sample W side, draw electrons (especially secondary electrons with small directivity), and efficiently guide them into the lens. Yes. Therefore, it is effective that the cathode lens is both telecentric. The secondary beam imaged by the cathode lens passes through the hole of the mirror 10001.

二次ビームを、カソードレンズ724が1段のみで結像させると、レンズ作用が強くなり収差が発生しやすい。そこで、2段のダブレッドレンズ系にして、1回の結像をおこなわせる。この場合、その中間結像位置は、レンズ(TL1)10006とカソードレンズ724の間である。また、このとき上述したように、両テレセントリックにすると収差低減に大変効果的である。二次ビームは、カソードレンズ724およびレンズ(TL1)レンズ10006により、ニューメリカルアパーチャ(NA)10008上に収束されクロスオーバを形成する。レンズ724とレンズ(TL1)10006との間で一回結像し、その後、レンズ(TL1)10006とレンズ(TL2)10009によって中間倍率が決まり、レンズ(PL)741で拡大されて検出器761に結像される。つまり、この例では合計3回結像する。   If the secondary beam is imaged with only one stage of the cathode lens 724, the lens action becomes strong and aberrations are likely to occur. Therefore, a two-stage doubled lens system is used to form an image once. In this case, the intermediate image formation position is between the lens (TL 1) 10006 and the cathode lens 724. At this time, as described above, using both telecentrics is very effective in reducing aberrations. The secondary beam is converged on the numerical aperture (NA) 10008 by the cathode lens 724 and the lens (TL1) lens 10006 to form a crossover. An image is formed once between the lens 724 and the lens (TL1) 10006, and then the intermediate magnification is determined by the lens (TL1) 10006 and the lens (TL2) 10009, and is magnified by the lens (PL) 741 and is enlarged to the detector 761. Imaged. That is, in this example, the image is formed three times in total.

また、レンズ10006、10009、レンズ741はすべて、ユニポテンシャルレンズまたはアインツェルレンズとよばれる回転軸対称型のレンズである。各レンズは、3枚電極の構成で、通常は外側の2電極をゼロ電位とし、中央の電極に印加する電圧で、レンズ作用を行わせて制御する。また、このレンズ構造に限らず、レンズ724の1段目または2段目、または両方にフォーカス調整用電極を所持する構造、またはダイナミックにおこなうフォーカス調整用電極を備え、4極である場合や5極である場合がある。また、PLレンズ741についても、フィールドレンズ機能を付加して、軸外収差低減を行い、かつ、倍率拡大を行うために、4極または5極とすることも有効である。   The lenses 10006, 10009 and the lens 741 are all rotationally symmetric lenses called unipotential lenses or einzel lenses. Each lens has a configuration of three electrodes. Usually, the outer two electrodes are set to zero potential, and the lens action is performed with a voltage applied to the center electrode. In addition to this lens structure, the lens 724 has a focus adjustment electrode on the first stage, the second stage, or both, or a focus adjustment electrode that performs dynamically, and has four poles or 5 May be poles. It is also effective to use a 4-pole or 5-pole for the PL lens 741 in order to add a field lens function to reduce off-axis aberrations and enlarge magnification.

二次ビームは、2次光学系により拡大投影され、検出器761の検出面に結像する。検出器761は、電子を増幅するMCPと、電子を光に変換する蛍光板と、真空系と外部との中継および光学像を伝達させるためのレンズやその他の光学素子と、撮像素子(CCD等)とから構成される。二次ビームは、MCP検出面で結像し、増幅され、蛍光板によって電子は光信号に変換され、撮像素子によって光電信号に変換される。   The secondary beam is enlarged and projected by the secondary optical system, and forms an image on the detection surface of the detector 761. The detector 761 includes an MCP that amplifies electrons, a fluorescent plate that converts electrons into light, a relay between the vacuum system and the outside, and a lens and other optical elements for transmitting an optical image, and an image sensor (CCD or the like). It consists of. The secondary beam forms an image on the MCP detection surface and is amplified, and the electrons are converted into an optical signal by the fluorescent plate and converted into a photoelectric signal by the imaging device.

コントロールユニット780は、検出器761からウエハWの画像信号を読み出し、CPU781に伝達する。CPU781は、画像信号からテンプレートマッチング等によってパターンの欠陥検査を実施する。また、ステージ装置50は、ステージ駆動機構56により、XY方向に移動可能となっている。CPU781は、ステージ装置50の位置を読み取り、ステージ駆動機構56に駆動制御信号を出力し、ステージ装置50を駆動させ、順次画像の検出、検査を行う。   The control unit 780 reads the image signal of the wafer W from the detector 761 and transmits it to the CPU 781. The CPU 781 performs a pattern defect inspection from the image signal by template matching or the like. The stage device 50 can be moved in the XY directions by a stage drive mechanism 56. The CPU 781 reads the position of the stage device 50, outputs a drive control signal to the stage drive mechanism 56, drives the stage device 50, and sequentially detects and inspects images.

また、拡大倍率の変更は、レンズ10006及び10009のレンズ条件の設定倍率を変えても、検出側での視野全面に均一な像が得られる。なお、本実施形態では、むらのない均一な像を取得することができるが、通常、拡大倍率を高倍にすると、像の明るさが低下するという問題点が生じた。そこで、これを改善するために、2次光学系のレンズ条件を変えて拡大倍率を変更する際、単位ピクセルあたり放出される電子量を一定になるように1次光学系のレンズ条件を設定する。   Further, when the magnification is changed, a uniform image can be obtained on the entire field of view on the detection side even if the set magnification of the lens conditions of the lenses 10006 and 10009 is changed. In the present embodiment, a uniform image without unevenness can be acquired. However, usually, when the enlargement magnification is increased, the brightness of the image is lowered. Therefore, in order to improve this, when changing the magnification ratio by changing the lens condition of the secondary optical system, the lens condition of the primary optical system is set so that the amount of electrons emitted per unit pixel becomes constant. .

<プレチャージユニット>
プレチャージユニット81は、図1に示されるように、ワーキングチャンバ31内で電子光学装置70の鏡筒71に隣接して配設されている。本検査装置では検査対象である基板すなわちウエハに電子線を照射することによりウエハ表面に形成されたデバイスパターン等を検査する形式の装置であるから、光線の照射により生じる光電子の情報をウエハ表面の情報とするが、ウエハ材料、照射する光やレーザの波長やエネルギ等の条件によってウエハ表面が帯電(チャージアップ)することがある。更に、ウエハ表面でも強く帯電する箇所、弱い帯電箇所が生じる可能性がある。ウエハ表面の帯電量にむらがあると光電子情報もむらを生じ、正確な情報を得ることができない。そこで、本実施形態では、このむらを防止するために、荷電粒子照射部811を有するプレチャージユニット81が設けられている。検査するウエハの所定の箇所に光やレーザを照射する前に、帯電むらをなくすためにこのプレチャージユニットの荷電粒子照射部811から荷電粒子を照射して帯電のむらを無くす。このウエハ表面のチャージアップは予め検出対象であるウエハ面の画像を形成し、その画像を評価することで検出し、その検出に基づいてプレチャージユニット81を動作させる。
<Precharge unit>
As shown in FIG. 1, the precharge unit 81 is disposed adjacent to the lens barrel 71 of the electron optical device 70 in the working chamber 31. Since this inspection apparatus is a type of apparatus that inspects the device pattern formed on the wafer surface by irradiating the substrate to be inspected, that is, the wafer, with the electron beam, the photoelectron information generated by the irradiation of the light beam As information, the wafer surface may be charged (charged up) depending on conditions such as the wafer material, the light to be irradiated, the wavelength and energy of the laser, and the like. In addition, there may be places where the wafer surface is strongly charged and weakly charged. If the charge amount on the wafer surface is uneven, the photoelectron information is also uneven, and accurate information cannot be obtained. Therefore, in this embodiment, in order to prevent this unevenness, a precharge unit 81 having a charged particle irradiation unit 811 is provided. Before irradiating light or a laser to a predetermined portion of a wafer to be inspected, charged particles are irradiated from the charged particle irradiation unit 811 of the precharge unit to eliminate uneven charging, thereby eliminating uneven charging. This charge-up of the wafer surface is detected by forming an image of the wafer surface to be detected in advance, evaluating the image, and operating the precharge unit 81 based on the detection.

(実施形態1)
<光照射を用いる1次系に替る電子照射を用いる1次光学系を備えた電子光学装置>
これまで述べてきたのは、試料表面に照射するのが光やレーザ等であり、それにより、試料表面からは光電子が発生する形態を述べてきた。以下、本発明の実施の形態として、光を照射する代わりに、電子ビームを照射する「電子照射型」の1次系の形態について述べる。まず、一般的な電子銃を備える検査装置の例を図10に示す。図10(a)は、全体構成を示し、図10(b)は、電子銃部分の拡大模式図である。但し、一部構成を省略図示している。
(Embodiment 1)
<Electron Optical Device with Primary Optical System Using Electron Irradiation Instead of Primary System Using Light Irradiation>
What has been described so far has described the form in which light, laser, etc. irradiate the sample surface, thereby generating photoelectrons from the sample surface. Hereinafter, as an embodiment of the present invention, an “electron irradiation type” primary system that irradiates an electron beam instead of irradiating light will be described. First, an example of an inspection apparatus provided with a general electron gun is shown in FIG. FIG. 10A shows the overall configuration, and FIG. 10B is an enlarged schematic view of the electron gun portion. However, a part of the configuration is omitted.

図10(a)において、検査装置は一次コラム71−1、二次コラム71−2およびチャンバ32を有している。一次コラム71−1の内部には、電子銃721が設けられており、電子銃721から照射される電子ビーム(一次ビーム)の光軸上に1次光学系72が配置される。また、チャンバ32の内部には、ステージ装置50が設置され、ステージ装置50上には試料Wが載置される。一方、二次コラム71−2の内部には、試料W から
発生する二次ビームの光軸上に、カソードレンズ724、ニューメリカルアパーチャNA−2、ウィーンフィルタ723、第2レンズ741−1、ニューメリカルアパーチャNA−3、第3 レンズ741−2、第4レンズ741−3および検出器761が配置される
。なお、ニューメリカルアパーチャNA−3は、開口絞りに相当するもので、円形の穴が開いた金属製(Mo等)の薄板である。そして、ニューメリカルアパーチャNA−2は開口部が一次ビームの集束位置およびカソードレンズ724の焦点位置になるように配置されている。したがって、カソードレンズ724とニューメリカルアパーチャNA−2とは、テレセントリックな電子光学系を構成している。特に、カソードレンズ724が2段のダブレットレンズで第1中間結像点がE×B中心付近に形成する両テレセントリックの電子光学系を構成している場合もある。これは、片テレセントリックやテレセントリックでない場合に比べて、収差を小さくすることができ広視野の2次元電子像の高分解能結像を達成することができる。つまり、収差1/2〜1/3を実現することができる。
10A, the inspection apparatus has a primary column 71-1, a secondary column 71-2, and a chamber 32. An electron gun 721 is provided inside the primary column 71-1, and a primary optical system 72 is disposed on the optical axis of an electron beam (primary beam) emitted from the electron gun 721. A stage device 50 is installed inside the chamber 32, and the sample W is placed on the stage device 50. On the other hand, inside the secondary column 71-2, the cathode lens 724, the numerical aperture NA-2, the Wien filter 723, the second lens 741-1, the pneumatic lens are arranged on the optical axis of the secondary beam generated from the sample W 1. A reical aperture NA-3, a third lens 741-2, a fourth lens 741-3, and a detector 761 are arranged. The numerical aperture NA-3 corresponds to an aperture stop, and is a metal (Mo or the like) thin plate with a circular hole. The numerical aperture NA-2 is arranged so that the opening is at the primary beam focusing position and the focal position of the cathode lens 724. Therefore, the cathode lens 724 and the numerical aperture NA-2 constitute a telecentric electron optical system. In particular, there may be a case where the cathode lens 724 is a doublet doublet lens and the first intermediate image forming point is formed in the vicinity of the E × B center to form a telecentric electron optical system. This can reduce aberrations and achieve high resolution imaging of a two-dimensional electronic image with a wide field of view as compared with a case where it is not one-telecentric or non-telecentric. That is, aberrations 1/2 to 1/3 can be realized.

一方、検出器761の出力は、コントロールユニット780に入力され、コントロールユニット780の出力は、CPU781に入力される。CPU781の制御信号は、一次コラム制御ユニット71a、二次コラム制御ユニット71bおよびステージ駆動機構56に入力される。一次コラム制御ユニット71aは、1次光学系72のレンズ電圧制御を行い、二次コラム制御ユニット71bは、カソードレンズ724、第2レンズ741−1〜第4レンズ741−3のレンズ電圧制御およびウィーンフィルタ723に印加する電磁界制御を行う。また、ステージ駆動機構56は、ステージの位置情報をCPU781に伝達する。さらに、一次コラム71−1、二次コラム71−2、チャンバ32は、真空排気系(図示せず)と繋がっており、真空排気系のターボ分子ポンプにより排気されて、内部は真空状態を維持している。   On the other hand, the output of the detector 761 is input to the control unit 780, and the output of the control unit 780 is input to the CPU 781. The control signal of the CPU 781 is input to the primary column control unit 71a, the secondary column control unit 71b, and the stage drive mechanism 56. The primary column control unit 71a performs lens voltage control of the primary optical system 72, and the secondary column control unit 71b performs lens voltage control of the cathode lens 724, the second lens 741-1 to the fourth lens 741-3, and Wien. The electromagnetic field applied to the filter 723 is controlled. The stage driving mechanism 56 transmits stage position information to the CPU 781. Further, the primary column 71-1, the secondary column 71-2, and the chamber 32 are connected to an evacuation system (not shown) and are evacuated by a turbo molecular pump of the evacuation system, and the inside is maintained in a vacuum state. doing.

(一次ビーム)
電子銃721からの一次ビームは、1次光学系72によってレンズ作用を受けながら、ウィーンフィルタ723に入射する。ここでは、電子銃のチップとしては、矩形、円形フラット、曲面(例えばr=50μm程度)を有するものを利用でき、大電流を取り出すことができるLaB6を用いる。また、1次光学系72は、回転軸非対称の四重極または八重極の静電(または電磁)レンズを使用する。これは、いわゆるシリンドリカルズレンズと同様にX軸、Y軸各々で集束と発散とを引き起こすことができる。このレンズを2段、または、3段で構成し、各レンズ条件を最適化することによって、照射電子を損失することなく、試料面上のビーム照射領域を、任意の矩形状、または楕円形状に整形することができる。具体的には、静電レンズを用いた場合、4つの円柱ロッドを使用する。対向する電極同士を等電位にし、互いに逆の電圧特性を与える。なお、四重極レンズとして円柱形ではなく、静電偏向器で、通常使用される円形板を4分割した形状のレンズを用いてもよい。この場合レンズの小型化を図ることができる。
(Primary beam)
The primary beam from the electron gun 721 is incident on the Wien filter 723 while receiving a lens action by the primary optical system 72. Here, as the tip of the electron gun, one having a rectangular shape, a circular flat shape, a curved surface (for example, about r = 50 μm) can be used, and LaB6 that can extract a large current is used. The primary optical system 72 uses a rotation axis asymmetric quadrupole or octupole electrostatic (or electromagnetic) lens. This can cause convergence and divergence in the X-axis and Y-axis as in the so-called cylindrical lens. By constructing this lens in two or three stages and optimizing each lens condition, the beam irradiation area on the sample surface can be made into an arbitrary rectangular or elliptical shape without irradiating irradiation electrons. Can be shaped. Specifically, when an electrostatic lens is used, four cylindrical rods are used. Opposing electrodes are equipotential, and opposite voltage characteristics are given. In addition, as a quadrupole lens, a lens having a shape obtained by dividing a generally used circular plate into four by an electrostatic deflector may be used instead of a cylindrical shape. In this case, the lens can be reduced in size.

1次光学系72を通過した一次ビームは、ウィーンフィルタ723の偏向作用により軌道が曲げられる。ウィーンフィルタ723は、磁界と電界を直交させ、電界をE、磁界をB、荷電粒子の速度をvとした場合、E=vBのウィーン条件を満たす荷電粒子のみを直進させ、それ以外の荷電粒子の軌道を曲げる。一次ビームに対しては、磁界による力FBと電界による力FEとが発生し、ビーム軌道は曲げられる。一方、二次ビームに対しては、力FBと力FEとが逆方向に働くため、互いに相殺されるので二次ビームはそのまま直進する。1次光学系72のレンズ電圧は、一次ビームがニューメリカルアパーチャNA−2の開口部で結像するように、予め設定されている。このニューメリカルアパーチャNA−2は、装置内に散乱する余計な電子ビームが試料面に到達することを阻止し、試料Wのチャージアップや汚染を防いでいる。さらに、フィールドアパーチャNA−2とカソードレンズ724(図示しないが2段のダブレットレンズ)とは両テレセントリックな電子光学系を構成しているので、カソードレンズ724を透過した一次ビームは平行ビームになり、試料Wに均一かつ一様に照射する。すなわち、光学顕微鏡でいうケーラー照明が実現される。   The orbit of the primary beam that has passed through the primary optical system 72 is bent by the deflection action of the Wien filter 723. The Wien filter 723, when the magnetic field and the electric field are orthogonal to each other, when the electric field is E, the magnetic field is B, and the velocity of the charged particles is v, only the charged particles satisfying the Wien condition of E = vB travel straight. Bend the trajectory. For the primary beam, a force FB caused by a magnetic field and a force FE caused by an electric field are generated, and the beam trajectory is bent. On the other hand, since the force FB and the force FE work in opposite directions with respect to the secondary beam, they cancel each other, so the secondary beam goes straight. The lens voltage of the primary optical system 72 is set in advance so that the primary beam forms an image at the opening of the numerical aperture NA-2. This numerical aperture NA-2 prevents an extra electron beam scattered in the apparatus from reaching the sample surface and prevents charge-up and contamination of the sample W. Further, since the field aperture NA-2 and the cathode lens 724 (two-stage doublet lens (not shown)) form a telecentric electron optical system, the primary beam transmitted through the cathode lens 724 becomes a parallel beam, The sample W is irradiated uniformly and uniformly. That is, Koehler illumination referred to as an optical microscope is realized.

(二次ビーム)
一次ビームが試料に照射されると、試料のビーム照射面からは、二次ビームとして、二次電子、反射電子または後方散乱電子が発生する。又は、照射エネルギによってはミラー電子が形成される。二次ビームは、カソードレンズ724によるレンズ作用を受けながら、レンズを透過する。ところで、カソードレンズ724は、3又は4枚の電極で構成されている。一番下の電極は、試料W 側の電位との間で、正の電界を形成し、電子(特に、
指向性が小さい2次放出電子及びミラー電子)を引き込み、効率よくレンズ内に導くように設計されている。また、レンズ作用は、カソードレンズ724の1番目、2番目の電極に電圧を印加し、3番目の電極をゼロ電位にすることで行われる。又は、1番目、2番目、3番目の電極に電圧を印加し、4番目の電極をゼロ電位にすることで行われる。4枚電極の時の第3電極はフォーカス調整に使用される。一方、ニューメリカルアパーチャNA−2は、カソードレンズ724の焦点位置、すなわち試料Wからのバックフォーカス位置に配置されている。したがって、視野中心外(軸外)から出た電子ビームの光束も、平行ビームとなって、このニューメリカルアパーチャNA−2の中心位置を、けられが生じることなく通過する。なお、ニューメリカルアパーチャNA−3は、二次ビームに対しては、カソードレンズ724、第2レンズ741−1〜第4レンズ741−3のレンズ収差を抑える役割を果たしている。ニューメリカルアパーチャNA−2を通過した二次ビームは、ウィーンフィルタ723の偏向作用を受けずに、そのまま直進して通過する。なお、ウィーンフィルタ723に印加する電磁界を変えることで、二次ビームから、特定のエネルギを持つ電子(例えば2次電子、又は反射電子、又は後方散乱電子)のみを検出器761に導くことができる。二次ビームを、カソードレンズ724は、試料表面から発生した2次放出電子の収差を決める重要なレンズとなる。そのため、あまり大きな倍率は期待できない。よって、収差を低減するために、2段のダブレットレンズ構造のカソードレンズとして、両テレセントリック構造にする。さらに、E×Bによって形成されるウィーンフィルタの発生する収差(非点収差等)を低減するため中間結像をこのE×B中間位置付近に設定する。これにより、収差の増大を抑える効果が大変大きい。そして、第2レンズ741−1によりビームを収束させ、ニューメリカルアパーチャNA−3付近にクロスオーバを形成する。また、第2レンズ741−1と第3レンズ741−2でズームレンズ機能を有しており、倍率制御が可能となる。この後段に、第4レンズ741−3があり、検出器面に拡大結像する。第4レンズは5段のレンズ構造であり、1、3、5段がGNDとなっている。2段と4段に正の高電圧が印加され高電圧が印加されレンズが形成される。この時、2段目はフィールドレンズ機能を有し、この付近に第2中間結像を行う。この時、このフィールドレンズ機能により、軸外収差の補正を行うことができる。そして、第4段目のレンズ機能により拡大結像がなされる。この様に、ここでは、合計3回結像する。なお、カソードレンズと第2レンズ741−1とを合わせて 検出面に結像(合計2回)させ
てもよい。また、第2レンズ741−1〜第4レンズ741−3はすべて、ユニポテンシャルレンズまたはアインツェルレンズとよばれる回転軸対称型のレンズでもよい。各レンズは、3枚電極の構成でもよい。通常は外側の2電極をゼロ電位とし、中央の電極に印加する電圧で、レンズ作用を行わせて制御する。また、中間の結像点には、フィールドアパーチャFA−2を配置してもよい(図示せず)。このフィールドアパーチャFA−2は、第4レンズ741−3が5段レンズのとき2段目付近に設置され、3段レンズの時は1段目付近に設置される。このフィールドアパーチャFA−2は、光学顕微鏡の視野絞りと同様に、視野を必要範囲に制限しているが、電子ビームの場合、余計なビームを遮断して、検出器761のチャージアップや汚染を防いでいる。二次ビームは、2次光学系により拡大投影され、検出器761の検出面に結像する。検出器761は、電子を増幅するMCPと、電子を光に変換する蛍光板と、真空系と外部との中継および光学像を伝達させるためのレンズやその他の光学素子と、撮像素子(CCD等)とから構成される。二次ビームは、MCP検出面で結像し、増幅され、蛍光板によって電子は光信号に変換され、撮像素子によって光電信号に変換される。コントロールユニット780は、検出器761から試料の画像信号を読み出し、CPU781に伝達する。CPU781は、画像信号からテンプレートマッチング等によってパターンの欠陥検査を実施する。また、ステージ装置50は、ステージ駆動機構56により、XY方向に移動可能となっている。CPU781は、ステージ装置50の位置を読み取り、ステージ駆動機構56に駆動制御信号を出力し、ステージ装置50を駆動させ、順次画像の検出、検査を行う。
(Secondary beam)
When the sample is irradiated with the primary beam, secondary electrons, reflected electrons, or backscattered electrons are generated as a secondary beam from the beam irradiation surface of the sample. Alternatively, mirror electrons are formed depending on the irradiation energy. The secondary beam passes through the lens while receiving the lens action of the cathode lens 724. Incidentally, the cathode lens 724 is composed of three or four electrodes. The bottom electrode forms a positive electric field with the potential on the sample W side, and electrons (particularly,
It is designed to draw secondary emission electrons and mirror electrons with small directivity and efficiently guide them into the lens. The lens action is performed by applying a voltage to the first and second electrodes of the cathode lens 724 to bring the third electrode to zero potential. Alternatively, a voltage is applied to the first, second, and third electrodes, and the fourth electrode is set to zero potential. The third electrode in the case of four electrodes is used for focus adjustment. On the other hand, the numerical aperture NA-2 is disposed at the focal position of the cathode lens 724, that is, the back focus position from the sample W. Therefore, the electron beam emitted from the center of the field of view (off-axis) also becomes a parallel beam and passes through the center position of the numerical aperture NA-2 without being distorted. The numerical aperture NA-3 plays a role of suppressing the lens aberration of the cathode lens 724 and the second lens 741-1 to the fourth lens 741-3 for the secondary beam. The secondary beam that has passed through the numerical aperture NA-2 passes straight through without being subjected to the deflection action of the Wien filter 723. Note that by changing the electromagnetic field applied to the Wien filter 723, only electrons having specific energy (for example, secondary electrons, reflected electrons, or backscattered electrons) are guided to the detector 761 from the secondary beam. it can. The cathode lens 724 is an important lens that determines the aberration of secondary emission electrons generated from the sample surface. Therefore, a very large magnification cannot be expected. Therefore, in order to reduce aberrations, a double telecentric structure is adopted as a cathode lens having a double-stage doublet lens structure. Further, in order to reduce the aberration (such as astigmatism) generated by the Wien filter formed by E × B, the intermediate image is set near this E × B intermediate position. As a result, the effect of suppressing the increase in aberration is very large. Then, the beam is converged by the second lens 741-1 to form a crossover in the vicinity of the numerical aperture NA-3. In addition, the second lens 741-1 and the third lens 741-2 have a zoom lens function, and magnification control is possible. In the subsequent stage, there is a fourth lens 741-3, which forms an enlarged image on the detector surface. The fourth lens has a five-stage lens structure, and the first, third, and fifth stages are GND. A positive high voltage is applied to the second and fourth stages, and a high voltage is applied to form a lens. At this time, the second stage has a field lens function, and the second intermediate image is formed in the vicinity thereof. At this time, the off-axis aberration can be corrected by the field lens function. An enlarged image is formed by the lens function of the fourth stage. In this way, the image is formed three times in total here. The cathode lens and the second lens 741-1 may be combined to form an image on the detection surface (two times in total). Further, all of the second lens 741-1 to the fourth lens 741-3 may be rotational axis symmetric lenses called unipotential lenses or Einzel lenses. Each lens may have a configuration of three electrodes. Normally, the outer two electrodes are set to zero potential, and the lens action is performed with the voltage applied to the center electrode. Further, a field aperture FA-2 may be arranged at an intermediate image point (not shown). This field aperture FA-2 is installed near the second stage when the fourth lens 741-3 is a five-stage lens, and is installed near the first stage when the fourth lens 741-3 is a three-stage lens. This field aperture FA-2 limits the field of view to the necessary range, similar to the field stop of an optical microscope. However, in the case of an electron beam, the extra beam is blocked to prevent the detector 761 from being charged up or contaminated. It is preventing. The secondary beam is enlarged and projected by the secondary optical system, and forms an image on the detection surface of the detector 761. The detector 761 includes an MCP that amplifies electrons, a fluorescent plate that converts electrons into light, a relay between the vacuum system and the outside, and a lens and other optical elements for transmitting an optical image, and an image sensor (CCD or the like). It consists of. The secondary beam forms an image on the MCP detection surface and is amplified, and the electrons are converted into an optical signal by the fluorescent plate and converted into a photoelectric signal by the imaging device. The control unit 780 reads the image signal of the sample from the detector 761 and transmits it to the CPU 781. The CPU 781 performs a pattern defect inspection from the image signal by template matching or the like. The stage device 50 can be moved in the XY directions by a stage drive mechanism 56. The CPU 781 reads the position of the stage device 50, outputs a drive control signal to the stage drive mechanism 56, drives the stage device 50, and sequentially detects and inspects images.

「二次荷電粒子」には、2次放出電子、ミラー電子、光電子の一部または混在したものが含まれる。電磁波を照射したときは、試料表面からは光電子が発生する。試料表面に電子線などの荷電粒子を照射したときは、試料表面から「二次放出電子」が発生する、または、「ミラー電子」が形成される。試料表面に電子線が衝突して発生するのが「二次放出電子」である。つまり、「二次放出電子」とは、二次電子、反射電子、後方散乱電子の一部または混在したものを示す。また、照射した電子線が試料表面に衝突しないで表面近傍にて反射したものを「ミラー電子」という。   “Secondary charged particles” include secondary emission electrons, mirror electrons, and some or a mixture of photoelectrons. When electromagnetic waves are irradiated, photoelectrons are generated from the sample surface. When the sample surface is irradiated with charged particles such as an electron beam, “secondary emission electrons” are generated from the sample surface or “mirror electrons” are formed. “Secondary emission electrons” are generated when an electron beam collides with the sample surface. That is, “secondary emission electrons” indicate a part or a mixture of secondary electrons, reflected electrons, and backscattered electrons. Also, what is reflected by the irradiated electron beam in the vicinity of the surface without colliding with the sample surface is called “mirror electron”.

このように、本実施形態の検査装置では、ニューメリカルアパーチャNA−2とカソードレンズ724とが、テレセントリックな電子光学系を構成しているので、一次ビームに対しては、ビームを試料に均一に照射させることができる。すなわち、ケーラー照明を容易に実現することができる。さらに、二次ビームに対しては、試料Wからの全ての主光線が、カソードレンズ724に垂直(レンズ光軸に平行)に入射し、ニューメリカルアパーチャNA−2を通過するので、周辺光もけられることがなく、試料周辺部の画像輝度が低下することがない。また、電子が有するエネルギのばらつきによって、結像する位置が異なる、いわゆる倍率色収差が起こる(特に、二次電子は、エネルギのばらつきが大きいため、倍率色収差が大きい)が、カソードレンズ724の焦点位置に、ニューメリカルアパーチャNA−2を配置することで、この倍率色収差を抑えることができる。   As described above, in the inspection apparatus according to the present embodiment, the numerical aperture NA-2 and the cathode lens 724 constitute a telecentric electron optical system. Can be irradiated. That is, Kohler illumination can be easily realized. Further, for the secondary beam, all the principal rays from the sample W are incident on the cathode lens 724 perpendicularly (parallel to the lens optical axis) and pass through the numerical aperture NA-2, so that the ambient light is also The image brightness at the periphery of the sample does not decrease. Further, a so-called chromatic aberration of magnification occurs in which the image formation position varies depending on the energy variation of the electrons (particularly, the secondary electron has a large chromatic aberration of magnification due to the large energy variation), but the focal position of the cathode lens 724 In addition, the chromatic aberration of magnification can be suppressed by arranging the numerical aperture NA-2.

また、拡大倍率の変更は、ニューメリカルアパーチャNA−2の通過後に行われるので、第3レンズ741−2、第4レンズ741−3のレンズ条件の設定倍率を変えても、検出側での視野全面に均一な像が得られる。なお、本実施形態では、むらのない均一な像を取得することができるが、通常、拡大倍率を高倍にすると、像の明るさが低下するという問題点が生じた。そこで、これを改善するために、2次光学系のレンズ条件を変えて拡大倍率を変更する際、それに伴って決まる試料面上の有効視野と、試料面上に照射される電子ビームとを、同一の大きさになるように1次光学系のレンズ条件を設定する。   Further, since the enlargement magnification is changed after passing through the numerical aperture NA-2, the field of view on the detection side can be changed even if the setting magnification of the lens conditions of the third lens 741-2 and the fourth lens 741-3 is changed. A uniform image can be obtained on the entire surface. In the present embodiment, a uniform image without unevenness can be acquired. However, usually, when the enlargement magnification is increased, the brightness of the image is lowered. Therefore, in order to improve this, when changing the magnification condition by changing the lens condition of the secondary optical system, the effective field of view on the sample surface determined accordingly, and the electron beam irradiated on the sample surface, The lens conditions of the primary optical system are set so as to have the same size.

すなわち、倍率を上げていけば、それに伴って視野が狭くなるが、それと同時に電子ビームの照射エネルギ密度を上げていくことで、2次光学系で拡大投影されても、検出電子の信号密度は、常に一定に保たれ、像の明るさは低下しない。また、本実施形態の検査装置では、一次ビームの軌道を曲げて、二次ビームを直進させるウィーンフィルタ723を用いたが、それに限定されず、一次ビームの軌道を直進させ、二次ビームの軌道を曲げるウィーンフィルタを用いた構成の検査装置でもよい。また、本実施形態では、矩形陰極と四極子レンズとから矩形ビームを形成したが、それに限定されず、例えば円形ビームから矩形ビームや楕円形ビームを作り出してもよいし、円形ビームをスリットに通して矩形ビームを取り出してもよい。   That is, if the magnification is increased, the field of view is narrowed accordingly, but at the same time the irradiation energy density of the electron beam is increased, so that the signal density of the detected electrons is increased even if it is enlarged and projected by the secondary optical system. It is always kept constant and the brightness of the image does not decrease. In the inspection apparatus according to the present embodiment, the Wien filter 723 that bends the trajectory of the primary beam and travels the secondary beam straight is used. However, the invention is not limited thereto, and the trajectory of the secondary beam travels straight. An inspection apparatus using a Wien filter that bends the wire may be used. In this embodiment, the rectangular beam is formed from the rectangular cathode and the quadrupole lens. However, the present invention is not limited to this. For example, a rectangular beam or an elliptical beam may be created from the circular beam, or the circular beam may be passed through the slit. The rectangular beam may be taken out.

この例では、ニューメリカルアパーチャNA−2とニューメリカルアパーチャNA−3の2つのニューメリカルアパーチャを設置している。これは、照射電子量に応じて使い分けることができるのである。試料に対する照射電子量が少ない場合例えば、0.1〜10nAでは、ニューメリカルアパーチャNA−2により、1次ビームと2次ビームの収差を低減するために、ビーム径を選択する適切な径例えばφ30〜φ300μmを用いる。しかし、照射電子量が増加すると、このニューメリカルアパーチャNA−2はコンタミ付着によりチャージアップが起こり逆に像質を劣化させてしまうことがある。この時は、比較的大きな穴径、例えばφ500〜φ3000μmのニューメリカルアパーチャNA−2として、周辺の迷走電子のカットに用いる。そして、ニューメリカルアパーチャNA−3により2次ビームの収差と透過率の規定と決めるために用いる。ニューメリカルアパーチャNA−3は1次ビームが照射されないためにコンタミ付着が少なく、チャージアップによる像劣化が無いのである。よって、照射電流量の大小により、ニューメリカルアパーチャ径を選択して用いると大変効率的である。   In this example, two numerical apertures, a numerical aperture NA-2 and a numerical aperture NA-3, are installed. This can be properly used according to the amount of irradiated electrons. When the amount of irradiated electrons on the sample is small, for example, at 0.1 to 10 nA, an appropriate diameter for selecting the beam diameter, for example, φ30, is used to reduce the aberration of the primary beam and the secondary beam by the numerical aperture NA-2. Use ~ φ300 μm. However, when the amount of irradiated electrons increases, the numerical aperture NA-2 may be charged up due to contamination and adversely deteriorate the image quality. At this time, a numerical aperture NA-2 having a relatively large hole diameter, for example, φ500 to φ3000 μm, is used for cutting the surrounding stray electrons. Then, it is used to determine the aberration and transmittance of the secondary beam by the numerical aperture NA-3. Since the numerical aperture NA-3 is not irradiated with the primary beam, there is little contamination and there is no image deterioration due to charge-up. Therefore, it is very efficient to select and use the numerical aperture diameter depending on the amount of irradiation current.

この様な形態の1次ビームに電子照射を行うとき、電子光学装置70の1次光学系72として電子銃を使用する半導体検査装置1においては、大きな照射電流を得ようとする場合に、電子のエネルギ幅が広がってしまうという問題がある。以下に図を用いながら詳細に説明する。図10(b)は、一般的な電子銃2300を備えた電子光学装置70の1次光学系72の模式図である。   In the semiconductor inspection apparatus 1 that uses an electron gun as the primary optical system 72 of the electron optical device 70 when electron irradiation is performed on the primary beam in such a form, when a large irradiation current is to be obtained, However, there is a problem that the energy width of the widened area increases. This will be described in detail below with reference to the drawings. FIG. 10B is a schematic diagram of the primary optical system 72 of the electron optical apparatus 70 provided with a general electron gun 2300.

電子銃2300においては、カソード2310に熱電子発生のための加熱電源2313より加熱電流を流す。また、カソード2310には加速電圧Vaccを加速電源2314により設定する。一方、カソード2310に対して、相対的に正電圧を有し、例えば3000〜5000Vの電圧差を有するようにアノード2311に電圧を印加する。カソード2310が−5000Vの場合、アノード2311は0Vでもよい。このときエミッションの量は、ウェネルト2312に印加する電圧により制御される。ウェネルト2312は加速電圧Vaccに重畳されている。例えば、重畳電圧:0〜−1000Vである。Vaccとの電圧差が大きいとエミッション量は小さくなり、小さいとエミッションは大きくなる。また、ウェネルト電圧により最初にできるクロスオーバ(ファーストクロスオーバ:1stCO)位置も軸方向にずれる。また、カソード中心とウェネルト、アノード中心がずれていれば、z軸に垂直なx、y方向にも位置ずれが起こる。放出されたエミッションは広がっている。この内、有効なビームを選択し、また、ビーム形状を決定するのがフィールドアパーチャFA2320である。そのときのエミッションに対する透過率は通常0.1〜0.5%である。例えば、エミッション5μAで照射電流5〜25nAである。
よって、例えば、1μAの照射電流を得ようとすると、200μA〜1mAのエミッシンが必要となる。この時、エミッションが大きくなることにより、カソードからファーストクロスオーバ、ファーストクロスオーバからフィールドアパーチャFAに至る軌道において、ベルシュ効果により電子のエネルギ幅が広がってしまう。例えば、FA位置で1.2eVから10〜50eVに広がる。
In the electron gun 2300, a heating current is supplied to the cathode 2310 from a heating power source 2313 for generating thermoelectrons. Further, an acceleration voltage Vacc is set to the cathode 2310 by an acceleration power source 2314. On the other hand, a voltage is applied to the anode 2311 so as to have a relatively positive voltage with respect to the cathode 2310, for example, to have a voltage difference of 3000 to 5000V. When the cathode 2310 is −5000V, the anode 2311 may be 0V. At this time, the amount of emission is controlled by the voltage applied to the Wehnelt 2312. Wehnelt 2312 is superimposed on the acceleration voltage Vacc. For example, the superimposed voltage is 0 to −1000V. If the voltage difference from Vacc is large, the amount of emission becomes small, and if it is small, the emission becomes large. Also, the first crossover (first crossover: 1stCO) position that can be generated by the Wehnelt voltage is shifted in the axial direction. Also, if the cathode center and Wehnelt and anode centers are misaligned, misalignment also occurs in the x and y directions perpendicular to the z axis. The emitted emissions are spreading. Of these, the field aperture FA 2320 selects an effective beam and determines the beam shape. The transmittance with respect to the emission at that time is usually 0.1 to 0.5%. For example, the emission current is 5 to 25 nA at an emission of 5 μA.
Therefore, for example, if an irradiation current of 1 μA is to be obtained, an emissin of 200 μA to 1 mA is required. At this time, as the emission increases, the energy width of electrons spreads due to the Bersch effect in the trajectory from the cathode to the first crossover and from the first crossover to the field aperture FA. For example, it expands from 1.2 eV to 10 to 50 eV at the FA position.

エネルギ幅は特に低LE時に問題となる。試料表面近傍での電子の軌道がz方向の広がりが大きくなるからである。図を基に説明する。図11は、試料表面に照射される電子ビームの照射電流の強度(量)とエネルギの状態及び試料表面に照射されたビームの状態を示す図である。図11(a)は、試料表面に照射されるビームの照射電流の強度とエネルギの状態を示し、図11(b)は、試料表面に照射されるビームの状態を示す。試料に対して照射するビームの照射電流のエネルギが最適の場合のビームをビームcとし、ビームの照射電流のエネルギが低い場合のビームをビームa、ビームの照射電流が最大の場合のビームをビームbとする。また、ビームの照射電流のエネルギが高い場合のビームをビームdとする。電子ビームのエネルギと照射電流の強度(量)の関係は、LaB6などの熱電子形成方式では、マクセル分布に従い、図11(a)のような分布となる。このとき、上述のしたようにエネルギの高低による特徴有する電子ビームをビームa〜ビームdとした。   The energy width becomes a problem particularly at low LE. This is because the electron trajectory in the vicinity of the sample surface becomes larger in the z direction. This will be described with reference to the drawings. FIG. 11 is a diagram showing the intensity (amount) and energy state of the irradiation current of the electron beam irradiated on the sample surface and the state of the beam irradiated on the sample surface. FIG. 11A shows the intensity and energy state of the irradiation current of the beam applied to the sample surface, and FIG. 11B shows the state of the beam applied to the sample surface. The beam when the energy of the irradiation current of the beam irradiated on the sample is optimum is a beam c, the beam when the energy of the irradiation current of the beam is low is the beam a, and the beam when the irradiation current of the beam is maximum is the beam. Let b. Further, a beam when the energy of the irradiation current of the beam is high is referred to as a beam d. The relationship between the energy of the electron beam and the intensity (amount) of the irradiation current is as shown in FIG. 11A according to the Maxell distribution in the thermoelectron forming method such as LaB6. At this time, as described above, the electron beams having characteristics depending on the level of energy were designated as beams a to d.

一例として、高いエネルギのビームdが試料表面に丁度衝突する場合を図11(b)に示す。このとき、ビームdは表面に衝突して反射しない(ミラー電子形成なし)。一方、ビームc、ビームb、ビームaはそれぞれ反射電位点において反射する。つまりミラー電子が形成される。そして、エネルギが異なるビームc、ビームb、ビームaが反射する軸方向位置、つまり、Z位置が異なる。このZ位置の差異ΔZが生じる。このΔZが大きいほど、2次光学系で結像される像のボケが大きくなる。つまり、同じ表面位置で形成されたミラー電子が結像面における位置ズレを起こすからである。特にミラー電子においては、エネルギずれが反射点のずれ・途中軌道のずれを起こすので影響が大きい。これらのことは、ミラー電子によって形成される像、または、ミラー電子+2次放出電子により形成される像において、同様のことが言える。また、照射する電子ビームのエネルギ幅が大きいとこのような悪影響が大きくなる(ΔZが大きくなる)。よって、エネルギ幅を狭くした状態で試料表面に照射できる1次ビームがあると大変有効となる。そのために発明したのが、以降にて説明する図12〜図18に示すような電子発生源と1次光学系である。これらは、従来型に比べ、電子ビームのエネルギ幅を狭くできるだけでなく、1次系のビームの透過率を飛躍的に高くすることが可能であるため、狭いエネルギ幅にて大電流を試料表面に照射することが可能となる。つまり、上述のΔZが小さくできるため、2次光学系における結像面における位置ずれが小さくなり、低収差、高解像度、大電流化、高スループットを実現することが可能となる。通常、LaB6などの熱電子方式の電子源(Gun)では、電子発生部で2eV程度のエネルギ幅を有する。そして、発生電流量を増加するにしたがって、クーロン反発などによるベルシュ効果等でエネルギ幅は更に増加する。例えば、電子源のエミッション電流を5μA→50μAにすると、エネルギ幅は、例えば0.6eV→8.7eVに広がる、つまり、電流値を10倍にするとエネルギ幅は15倍程度に広がるのである。更に、途中の1次光学系の通過中に空間電荷効果等のエネルギ幅は広がる。このような特徴に鑑み、狭いエネルギ幅の電子ビームを試料に到達させるためには、電子発生源でのエネルギ幅を小さくすること、及び、1次光学系の透過率を高くして電子発生源のエミッション電流を低減することがもっとも重要である。これまでそれを実現する手段がなかったが、本発明はそれらを実現するものである。これらについての効果・説明は、後ほど図12〜図18に示す実施例にて説明する。   As an example, FIG. 11B shows a case where a high-energy beam d just collides with the sample surface. At this time, the beam d collides with the surface and does not reflect (no formation of mirror electrons). On the other hand, the beam c, the beam b, and the beam a are each reflected at the reflection potential point. That is, mirror electrons are formed. The axial positions where the beams c, b, and a having different energies are reflected, that is, the Z positions are different. This Z position difference ΔZ occurs. As this ΔZ is larger, the blur of the image formed by the secondary optical system becomes larger. That is, the mirror electrons formed at the same surface position cause a positional shift on the imaging plane. Especially in the mirror electrons, the energy shift causes a shift of the reflection point and a shift of the orbit on the way, so that the influence is great. The same can be said for an image formed by mirror electrons or an image formed by mirror electrons + secondary emission electrons. Further, when the energy width of the electron beam to be irradiated is large, such an adverse effect is increased (ΔZ is increased). Therefore, it is very effective to have a primary beam that can irradiate the sample surface with a narrow energy width. For that purpose, the electron generation source and the primary optical system as shown in FIGS. 12 to 18 described later are invented. Compared to the conventional type, these can not only narrow the energy width of the electron beam but also dramatically increase the transmittance of the primary beam, so that a large current can be applied to the sample surface with a narrow energy width. Can be irradiated. That is, since the above-described ΔZ can be reduced, the positional deviation on the imaging surface in the secondary optical system is reduced, and low aberration, high resolution, large current, and high throughput can be realized. Usually, a thermoelectron electron source (Gun) such as LaB6 has an energy width of about 2 eV in the electron generator. As the amount of generated current increases, the energy width further increases due to the Bersch effect due to Coulomb repulsion or the like. For example, when the emission current of the electron source is changed from 5 μA to 50 μA, the energy width increases, for example, from 0.6 eV to 8.7 eV. In other words, when the current value is increased 10 times, the energy width increases about 15 times. Furthermore, the energy width such as the space charge effect is widened during the passage of the primary optical system on the way. In view of these characteristics, in order to allow an electron beam with a narrow energy width to reach the sample, the electron generation source is made by reducing the energy width at the electron generation source and increasing the transmittance of the primary optical system. It is most important to reduce the emission current. Until now, there was no means for realizing it, but the present invention realizes them. The effects and explanations of these will be described later in the embodiments shown in FIGS.

また、電子ビームの強度(量が高い場合、ビームb)が撮像するのに最適であるとは限らない。例えば、マクセル分布に準じるエネルギ分布を有する場合、エネルギの低い部位にビーム強度(量)の最大がある場合が多い(ビームb)。このとき、ビームbよりも高いエネルギのビームが多くあるため、それらによって形成される像と異なる像質になる場合がある。つまり、ビームdは試料に衝突してしまいそれによる2次放出電子像を形成する場合、また、相対的にビームbはエネルギが低いので、試料表面の凹凸に影響が小さくミラー電子が形成されやすいため、つまり、表面の凹凸や電位差に影響が小さくミラー電子が形成され、像質として全体的にコントラストの低い像やぎらぎらした像になりやすいのである。経験的には、解像度の高い像は得にくい。特に、表面最上部に酸化膜がある場合は、表面に衝突する電子量の影響が大きくなるので、例えば、エミッション電流が小さい場合に比べ、エミッションが大きくなると(例えば10倍)、それによりエネルギ幅が10倍以上広がる。このとき、同一のランディングエネルギーLEで試料表面に電子ビームを照射すると、ビームbよりエネルギの高い部位、例えばビームdが試料表面に衝突する絶対量が増加し、そのために、酸化膜のチャージアップが大きくなる。そのチャージアップの影響にてミラー電子の軌道や結像条件が乱れて正常な撮像ができなくなる場合がある。このことが、照射電流を増加できない原因のひとつであった。このような状況では、ビームdが試料表面に衝突する量を低減して、酸化膜の電位変化を小さく抑えることができるエネルギのビームcを用いることができる(最適エネルギのビーム)。これにより、試料に衝突するビームの量を抑制して安定した像を得ることが可能となる。ただし、図11(a)より分かるように、ビームcはビームbよりも強度(量)が低い。最適エネルギのビームcを最大強度のビームbに近づけることができると、その分、像形成に寄与する電子量が増えて、スループットを増加することができる。そのためには、狭いエネルギ幅にして、試料表面に衝突する電子を低減することが重要である。本発明はそれを実現するものであり、図12〜図18にてその実施例を述べる。   Further, the intensity of the electron beam (when the amount is high, the beam b) is not necessarily optimal for imaging. For example, when the energy distribution conforms to the Maxell distribution, the maximum beam intensity (amount) is often present at a low energy portion (beam b). At this time, since there are many beams with higher energy than the beam b, the image quality may be different from the image formed by them. That is, when the beam d collides with the sample to form a secondary emission electron image, and the energy of the beam b is relatively low, mirror electrons are easily formed with little influence on the unevenness of the sample surface. In other words, mirror electrons are formed with little influence on the surface unevenness and potential difference, and the overall image quality is likely to be an image with low contrast or a blurred image. Empirically, it is difficult to obtain an image with high resolution. In particular, when an oxide film is present at the uppermost part of the surface, the influence of the amount of electrons colliding with the surface is increased. For example, when the emission is increased (for example, 10 times) compared to when the emission current is small, the energy width is thereby increased. Will spread more than 10 times. At this time, when the sample surface is irradiated with the electron beam with the same landing energy LE, an absolute amount of a portion having a higher energy than the beam b, for example, the beam d, collides with the sample surface increases. growing. Due to the effect of the charge-up, the trajectory of the mirror electrons and the imaging conditions may be disturbed and normal imaging may not be possible. This was one of the reasons why the irradiation current could not be increased. In such a situation, it is possible to use an energy beam c that can reduce the amount of collision of the beam d with the sample surface and suppress the potential change of the oxide film to be small (a beam of optimum energy). As a result, it is possible to obtain a stable image while suppressing the amount of the beam colliding with the sample. However, as can be seen from FIG. 11A, the beam c has lower intensity (amount) than the beam b. If the beam c having the optimum energy can be brought close to the beam b having the maximum intensity, the amount of electrons contributing to image formation is increased correspondingly, and the throughput can be increased. For this purpose, it is important to reduce the number of electrons that collide with the sample surface with a narrow energy width. The present invention realizes this, and an embodiment thereof will be described with reference to FIGS.

また、図11(b)において、LEを徐々に高くしていくと、ビームdが試料表面に衝突して、次にビームcが衝突し、衝突する電子ビームが増加すると、それにより発生する2次放出電子が増加する。このような、ミラー電子と2次放出電子の混在する領域を遷移領域と呼ぶ。全ての1次ビームが試料表面に衝突するとミラー電子はなくなり2次放出電子のみとなる。また、衝突する電子がない場合、全てミラー電子となる。   In FIG. 11B, when LE is gradually increased, the beam d collides with the sample surface, then the beam c collides, and when the colliding electron beams increase, 2 is generated thereby. Secondary emission electrons increase. Such a region where mirror electrons and secondary emission electrons are mixed is called a transition region. When all the primary beams collide with the sample surface, the mirror electrons disappear and only secondary emission electrons exist. When there are no colliding electrons, all become mirror electrons.

さらに、ウェネルト電圧を変えてエミッションを変えるときにファーストクロスオーバ位置も変化してしまうので、その都度、下流にあるアライナやレンズ調整を行う必要もあった。   Further, when the emission is changed by changing the Wehnelt voltage, the first crossover position also changes, so that it is necessary to adjust the aligner and the lens downstream each time.

また、半導体の検査においては、新たな技術に対応して、EUVマスク検査(極端紫外線リソグラフィ用マスクの検査)やNIL検査(ナノインプリントリソグラフィ用マスク検査)等の10nmレベルの欠陥検査が必要とされている。このために、半導体検査装置には、収差を下げて分解能を上げることが求められている。   Further, in the inspection of semiconductors, 10 nm level defect inspection such as EUV mask inspection (inspection of extreme ultraviolet lithography mask) and NIL inspection (mask inspection for nanoimprint lithography) is required corresponding to a new technology. Yes. For this reason, the semiconductor inspection apparatus is required to increase the resolution by reducing the aberration.

収差低減して分解能を上げるには、特に2次光学系の収差を下げることが必要であるが、写像系が劣化する要因は、いわゆるエネルギ収差(色収差ともいう。)及びクーロンブルアにある。そこで、2次光学系の収差をよくするために、短時間で加速エネルギを高くすることが求められている。   In order to reduce the aberration and increase the resolution, it is particularly necessary to reduce the aberration of the secondary optical system. However, the factors that degrade the mapping system are so-called energy aberration (also referred to as chromatic aberration) and Coulomb buler. Therefore, in order to improve the aberration of the secondary optical system, it is required to increase the acceleration energy in a short time.

そこで、このような問題を解決するために、本発明者は、新たな光電子発生装置を備えた1次光学系及び該1次光学系を有する電子光学装置を発明した。この1次光学系は光源としてDUV光又はDUVレーザを用いる。但し光源はこれに限定されるものではなく、UV、EUV又はX線を用いてもよい。以下にその内容を、図12を基に説明する。   In order to solve such problems, the present inventors have invented a primary optical system having a new photoelectron generator and an electron optical device having the primary optical system. This primary optical system uses DUV light or a DUV laser as a light source. However, the light source is not limited to this, and UV, EUV, or X-ray may be used. The contents will be described below with reference to FIG.

図12に示すように、本1次光学系2000は、概略、光源(図示せず)、フィールドアパーチャ(FA)2010、光電子発生装置2020、アライナ2030、E×B偏向器(ウィーンフィルタ)(図示せず)、アパーチャ2040、カソードレンズ(CL)2050を備える。   As shown in FIG. 12, this primary optical system 2000 is roughly composed of a light source (not shown), a field aperture (FA) 2010, a photoelectron generator 2020, an aligner 2030, an E × B deflector (Wien filter) (see FIG. 12). Not shown), an aperture 2040, and a cathode lens (CL) 2050.

フィールドアパーチャ2010は、後述する光電子発生装置2020の光電子面2021と、光源との間に配置され、所定の形状を有する穴が設けられている。光源からフィールドアパーチャ2010に向けて照射された光又はレーザは、フィールドアパーチャ2010の穴を通過して、光電子面2021に穴の形状の光又はレーザとして照射される。すなわち、光源から照射された光又はレーザは、これによって、光電子面2021から穴形状と同様の形状の光電子が発生する。なお、光源としては光電子を発生する波長のDUV(深紫外線)、UV(紫外線)、EUV(極端紫外線)、X線等の光又はレーザを用いる。この場合、特に、波長λ≦270nm(すなわち、E≧4.8eV)のDUVの光又はレーザが、好適に用いられる。   The field aperture 2010 is disposed between a photoelectron surface 2021 of a photoelectron generator 2020 described later and a light source, and is provided with a hole having a predetermined shape. The light or laser irradiated from the light source toward the field aperture 2010 passes through the hole of the field aperture 2010 and is irradiated to the photoelectron surface 2021 as light or laser in the shape of a hole. That is, the light or laser emitted from the light source generates photoelectrons having the same shape as the hole shape from the photoelectron surface 2021. As the light source, light such as DUV (deep ultraviolet), UV (ultraviolet), EUV (extreme ultraviolet), X-ray or the like having a wavelength that generates photoelectrons or a laser is used. In this case, in particular, DUV light or laser having a wavelength λ ≦ 270 nm (that is, E ≧ 4.8 eV) is preferably used.

光電子発生装置2020は、光電子面2021、3段の引き出しレンズである第1段レンズ2022、第2段レンズ2023、第3段レンズ2024で一つの引き出しレンズを構成している。また、ニューメリカルアパーチャ2025を備える。この引き出しレンズは、磁場レンズ又は静電レンズを用いるが、磁場レンズを用いる場合には、磁場補正器を、後述するニューメリカルアパーチャ2025付近に設ける。また、2次光学系のフィールドレンズ(図示せず)の下流付近や対物レンズ(図示せず)付近に設けることも有効である。像が磁場の影響で曲がってしまう場合があり、これを補正するためである。また、引き出しレンズの段数はこれに限定されるものではない。   In the photoelectron generator 2020, the first stage lens 2022, the second stage lens 2023, and the third stage lens 2024, which are three-stage extraction lenses, constitute one extraction lens. Further, a numerical aperture 2025 is provided. This extraction lens uses a magnetic lens or an electrostatic lens. When a magnetic lens is used, a magnetic field corrector is provided in the vicinity of a numerical aperture 2025 described later. It is also effective to provide it near the downstream of the field lens (not shown) of the secondary optical system or near the objective lens (not shown). This is because the image may be bent due to the influence of the magnetic field, and this is to be corrected. Further, the number of steps of the drawing lens is not limited to this.

光電子面2021は、サファイア、ダイアモンド等の光透過部材からなる母材に、光電子材料をコーティングしたものであり、平面部を有する。なお、平面部を有する光電子面2021の構造は、平面カソードとも呼ぶ。この場合、光電子面2021の母材として、特に、サファイアやダイアモンドなどの熱伝導率の高い材料が、好適に用いられる。サファイアやダイアモンドの熱伝導率(サファイア:30〜40W/(K・m)、ダイアモンド:50〜100W/(K・m))は、石英や合成石英の熱伝導率(1〜2W/(K・m))に比べて高いため、電子照射を受けた部分の熱を速やかに発散させることができる。したがって、光電子面2021の受けるダメージを低減させることができ、量子効率の低下や場所によって量子効率にムラが発生するのを抑えることができる。そして、光電子面2021の受けるダメージを低減させることができるため、電子照射のスポットサイズを小さく(パワー密度を高く)することができ、また、光電子材料の厚さを薄くすることができる。例えば、合成石英を母材とした場合、光電子面に266nmの波長のCWレーザを8000W/cm2のパワー密度で照射したときの量子効率は、1000W/cm2のパワー密度で照射したときの量子効率の1/5に低下したのに対して、サファイアを母材とした場合には、量子効率の低下はみられなかった。なお、サファイアやダイアモンドは、天然のものだけでなく、人工のものを用いることもできる。光電子材料としては、ルテニウム、金等のワークファンクションの低いもの(光電子発生効率のよい材料)が好適に用いられる。例えば、本実施の形態においては、母材にルテニウム、金等の光電子材料を、5nm〜100nm、好ましくは5nm〜30nmの厚さでコーティングしたものが用いられる。また、光電子面2021の形状は、母材の直径が例えば、5〜50mm程度であり、その中心領域に光電子材料がコーティングされた領域がある。そのコーティング領域は、例えば、直径が2〜10mm、好ましくは3〜5mmである。この光電子材料の外側ではCr等の導電膜がコートされており、この膜を通じて光電面への電圧印加が可能となっている。またこのCr膜は、DUVレーザーの透過率が低く遮光を行い通過して余計な部材に照射されそこから発生するノイズを低減する、また、Crは上述のAu、Ru等の光電子材料にくらべ、光電子発生効率が桁違いに小さいのでそこからの発生ノイズも低減する。 The optoelectronic surface 2021 is obtained by coating a base material made of a light transmitting member such as sapphire or diamond with a photoelectron material, and has a flat surface portion. Note that the structure of the photoelectron surface 2021 having a planar portion is also referred to as a planar cathode. In this case, a material having high thermal conductivity such as sapphire or diamond is particularly preferably used as the base material of the photoelectron surface 2021. The thermal conductivity of sapphire and diamond (sapphire: 30 to 40 W / (K · m), diamond: 50 to 100 W / (K · m)) is the thermal conductivity of quartz and synthetic quartz (1 to 2 W / (K · m). Since it is higher than m)), it is possible to quickly dissipate the heat of the portion irradiated with the electrons. Therefore, the damage received by the photoelectron surface 2021 can be reduced, and the quantum efficiency can be prevented from being lowered and the occurrence of unevenness in the quantum efficiency depending on the location. And since the damage which the photoelectron surface 2021 receives can be reduced, the spot size of electron irradiation can be made small (power density can be made high), and the thickness of an optoelectronic material can be made thin. For example, if a synthetic quartz as a base material, the quantum efficiency when irradiated with CW laser with a wavelength of 266nm at a power density of 8000W / cm 2 optoelectronic surface, quantum when irradiated at a power density of 1000W / cm 2 Whereas the efficiency decreased to 1/5 of the efficiency, when sapphire was used as a base material, the quantum efficiency was not decreased. In addition, as for sapphire and diamond, not only a natural thing but an artificial thing can also be used. As the optoelectronic material, a material having a low work function such as ruthenium or gold (a material having high photoelectron generation efficiency) is preferably used. For example, in this embodiment, a base material coated with a photoelectron material such as ruthenium or gold with a thickness of 5 nm to 100 nm, preferably 5 nm to 30 nm is used. Further, the shape of the photoelectron surface 2021 is such that the base material has a diameter of, for example, about 5 to 50 mm, and there is a region where the photoelectron material is coated in the central region. The coating area has, for example, a diameter of 2 to 10 mm, preferably 3 to 5 mm. A conductive film such as Cr is coated on the outside of the photoelectronic material, and voltage can be applied to the photocathode through this film. In addition, this Cr film has a low transmittance of the DUV laser, shields and passes through, and is irradiated on unnecessary members to reduce noise generated therefrom. Also, Cr is more than the above-mentioned optoelectronic materials such as Au and Ru. Since the photoelectron generation efficiency is orders of magnitude smaller, noise generated therefrom is also reduced.

その光電子材料がコートされている部位に、DUVレーザー等を照射するが、その直径が10μm〜300μm、好ましくは20μm〜150μmの円形、又は、一辺が10μm〜300μm、好ましくは10μm〜150μmの矩形が用いられるが、本発明の範囲はこれに限定されるものではない。光又はレーザは、母材のビューポートを透過して導入されて光電面に到達し、光電面では光電子が発生する。   The part coated with the optoelectronic material is irradiated with a DUV laser or the like. The diameter is 10 μm to 300 μm, preferably 20 μm to 150 μm, or the side is 10 μm to 300 μm, preferably 10 μm to 150 μm. Although used, the scope of the present invention is not limited thereto. Light or laser is introduced through the viewport of the base material and reaches the photocathode, where photoelectrons are generated.

第1段レンズ2022、第2段レンズ2023、第3段レンズ2024からなる引き出しレンズ(引き出し電極)は、光電子面2021から発生した光電子を、光源から反対方向に引き出し、また引き出された光電子を加速する作用を行う。これらの引き出しレンズには、静電レンズを用いる。そして、引き出しレンズ2022、2023及び2024にはウェネルトは用いず、引き出し電界は一定とする。なお、第1引き出し電極2022、第2引き出し電極2023、第3引き出し電極2024には、片側テレセントリック又は両テレ線トリック構成を用いると好適である。非常に均一な引き出し電界領域を形成でき、発生した光電子を低損失で輸送できるからである。   The extraction lens (extraction electrode) including the first-stage lens 2022, the second-stage lens 2023, and the third-stage lens 2024 extracts photoelectrons generated from the photoelectron surface 2021 in the opposite direction from the light source, and accelerates the extracted photoelectrons. To act. An electrostatic lens is used as these drawer lenses. The extraction lenses 2022, 2023 and 2024 do not use Wehnelt, and the extraction electric field is constant. Note that it is preferable to use a one-side telecentric structure or a double tele-line trick structure for the first extraction electrode 2022, the second extraction electrode 2023, and the third extraction electrode 2024. This is because a very uniform extraction electric field region can be formed and the generated photoelectrons can be transported with low loss.

各引き出しレンズの印加電圧は、光電子面の電圧をV1、第1引き出し電極2022、第2引き出し電極2023、第3引き出し電極2024の電圧を、それぞれV2、V3、V4とするとき、一例として、V2及びV4は、V1+3000〜30000V、V3はV4+10000〜30000Vに設定する。ただしこれに限定されるものではない。   The applied voltage of each extraction lens is, for example, V2 when the voltage on the photoelectron surface is V1, and the voltages of the first extraction electrode 2022, the second extraction electrode 2023, and the third extraction electrode 2024 are V2, V3, and V4, respectively. And V4 are set to V1 + 3000 to 30000V, and V3 is set to V4 + 10000 to 30000V. However, it is not limited to this.

光電子発生装置2020の第3引き出し電極2024と後述するアライナ2030との間には、ニューメリカルアパーチャ2025が配置される。ニューメリカルアパーチャ2025は、クロスオーバの形成位置、ビーム量、収差等有効ビームの選択を行う。   A numerical aperture 2025 is disposed between the third extraction electrode 2024 of the photoelectron generator 2020 and an aligner 2030 described later. The numerical aperture 2025 selects an effective beam such as a crossover formation position, beam amount, and aberration.

アライナ2030は、第1アライナ2031、第2アライナ2032及び第3アライナ2033を有し、光軸条件の調整等に用いる。第1アライナ2031、第2アライナ2032は、静的な動作を行うアライナであり光軸条件を調整するときに用いるチルト、シフトの役割を果たす。一方、第3アライナ2033は、ダイナミック偏向器で高速動作を行うときに用いるアライナであり、例えば動的なブランキング動作等に用いる。   The aligner 2030 includes a first aligner 2031, a second aligner 2032, and a third aligner 2033, and is used for adjusting the optical axis condition. The first aligner 2031 and the second aligner 2032 are aligners that perform a static operation, and play a role of tilt and shift used when adjusting the optical axis condition. On the other hand, the third aligner 2033 is an aligner used when a dynamic deflector performs a high-speed operation, and is used, for example, for a dynamic blanking operation.

アライナ2030の下流(試料側。以下各部材との位置関係で光源側を上流、試料側を下流という。)にはアパーチャ2040が配置される。アパーチャ2040は、ブランキング時のビームを受け、迷走電子カット及びビーム中心出し等に用いられる。また、アパーチャ2040の吸収電流の測定により電子ビーム量測定が可能となる。   An aperture 2040 is disposed downstream of the aligner 2030 (the sample side; hereinafter, the light source side is referred to as upstream and the sample side is referred to as downstream in the positional relationship with each member). The aperture 2040 receives a beam during blanking, and is used for, for example, stray electron cut and beam centering. Further, the amount of electron beam can be measured by measuring the absorption current of the aperture 2040.

アパーチャ2040の下流には、2次光学系と交差する領域であるE×B領域があり、ここにE×B偏向器(ウィーンフィルタ)(図示せず)が設けられる。E×B偏向器は、一次電子ビームをその光軸が試料の面に垂直になるように偏向する。   Downstream of the aperture 2040 is an E × B region that is a region intersecting with the secondary optical system, and an E × B deflector (Wien filter) (not shown) is provided here. The E × B deflector deflects the primary electron beam so that its optical axis is perpendicular to the surface of the sample.

E×B領域の下流にはカソードレンズ2050が設けられる。カソードレンズ2050は、1次光学系と2次光学系とが共存するレンズである。カソードレンズ2050は、第1カソードレンズ2051及び第2カソードレンズ2052の2段から構成されてもよいし、1枚で構成されてもよい。カソードレンズ2050を2段から構成する場合には、第1カソードレンズ2051と第2カソードレンズ2052の間にクロスオーバを形成し、カソードレンズ1枚の場合には、カソードレンズ2050と試料との間にクロスオーバを形成する。   A cathode lens 2050 is provided downstream of the E × B region. The cathode lens 2050 is a lens in which a primary optical system and a secondary optical system coexist. The cathode lens 2050 may be composed of two stages of the first cathode lens 2051 and the second cathode lens 2052, or may be composed of one sheet. When the cathode lens 2050 is composed of two stages, a crossover is formed between the first cathode lens 2051 and the second cathode lens 2052, and in the case of a single cathode lens, between the cathode lens 2050 and the sample. Form a crossover.

なお、光電子量は、光電子面に照射される光又はレーザの強度により決定する。従って、本1次光学系2000には、更に光源又はレーザ光源の出力調整を行う方式を適用してもよい。また、図示はしていないが、光源又はレーザ光源と母材との間に出力調整機構、例えば、アッテネータやビーム分離器等を更に設けてもよい。   The amount of photoelectrons is determined by the intensity of light or laser irradiated on the photoelectron surface. Therefore, a system for further adjusting the output of the light source or the laser light source may be applied to the primary optical system 2000. Although not shown, an output adjustment mechanism such as an attenuator or a beam separator may be further provided between the light source or the laser light source and the base material.

例えば、本実施の形態では、電子照射を行う際にエージング手順が実行されてもよい。エージング手順は、まず、(1)大きいビームサイズ(1〜2mm)で5時間の電子照射を行い、つぎに、(2)中程度のビームサイズ(100〜300μm)で2時間の電子照射を行い、その後、(3)小さいビームサイズ(10〜100μm)で電子照射を行う、という手順を順に行うものである。これにより、光電子面に付着した汚れやコンタミを除去することができるとともに、熱の安定条件をつくることができる。光電子面に付着した汚れやコンタミとは、例えば、カーボン、ハイドロカーボン、水分等である。熱の安定条件をつくることにより、熱状態の均一性が得られるとともに、熱上昇のときの光電子面のダメージを低減することができる。なお、(3)のビームサイズは、光電子源として使用するときのサイズ(使用サイズ)である。したがって、(2)のビームサイズは、使用サイズの3〜10倍のビームサイズであり、(1)のビームサイズは、使用サイズの500〜1000倍のビームサイズである、とも云える。   For example, in the present embodiment, an aging procedure may be executed when performing electron irradiation. The aging procedure is as follows: (1) electron irradiation for 5 hours with a large beam size (1-2 mm), then (2) electron irradiation for 2 hours with a medium beam size (100-300 μm). Then, the procedure of (3) performing electron irradiation with a small beam size (10 to 100 μm) is sequentially performed. As a result, dirt and contamination attached to the photoelectron surface can be removed, and a stable heat condition can be created. Dirt and contamination adhering to the photoelectron surface are, for example, carbon, hydrocarbon, moisture and the like. By creating a stable thermal condition, the uniformity of the thermal state can be obtained, and damage to the photoelectron surface at the time of heat rise can be reduced. The beam size (3) is a size (use size) when used as a photoelectron source. Therefore, it can be said that the beam size of (2) is 3 to 10 times the use size, and the beam size of (1) is 500 to 1000 times the use size.

ここで、本発明に係る1次光学系2000のクロスオーバの形成について、図を用いて説明する。図13は本願発明に係る1次光学系2000のクロスオーバの形成の模式図である。図13においては、模式的に、光電子面で発生した光電子が試料に対して垂直に照射されているように表現されているが、実際には、E×B偏向器によって偏向されている。   Here, the formation of the crossover of the primary optical system 2000 according to the present invention will be described with reference to the drawings. FIG. 13 is a schematic view of the formation of the crossover of the primary optical system 2000 according to the present invention. In FIG. 13, the photoelectrons generated on the photoelectron surface are schematically expressed as being irradiated perpendicularly to the sample, but in actuality, they are deflected by the E × B deflector.

図13に示すように、光源又はレーザ光源からフィールドアパーチャ2010を通して光電子面2021に光又はレーザ光が照射される。これによって光電子面2021で発生した光電子は、ニューメリカルアパーチャ2025の位置においてファーストクロスオーバが形成され、更にアパーチャ2040を経由して、E×B偏向器によって試料に垂直に偏向され、第1カソードレンズ2051と第2カソードレンズ2052の間においてクロスオーバが形成される。そしてこのクロスオーバを形成した光電子が、面ビームとして試料面に照射される。従って、光電子面2021の電子放出形状と試料面に照射する電子ビーム形状が共役となる。一方、一般的な電子銃を備えた1次光学系においては、図10(b)に示したように、カソード2310から発生された光電子は、カソード2310とアノード2311との間でファーストクロスオーバが形成され、アノード2311、フィールドアパーチャ2320を経由して試料面に照射される。従って、フィールドアパーチャ2320の形状と試料面に照射する電子ビーム形状が共役となる。   As shown in FIG. 13, light or laser light is irradiated from the light source or laser light source through the field aperture 2010 to the photoelectron surface 2021. As a result, the photoelectrons generated on the photoelectron surface 2021 form a first crossover at the position of the numerical aperture 2025, and are further deflected perpendicularly to the sample by the E × B deflector via the aperture 2040. A crossover is formed between 2051 and the second cathode lens 2052. The photoelectrons forming the crossover are irradiated onto the sample surface as a surface beam. Therefore, the electron emission shape of the photoelectron surface 2021 and the electron beam shape irradiated onto the sample surface are conjugate. On the other hand, in a primary optical system equipped with a general electron gun, as shown in FIG. 10B, photoelectrons generated from the cathode 2310 have a fast crossover between the cathode 2310 and the anode 2311. Then, the sample surface is irradiated via the anode 2311 and the field aperture 2320. Therefore, the shape of the field aperture 2320 and the shape of the electron beam applied to the sample surface are conjugate.

本願発明に係る1次光学系2000の印加電圧の設定について説明する。本願発明は一般的な電子銃と構成を異にし、光又はレーザを光電子面2021に照射し、発生した光電子を、後段の引き出しレンズで引き出して加速する。ウェネルトやサプレッサが無く均一な電界で加速するため、各構成要素に対する印加電圧の設定も一般的な電子銃と異なる。   The setting of the applied voltage of the primary optical system 2000 according to the present invention will be described. The present invention has a different configuration from a general electron gun, irradiates light or a laser onto the photoelectron surface 2021, and extracts the generated photoelectrons with a subsequent extraction lens for acceleration. Since there is no Wehnelt or suppressor and acceleration is performed with a uniform electric field, the setting of the voltage applied to each component is different from that of a general electron gun.

以下、図12を基に説明する。各構成要素に対して印加する電圧を、それぞれ次のとおりとする。光電子面2021の電圧をV1、及び引き出しレンズを構成している電極の電圧をそれぞれ、第1引き出し電極2022の電圧をV2、第2引き出し電極2023の電圧をV3、第3引き出し電極の電圧2024をV4、ニューメリカルアパーチャ2025の電圧をV5、アパーチャ2040の電圧をV6とする。また、ウエハ表面電圧(リターディング電圧ともいう。)をRTDとする。本願発明の1次光学系2000においては、光電子面2021の電圧V1を基に記載すると、以下のように各構成要素に電圧を印加する。すなわち、低LEの場合、V1=RTD−10V〜RTD+5V。V2、V4=V1+3000〜30000V。V3=V4+10000〜30000V。V5、V6=基準電位。そして、本願発明に係る1次光学系の一実施形態においては、RTD=−5000V、V1=−5005V、V2、V4=GND、V3=+20000Vと設定した。以上のような電圧印加により、低LEで、高分解能で高いスループットを実現できる。ただし、これは一例であり、各構成要素に対する印加電圧はこれに限定されるものではない。   Hereinafter, a description will be given with reference to FIG. The voltage applied to each component is as follows. The voltage of the photoelectron surface 2021 is V1, the voltage of the electrode constituting the extraction lens is V2, the voltage of the first extraction electrode 2022 is V2, the voltage of the second extraction electrode 2023 is V3, and the voltage 2024 of the third extraction electrode is V4, the voltage of the numerical aperture 2025 is V5, and the voltage of the aperture 2040 is V6. The wafer surface voltage (also referred to as retarding voltage) is assumed to be RTD. In the primary optical system 2000 of the present invention, the voltage is applied to each component as described below based on the voltage V1 of the photoelectron surface 2021. That is, in the case of low LE, V1 = RTD-10V to RTD + 5V. V2, V4 = V1 + 3000-30000V. V3 = V4 + 10000 to 30000V. V5, V6 = reference potential. In one embodiment of the primary optical system according to the present invention, RTD = −5000V, V1 = −5005V, V2, V4 = GND, and V3 = + 20000V. By applying the voltage as described above, high throughput with high resolution can be realized with low LE. However, this is an example, and the applied voltage to each component is not limited to this.

なお、基準電位をV0、検出器の電子が入る面の電圧をDVとして表すと、本願発明に係る1次光学系2000におけるRTDとの印加電圧関係は、次のような表1に表す設定が好適に用いられる。
When the reference potential is represented as V0, and the voltage on the surface where the detector electrons enter is represented as DV, the applied voltage relationship with the RTD in the primary optical system 2000 according to the present invention is set as shown in Table 1 below. Preferably used.

以上のような構成を備える本願発明に係る1次光学系2000及び本願発明の1次光学系2000を備えた電子光学装置は、以下のような効果を得ることができる。   The primary optical system 2000 according to the present invention having the above-described configuration and the electron optical apparatus including the primary optical system 2000 according to the present invention can obtain the following effects.

第1に、本願発明の1次光学系2000は、非常に高い透過率を実現することができる。透過率は5〜50%であり、一般的な電子銃を備えた1次光学系の透過率0.1〜0.5%に対して10〜100倍の透過率を確保できる。これは、第1に、平面カソード面と新たな引き出しレンズの構成により、非常に均一な引き出し電界領域を形成できるので、形成された光電子を低損失で輸送できるからである。発生光電子量の増減によっても引き出し電界分布は一定に保つことを実現している構成であり、それにより高透過率で安定した動作を実現している。一般的な電子銃の備えた1次光学系では、ウェネルトやサプレッサ機構が必要なため、発生電子量つまりエミッション量により電界分布が変わるため、均一な引き出し電界部が小さくなり有効ビーム領域が狭くなるため、透過率を高くすることが困難であるが、本願発明に係る1次光学系2000は、ウェネルトやサプレッサ機構を必要としないため、透過率を高くできるからである。また、第二に、本願発明に係る1次光学系2000は、ファーストクロスオーバ位置がレンズ下流にあるため、ニューメリカルアパーチャ等の設置が容易になるので、ビームの収差低減や、ベルシュ効果の低減が行い易い光学系が実現できるからである。一般的な電子銃の備えた1次光学系では、ファーストクロスオーバ位置がウェネルトの近傍にあるため、その位置にニューメリカルアパーチャ等を設置することが困難であり、また、エミッションにより位置がずれるので、たとえこの位置にニューメリカルアパーチャ等を設置できても有効に使用することが困難であった。本願発明に係る1次光学系2000は、ファーストクロスオーバの位置をレンズ下流に置くことができるので、この問題を解消できるからである。   First, the primary optical system 2000 of the present invention can achieve very high transmittance. The transmittance is 5 to 50%, and the transmittance of 10 to 100 times can be ensured with respect to the transmittance of 0.1 to 0.5% of the primary optical system provided with a general electron gun. First, because a very uniform extraction electric field region can be formed by the configuration of the flat cathode surface and the new extraction lens, the formed photoelectrons can be transported with low loss. This configuration realizes that the extracted electric field distribution is kept constant by increasing or decreasing the amount of generated photoelectrons, thereby realizing a stable operation with high transmittance. In a primary optical system equipped with a general electron gun, a Wehnelt or suppressor mechanism is required, so that the electric field distribution changes depending on the amount of generated electrons, that is, the amount of emission, so that the uniform extraction electric field portion is reduced and the effective beam area is reduced. Therefore, although it is difficult to increase the transmittance, the primary optical system 2000 according to the present invention does not require a Wehnelt or suppressor mechanism, and thus can increase the transmittance. Second, the primary optical system 2000 according to the present invention has a first crossover position downstream of the lens, so that it is easy to install a numerical aperture or the like. This is because an optical system that is easy to perform can be realized. In a primary optical system equipped with a general electron gun, since the first crossover position is in the vicinity of Wehnelt, it is difficult to install a numerical aperture or the like at that position, and the position is shifted due to emission. Even if a numerical aperture or the like can be installed at this position, it is difficult to use it effectively. This is because the primary optical system 2000 according to the present invention can solve this problem because the position of the first crossover can be placed downstream of the lens.

第2に、本願発明に係る1次光学系2000は、高分解能で高スループットを実現できる。上述したように高い透過率が実現できるため、高いスループット、例えば1μAの電子照射量を得るのに、極めて少量のカソード放出電流量2〜10μAでよい。従ってベルシュ効果も非常に小さくてすむ。例えば、ニューメリカルアパーチャ位置において、エネルギ幅0.5〜1.2eVである。よって、小エネルギ幅で電子照射量を増加できるので、2次光学系で結像するビームの位置ずれが小さく、高い解像度を維持することができる。以上の結果、高分解能で高スループットを実現できるのである。   Secondly, the primary optical system 2000 according to the present invention can realize high resolution and high throughput. As described above, since a high transmittance can be realized, a very small amount of cathode emission current of 2 to 10 μA is required to obtain a high throughput, for example, an electron irradiation amount of 1 μA. Therefore, the Bersch effect can be very small. For example, the energy width is 0.5 to 1.2 eV at the numerical aperture position. Therefore, since the electron irradiation amount can be increased with a small energy width, the positional deviation of the beam formed by the secondary optical system is small, and high resolution can be maintained. As a result, high throughput and high throughput can be realized.

第3に、本願発明に係る1次光学系2000は、常に安定した状態の光学系を維持可能である。なぜなら、本願発明に係る1次光学系2000はファーストクロスオーバの位置ずれが生じないからである。   Thirdly, the primary optical system 2000 according to the present invention can always maintain a stable optical system. This is because the first optical system 2000 according to the present invention does not cause a first crossover misalignment.

次に、本願発明に係る1次光学系2000を備える電子光学装置の効果について以下に詳述する。   Next, the effect of the electro-optical device including the primary optical system 2000 according to the present invention will be described in detail below.

第1に、上述した構成の1次光学系2000を用いるため、光電子面の電子放出形状に対して、試料面に照射する電子ビーム形状を倍率×10倍〜×0.1倍にすることが可能である。特に倍率×1以下の縮尺での使用が可能であるため、光電子面のサイズを小さくする必要がなく、発生する光電子密度を低く抑えることができる。これによって本願発明の1次光学系2000を備える電子光学装置は、ベルシュ効果を低減してエネルギ幅の広がりを抑制することが可能となる。   First, since the primary optical system 2000 having the above-described configuration is used, the electron beam shape irradiated on the sample surface can be set to a magnification x10 to x0.1 times the electron emission shape of the photoelectron surface. Is possible. In particular, since it can be used at a scale of 1 × magnification or less, it is not necessary to reduce the size of the photoelectron surface, and the generated photoelectron density can be kept low. As a result, the electron optical device including the primary optical system 2000 of the present invention can reduce the Bersch effect and suppress the spread of the energy width.

第2に、光電子面の電子発生部の軸中心について、引き出しレンズで形成される中心位置に光電子発生部を容易に形成することが可能となる。これは、光又はレーザをその軸中心位置に照射することにより達成できる。図12及び図13においては光源の位置を図示していないが、光源の位置に関わらず、レンズ及びミラー等を用いることで容易に達成できる。本願発明に係る1次光学系2000は、主ハウジングに固定された鏡筒内に配置されるが、光電子の発生に光又はレーザを用いるので、光源は必ずしも鏡筒内に配置する必要がなく、例えば鏡筒の外部に設置してミラーレンズ等で光電子面の電子発生部の軸中心に導くことができる。従って、大気側に配置することができる為、本願発明に係る1次光学系2000を用いた電子光学装置は、中心位置の調整が容易である。図10(b)に示した一般的な電子銃を用いた検査装置においては、カソード2310、ウェネルト2312、アノード2311及びフィールドアパーチャ2320の中心位置は、組立によりズレてしまう。また、大気開放を行った後に行うベーキングによる位置ずれ、つまり、温度変化による熱膨張と冷却の工程を受けることによる組立後の位置変動も生じる。これらのズレを補正するために、フィールドアパーチャ2320の上流に通常アライナを設け、このアライナで補正を行なっている。位置ずれがひどい場合には、分解、組立、調整、ベーキングを繰り返し行うことが必要になる。一方、本願発明に係る1次光学系2000を用いた電子光学装置では、光又はレーザをその軸中心位置に照射するだけで、静電レンズで形成される中心位置に光電子発生部を容易に形成することができるため、組立によるズレが生じても簡易に調整できる。また、光源を大気側に配置することができるので、組立後の位置変動を受けにくく、また組立後の位置変動が生じた際にも容易に調整ができる。従って、作業工程の大幅短縮と低コスト化が可能となる。更に、光電子面の電子発生形状を決めるフィールドアパーチャ2010を大気側に配置することもできるため、容易にフィールドアパーチャ2010の交換作業を行うことができ、この点でも作業工程の大幅短縮と低コスト化を図ることができる。真空側にフィールドアパーチャがある場合、交換には、真空破壊、コラムの分解、組立、調整、真空廃棄、ベーキング、光軸調整等の作業が必要になるが、この作業がなくなるためである。   Secondly, the photoelectron generator can be easily formed at the center position formed by the extraction lens with respect to the axial center of the electron generator on the photoelectron surface. This can be achieved by irradiating the axial center position with light or laser. Although the position of the light source is not shown in FIGS. 12 and 13, it can be easily achieved by using a lens, a mirror, or the like regardless of the position of the light source. The primary optical system 2000 according to the present invention is arranged in a lens barrel fixed to the main housing. However, since light or a laser is used to generate photoelectrons, the light source does not necessarily need to be arranged in the lens barrel. For example, it can be installed outside the lens barrel and guided to the axial center of the electron generating portion of the photoelectron surface by a mirror lens or the like. Accordingly, since it can be arranged on the atmosphere side, the center position of the electron optical device using the primary optical system 2000 according to the present invention can be easily adjusted. In the inspection apparatus using the general electron gun shown in FIG. 10B, the center positions of the cathode 2310, Wehnelt 2312, anode 2311, and field aperture 2320 are shifted due to assembly. Further, a positional shift due to baking performed after opening to the atmosphere, that is, a positional variation after assembly due to a process of thermal expansion and cooling due to a temperature change also occurs. In order to correct these deviations, a normal aligner is provided upstream of the field aperture 2320, and correction is performed by this aligner. If the misalignment is severe, it is necessary to repeat disassembly, assembly, adjustment, and baking. On the other hand, in the electro-optical device using the primary optical system 2000 according to the present invention, the photoelectron generator can be easily formed at the center position formed by the electrostatic lens by simply irradiating the axial center position with light or laser. Therefore, even if a deviation due to assembly occurs, it can be easily adjusted. Further, since the light source can be arranged on the atmosphere side, it is difficult to be subjected to a position change after assembly, and can be easily adjusted when a position change after assembly occurs. Therefore, the work process can be greatly shortened and the cost can be reduced. Further, since the field aperture 2010 that determines the electron generation shape of the photoelectron surface can be arranged on the atmosphere side, the field aperture 2010 can be easily replaced. In this respect, the work process is greatly shortened and the cost is reduced. Can be achieved. If there is a field aperture on the vacuum side, replacement requires operations such as vacuum break, column disassembly, assembly, adjustment, vacuum disposal, baking, and optical axis adjustment, but this operation is eliminated.

第3に、本願発明に係る1次光学系2000を備える電子光学装置は、ビームサイズの自由度が向上する。光電子面の電子発生形状はフィールドアパーチャ2010によって決定されるので、円形や矩形に限らず、長方形や軸に非対称な形状も可能となる。本発明に係る1次光学系2000を備える検査装置では、一例として、光電子面でφ100μmの円形で、試料面上でφ50μm〜100μmの円形が可能であり、光電子面で100×100μmの矩形で、試料面上で50×50μm〜100×100μmの矩形が可能である。   Third, the electron optical device including the primary optical system 2000 according to the present invention has an improved beam size freedom. Since the electron generation shape of the photoelectron surface is determined by the field aperture 2010, the shape is not limited to a circle or a rectangle, but a shape asymmetric with respect to a rectangle or an axis is possible. In the inspection apparatus including the primary optical system 2000 according to the present invention, as an example, a circular shape of φ100 μm on the photoelectron surface, a circular shape of φ50 μm to 100 μm on the sample surface, and a rectangular shape of 100 × 100 μm on the photoelectron surface, A rectangle of 50 × 50 μm to 100 × 100 μm is possible on the sample surface.

第4に、本願発明に係る1次光学系2000を備える電子光学装置は、真空中にある部品点数を大幅に削減できる。一般的な電子銃を備える電子光学装置においては、カソード中心、ウェネルト、アノード及びフィールドアパーチャ中心のズレ補正のために、図10(b)で示したフィールドアパーチャ2320の前方にアライナが必要になる。また、フィールドアパーチャ2320で形成されたビーム形状を試料面上に結像するために1から3段のレンズが必要となる。本願発明に係る1次光学系2000を備える電子光学装置は、これらの部品を必要としないので、真空中にある部品点数を大幅に削減できる。   Fourth, the electron optical device including the primary optical system 2000 according to the present invention can greatly reduce the number of parts in vacuum. In an electron optical device equipped with a general electron gun, an aligner is required in front of the field aperture 2320 shown in FIG. 10B in order to correct misalignment of the cathode center, Wehnelt, anode, and field aperture center. In addition, in order to form an image of the beam shape formed by the field aperture 2320 on the sample surface, one to three lenses are required. Since the electron optical device including the primary optical system 2000 according to the present invention does not require these components, the number of components in a vacuum can be greatly reduced.

以上説明した本願発明に係る1次光学系を備える電子光学装置を、半導体検査装置に適用すれば、高分解能で高スループットを達成できるため、EUVマスク検査やNILマスク検査に好適である。また、低LE(ランディングエネルギー)の場合でも、高分解能を達成できる。   If the electron optical device including the primary optical system according to the present invention described above is applied to a semiconductor inspection device, high resolution and high throughput can be achieved, which is suitable for EUV mask inspection and NIL mask inspection. Also, high resolution can be achieved even in the case of low LE (landing energy).

(実施形態2)
<1次光学系の第2の実施形態>
本願発明に係る1次光学系の第2の実施形態について説明する。図14は、本願発明に係る1次光学系の第2の実施形態を示す図である。本1次光学系2100は、概略、光源(図示せず)、フィールドアパーチャ(FA)2110、光電子発生装置2120、アライナ2130、E×B偏向器(ウィーンフィルタ)(図示せず)、アパーチャ2140、カソードレンズ(CL)2150、第一の管10071及びこれらの1次光学系を収容する第2の管(図示せず)を備える。本願発明に係る1次光学系の第2の実施形態は、基準電位を高電圧とすることに特徴がある。以下、上述した本願発明に係る1次光学系との相違点を中心に説明する。
(Embodiment 2)
<Second Embodiment of Primary Optical System>
A second embodiment of the primary optical system according to the present invention will be described. FIG. 14 is a diagram showing a second embodiment of a primary optical system according to the present invention. This primary optical system 2100 is roughly composed of a light source (not shown), a field aperture (FA) 2110, a photoelectron generator 2120, an aligner 2130, an E × B deflector (Wien filter) (not shown), an aperture 2140, A cathode lens (CL) 2150, a first tube 10071, and a second tube (not shown) for housing these primary optical systems are provided. The second embodiment of the primary optical system according to the present invention is characterized in that the reference potential is a high voltage. Hereinafter, the difference from the above-described primary optical system according to the present invention will be mainly described.

本実施形態は、第1の管10071と第2の管とを備える二重構造を有し、光電子発生装置2120は、光電子面2121、1枚の引き出しレンズ2122及びニューメリカルアパーチャ2125を備える。   The present embodiment has a double structure including a first tube 10071 and a second tube, and the photoelectron generator 2120 includes a photoelectron surface 2121, a single extraction lens 2122, and a numerical aperture 2125.

第1の管10071は、基準電圧が高電圧の場合の、基準電圧を作るための管で、この第1の管に高電圧が印加される。第1の管10071は、引き出しレンズ2122、ニューメリカルアパーチャ2125及びアライナ2130のそれぞれに設けられた1次ビームを通過させる孔の内側に、孔に内接するように配置され、さらにアパーチャ2140の後段で径が大きく形成され、この径が大きく形成された箇所の内側にカソードレンズ2150が配設される。   The first tube 10071 is a tube for producing a reference voltage when the reference voltage is a high voltage, and a high voltage is applied to the first tube. The first tube 10071 is disposed inside the hole for passing the primary beam provided in each of the extraction lens 2122, the numerical aperture 2125, and the aligner 2130 so as to be inscribed in the hole. A large diameter is formed, and a cathode lens 2150 is disposed inside a portion where the diameter is large.

第1の管10071の材質は、磁性体でなければ特に限定されないが、銅の肉薄の管又はチタンの肉薄の管、プラスチックに銅めっき又はチタンめっきしたものを好適に用いる。これによって、第1の管10071に高電圧を印加した場合に第1の管10071の内部に磁場が形成され、光又はレーザ光が照射された光電子面2121で発生した1次電子ビームが高加速される。   The material of the first tube 10071 is not particularly limited as long as it is not a magnetic material, but a thin copper tube, a thin titanium tube, or a copper-plated or titanium-plated plastic is preferably used. Thus, when a high voltage is applied to the first tube 10071, a magnetic field is formed inside the first tube 10071, and the primary electron beam generated on the photoelectron surface 2121 irradiated with light or laser light is highly accelerated. Is done.

一方、図14に図示していないが、第2の管は、上述したフィールドアパーチャ(FA)2110、光電子発生装置2120、アライナ2130、E×B偏向器(ウィーンフィルタ)(図示せず)、アパーチャ2140、カソードレンズ(CL)2150、第一の管10071を覆い、GNDに設定される。これは、コラム装置の最外部構成となるので、この部位をGNDに保持して、他の装置部位との導体接続、及び人が触れたときの感電防止、等のために構成されている。   On the other hand, although not shown in FIG. 14, the second tube includes the above-described field aperture (FA) 2110, photoelectron generator 2120, aligner 2130, E × B deflector (Wien filter) (not shown), aperture. 2140, the cathode lens (CL) 2150, and the first tube 10071 are covered and set to GND. Since this is the outermost configuration of the column device, this portion is held at GND, and is configured for conductor connection with other device portions, prevention of electric shock when a person touches, and the like.

引き出しレンズは1枚で、本願発明に係る1次光学系の第2の実施形態においては、電磁レンズを用いる。他の構成については、上述した一実施形態と同様であるので説明は省略する。   One extraction lens is used, and an electromagnetic lens is used in the second embodiment of the primary optical system according to the present invention. Other configurations are the same as those of the above-described embodiment, and thus description thereof is omitted.

このような二重構造の管とすることで、本願発明に係る1次光学系2100は、試料表面電圧をGNDにし、二重管構造の内側の管である第1の管10071に高電圧を付加することにより、光電子面2121で発生した電子ビームを高加速させることができる。従って、本願発明に係る1次光学系は、高加速カラムということができる。   By using such a double-structured tube, the primary optical system 2100 according to the present invention sets the sample surface voltage to GND, and applies a high voltage to the first tube 10071 which is a tube inside the double-tube structure. By adding, the electron beam generated on the photoelectron surface 2121 can be highly accelerated. Therefore, the primary optical system according to the present invention can be called a high acceleration column.

本願発明(図14参照)に係る1次光学系2100は、各構成要素に対して印加する電圧を、それぞれ次のとおりとする。光電子面2121の電圧をV1、第1の管10071の電圧をV2、ニューメリカルアパーチャNA2025の電圧をV5、アパーチャ2140の電圧をV6とする。また、ウエハ表面電圧(リターディング電圧ともいう。)をRTDとする。低LE条件では、V1=RTD−10V〜RTD+5V。V2、V5、V6は基準電位である。そして、本願発明の一実施例においては、RTD=0、V1=−5V、基準電位=40000Vと設定した。以上のような電圧印加により、低LEで、高分解能で高いスループットを実現できる。   In the primary optical system 2100 according to the present invention (see FIG. 14), voltages applied to each component are as follows. The voltage of the photoelectron surface 2121 is V1, the voltage of the first tube 10071 is V2, the voltage of the numerical aperture NA2025 is V5, and the voltage of the aperture 2140 is V6. The wafer surface voltage (also referred to as retarding voltage) is assumed to be RTD. Under low LE conditions, V1 = RTD-10V to RTD + 5V. V2, V5, and V6 are reference potentials. In one embodiment of the present invention, RTD = 0, V1 = −5V, and reference potential = 40000V were set. By applying the voltage as described above, high throughput with high resolution can be realized with low LE.

このとき、磁場レンズを用いると、派生する立て磁場(光軸方向の残留磁場)によりビームの回転が起こる。よって、光電子面で形成した2次元の光電子発生形状が発生部と磁場レンズ通過後で回転してしまうことがある。これを補正するために、回転補正レンズをNA付近または磁場レンズの下流位置に設置して影響を補正する。磁場レンズ下流位置の補正レンズは、磁場レンズになるべく近い位置(直後)に設置して回転補正を行うとよい。   At this time, if a magnetic lens is used, beam rotation occurs due to a standing magnetic field (residual magnetic field in the optical axis direction). Therefore, the two-dimensional photoelectron generation shape formed on the photoelectron surface may rotate after passing through the generation unit and the magnetic lens. In order to correct this, a rotation correction lens is installed near the NA or downstream of the magnetic field lens to correct the influence. The correction lens at the downstream position of the magnetic lens may be installed at a position (immediately after) as close as possible to the magnetic lens to perform rotation correction.

また、静電レンズの本願発明の1次光学系2000(図12参照)において、2重管構造の例は、光電子面2021電圧V1を基に記載すると、以下のように各構成要素に電圧を印加する。すなわち、低LEの場合、V1=RTD−10V〜RTD+5V。V2、V5、V6は基準電位、V3=基準電圧+10〜100kVである。そして、本願発明の一実施例においては、RTD=0、V1=−5V、V2=基準電位+40000V、V3=65000Vと設定した。また、基準電圧が基準空間電圧となるように、これらのレンズを内蔵する管1があり、図12のレンズ、アパーチャ、アライナはこの基準電圧が引火されている管1の中に内蔵される。そして、その外側に、GND電位を有する管2が設置されている。管1と管2の間は絶縁部品により固定されている。(管1と管2は図示せず)。以上のような電圧印加により、低LEで、高分解能で高いスループットを実現できる。   Moreover, in the primary optical system 2000 (see FIG. 12) of the present invention of an electrostatic lens, when the example of the double tube structure is described based on the photoelectron surface 2021 voltage V1, a voltage is applied to each component as follows. Apply. That is, in the case of low LE, V1 = RTD-10V to RTD + 5V. V2, V5, and V6 are reference potentials, and V3 = reference voltage + 10 to 100 kV. In one embodiment of the present invention, RTD = 0, V1 = −5V, V2 = reference potential + 40000V, and V3 = 65000V were set. Further, there is a tube 1 in which these lenses are incorporated so that the reference voltage becomes a reference space voltage, and the lens, aperture, and aligner of FIG. 12 are incorporated in the tube 1 in which this reference voltage is ignited. And the pipe | tube 2 which has a GND electric potential is installed in the outer side. Between the pipe 1 and the pipe 2 is fixed by an insulating part. (Tube 1 and tube 2 are not shown). By applying the voltage as described above, high throughput with high resolution can be realized with low LE.

本願発明に係る1次光学系2100は、試料表面電圧RTDを0Vにしたままで検査できる効果が得られる。更に、本願発明に係る1次光学系2100は、上述した本願発明に係る1次光学系2000と同様の効果を得ることができる。また、本願発明に係る1次光学系を備える電子光学装置の効果も同様であるので、説明を省略する。   The primary optical system 2100 according to the present invention can obtain an effect of being able to inspect while keeping the sample surface voltage RTD at 0V. Furthermore, the primary optical system 2100 according to the present invention can obtain the same effects as those of the above-described primary optical system 2000 according to the present invention. The effect of the electron optical device including the primary optical system according to the present invention is also the same, and the description thereof is omitted.

<1次光学系における光電子発生装置の変形例>
本願発明に係る1次光学系における光電子発生装置の他の例を示す。図15及び図16は、1次系の途中位置から、コラム内に設置されたミラーにより、光電子面に光またはレーザが導かれるときの例である。
<Modification of Photoelectron Generator in Primary Optical System>
Another example of the photoelectron generator in the primary optical system according to the present invention is shown. FIG. 15 and FIG. 16 are examples when light or laser is guided to the photoelectron surface from a midway position of the primary system by a mirror installed in the column.

図15は、基準電圧が高電圧、例えば、40kV時の例である。即ち、本願発明に係る1次光学系2000の第2の実施形態に適用した例である。このとき基準電圧を形成するため高電圧が印加される管10071にV2=40kVの電圧が印加されている。管10071内は同一電圧空間である。よってこの例では、中心部に光電子の通る穴の開いたミラー、例えば三角ミラー2170を用いてDUV光または、UVレーザを、図示されない管100071に設けられた穴を通して導入し、この三角ミラー2170によって反射させて光電子面2121に照射する。そして、照射された面から光電子が発生し、この光電子がEXレンズ2120およびNA2125、そして、下流のアライナを通過して、試料面に照射される。このとき、発生した光電子が1次系の軌道を形成するために、光電子面2121には規定値の電圧が印加されている。LE=RTD電圧−V1で決まる。   FIG. 15 is an example when the reference voltage is a high voltage, for example, 40 kV. That is, this is an example applied to the second embodiment of the primary optical system 2000 according to the present invention. At this time, a voltage of V2 = 40 kV is applied to the tube 10071 to which a high voltage is applied in order to form a reference voltage. The inside of the tube 10071 is the same voltage space. Therefore, in this example, DUV light or a UV laser is introduced through a hole provided in a tube 100071 (not shown) using a mirror having a hole through which photoelectrons pass in the center, for example, a triangular mirror 2170, and this triangular mirror 2170 The photoelectron surface 2121 is irradiated after reflection. Then, photoelectrons are generated from the irradiated surface, and the photoelectrons pass through the EX lens 2120 and NA 2125 and the downstream aligner and are irradiated onto the sample surface. At this time, a specified voltage is applied to the photoelectron surface 2121 in order for the generated photoelectrons to form a primary orbit. LE = RTD voltage −V1.

一方、図16は、図15で示した例と同様に三角ミラー2070によって光電子を発生させる光又はレーザを光電子面に照射するものであり、基準電圧GNDの例である。即ち、本願発明に係る1次光学系2000の一実施形態に適用した例である。このとき、例えば、V2、V4とV5がGNDで、その付近が基準電圧空間とする。そして、図15と同様のミラーを設置して、光・レーザを導入することが可能となる。このとき、発生する光電子の量は、光またはレーザの照射強度にて決まるので、照射する強度の制御が行われる。これは前述した強度の制御方法が用いられる。この時、ミラーはミラー表面と構造体全体が導体または、導体でコートされている。そして、その電位は基準電位と同じ電位になっている。空間電位を乱さないように同電位となっているのである。また、1次ビームがミラーの影響を受けずに通過できるように、ミラーの光軸中心部には穴が開いており、その穴を1次ビームが通過する。この穴内部においても基準電圧と同電位となるように、導体材料または導体がコートされ基準電圧部に接続されている。   On the other hand, FIG. 16 shows an example of the reference voltage GND in which the photoelectron surface is irradiated with light or a laser that generates photoelectrons by the triangular mirror 2070 as in the example shown in FIG. That is, this is an example applied to one embodiment of the primary optical system 2000 according to the present invention. At this time, for example, V2, V4, and V5 are GND, and the vicinity thereof is a reference voltage space. Then, it becomes possible to install a mirror similar to that shown in FIG. At this time, since the amount of generated photoelectrons is determined by the irradiation intensity of light or laser, the irradiation intensity is controlled. For this, the above-described intensity control method is used. At this time, the mirror surface and the entire structure are coated with a conductor or a conductor. The potential is the same as the reference potential. They are the same potential so as not to disturb the space potential. Further, a hole is formed in the center of the optical axis of the mirror so that the primary beam can pass without being affected by the mirror, and the primary beam passes through the hole. A conductor material or a conductor is coated and connected to the reference voltage portion so that the same potential as the reference voltage is provided inside the hole.

また、光電子発生の形状については2つの方法を示す。図16を用いて説明する。1つは、コラム内にあるミラーの入射前に、ビーム系状を規定するFAアパーチャ2010を用いる。フィールドアパーチャ(FA)2010の形状のビーム形成を行い、そのビームを光電面に照射して、その形状の光電子を発生させる。このとき、フィールドアパーチャ(FA)2010の投影サイズは、フィールドアパーチャ(FA)2010上流にあるレンズ位置により制御される。   In addition, two methods are shown for the shape of photoelectron generation. This will be described with reference to FIG. One is to use an FA aperture 2010 that defines the beam system before the incidence of the mirror in the column. A beam having a shape of a field aperture (FA) 2010 is formed, and the photocathode is irradiated with the beam to generate photoelectrons having the shape. At this time, the projection size of the field aperture (FA) 2010 is controlled by the lens position upstream of the field aperture (FA) 2010.

もうひとつの方法は、光電子面にパターンのマスキング材をコーティングする方法である。図17は、光電子面にパターンのマスキング材をコーティングした例を本願発明に係る1次光学系の第2の実施形態に係る1次光学系2100に用いる例を示す図である。図17に示すように、光電子面2121にマスキング材2122をコーティングする。このマスキング材2122はパターン形状の穴があり、この穴部分はマスキング材がコーティングされていない。このコーティングによりその部位からは光電子が発生しないで、マスキング材がない部位から光電子が発生する。つまり、DUV光が照射されたとき、マスキングされていないパターン状の光電面部位からパターン形状の光電子を発生する。このとき、マスキング材としては、光電子が発生しない材料をコーティングしておけばよい。ワークファンクションが大きな材料、または、発生効率が低い材料を用いればよい。例えば、カーボン、Pt、Cr等である。ただし、チャージアップすると電位不均一性を形成し、放出電子の軌道を曲げてしまう等悪影響を及ぼすので、導電性材料を用いる。   The other method is to coat a pattern masking material on the photoelectron surface. FIG. 17 is a diagram showing an example in which an example in which a photomask is coated with a pattern masking material is used for the primary optical system 2100 according to the second embodiment of the primary optical system according to the present invention. As shown in FIG. 17, the photoelectron surface 2121 is coated with a masking material 2122. The masking material 2122 has a pattern-shaped hole, and the hole portion is not coated with the masking material. By this coating, photoelectrons are not generated from the site, but photoelectrons are generated from the site without the masking material. That is, when the DUV light is irradiated, pattern-shaped photoelectrons are generated from a pattern-shaped photocathode region that is not masked. At this time, as the masking material, a material that does not generate photoelectrons may be coated. A material having a large work function or a material having low generation efficiency may be used. For example, carbon, Pt, Cr and the like. However, when charged up, a potential non-uniformity is formed and the emitted electron trajectory is bent, so that a conductive material is used.

図18は、更に、効率を良くするため、透過した光またはレーザを反射させて、光電子面に再度照射する方法を示す図である。光電子面2121側から入射した光・レーザが反射面構造(反射面2123)を有する光・レーザ透過部材中で反射して光電子面2121に戻り再度照射が行われるのである。この方法だと、複数回光電子面2121に光またはレーザが照射されるので、効率が高くなる。例えば、光電子面2121の光/レーザの透過率が60%とすると、透過した60%の光/レーザを再度照射することにより照射回数に応じた光電子発生量の増加を得られる。この例に限らず、複数回照射する方法は有効である。特に、2〜5回までの照射がその有効性を得られる。それ以上では、光/レーザ強度が落ちてしまうので有効性は大きく低減する。このように、複数回照射が可能となると、入射する光・レーザの強度は、一回の場合の1/2〜1/5ですむ効果が期待できる。たとえば、照射光/レーザ強度が1W必要なときに、0.2〜0.5Wですむ。特に、大
きな出力の光源を必要とする場合、光源自体がない場合やその運転管理コストが大きな場合がある。このとき、低出力光源が利用できるとそれらのコスト、効率、熱による影響、光導入系の素子劣化等の影響を低減できるので大変有効となる。
FIG. 18 is a diagram showing a method of reflecting the transmitted light or laser and irradiating the photoelectron surface again to improve efficiency. The light / laser incident from the photoelectron surface 2121 side is reflected in the light / laser transmitting member having the reflective surface structure (reflective surface 2123), returns to the photoelectron surface 2121 and is irradiated again. According to this method, the photoelectron surface 2121 is irradiated with light or a laser a plurality of times, so that the efficiency is increased. For example, when the light / laser transmittance of the photoelectron surface 2121 is 60%, an increase in the amount of photoelectrons generated according to the number of irradiations can be obtained by irradiating the transmitted 60% light / laser again. Not limited to this example, a method of irradiating a plurality of times is effective. In particular, 2 to 5 irradiations can achieve its effectiveness. Beyond that, the light / laser intensity drops, so the effectiveness is greatly reduced. Thus, when irradiation can be performed a plurality of times, it is possible to expect an effect that the intensity of incident light / laser can be 1/2 to 1/5 that of a single irradiation. For example, when 1 W of irradiation light / laser intensity is required, 0.2 to 0.5 W is sufficient. In particular, when a light source with a large output is required, there may be a case where the light source itself is not present or the operation management cost is large. At this time, if a low-output light source can be used, the cost, efficiency, influence of heat, influence of deterioration of the light introduction system, and the like can be reduced, which is very effective.

なお、図17及び図18に説明した例は、本願発明に係る1次光学系の第2の実施形態に係る1次光学系2100に適用する例を示しているが、これに限定されるものではなく、他の実施形態に係る1次光学系2000に適用してもよい。   Note that the examples described in FIGS. 17 and 18 are examples applied to the primary optical system 2100 according to the second embodiment of the primary optical system according to the present invention, but are not limited thereto. Instead, the present invention may be applied to the primary optical system 2000 according to another embodiment.

(実施形態3)
<二重管構造鏡筒を有する半導体検査装置>
上述したように、本願発明に係る1次光学系の第2の実施形態に示した1次光学系2100を備える電子光学装置70は、各構成要素に印加する電圧の設定が、一般的な電子銃とは異なる。すなわち、基準電位V2を高電圧(一例として、+40000V。)としている。そこで、本願発明に係る電子光学装置70を備える半導体検査装置1は、第1に二重管構造としている。
(Embodiment 3)
<Semiconductor inspection device with double tube structure>
As described above, in the electro-optical device 70 including the primary optical system 2100 shown in the second embodiment of the primary optical system according to the present invention, the voltage applied to each component is set as a general electron. Different from a gun. That is, the reference potential V2 is set to a high voltage (for example, +40000 V). Therefore, the semiconductor inspection apparatus 1 including the electron optical device 70 according to the present invention has a double tube structure first.

図19を用いて説明する。図19は、本発明の一実施形態に係る半導体検査装置の二重管構造を模式的に示す図である。図19においては、第1の管及び第2の管を強調して示しているが、実際の第1の管及び第2の管の断面はこれと異なる。図19に示すように、本願発明に係る1次光学系2000を備える電子光学装置70は、第1の管10071と第1の管10071の外部に設けられた第2の管10072の2つの管から構成される。言い換えれば、2重管構造としている。そして二重管構造の内部に、光源、1次光学系、2次光学系及び検出器が収容される。そして、第1の管10071に高電圧(一例として、+40000V。)を印加して、第2の管10072はGNDとする。第1の管10071にて高電圧の空間基準電位V0を確保し、第2管でGNDにして囲う。それにより、装置設置のGND接続の実現及び感電を防ぐ。管10071は絶縁部品により管10072に固定されている。この管10072はGNDであり、主ハウジング30に取り付けられる。第1の管10071の内部に1次光学系2000又、2次光学系及び検出系76等が配設される。   This will be described with reference to FIG. FIG. 19 is a diagram schematically showing a double tube structure of a semiconductor inspection apparatus according to an embodiment of the present invention. In FIG. 19, the first tube and the second tube are shown with emphasis, but the actual cross sections of the first tube and the second tube are different. As shown in FIG. 19, an electro-optical device 70 including a primary optical system 2000 according to the present invention includes two tubes, a first tube 10071 and a second tube 10072 provided outside the first tube 10071. Consists of In other words, a double pipe structure is used. A light source, a primary optical system, a secondary optical system, and a detector are accommodated in the double tube structure. Then, a high voltage (for example, +40000 V.) is applied to the first tube 10071, and the second tube 10072 is set to GND. A high-voltage space reference potential V0 is secured by the first tube 10071, and GND is enclosed by the second tube. Thereby, the implementation of the GND connection of the apparatus and the electric shock are prevented. The tube 10071 is fixed to the tube 10072 with insulating parts. This tube 10072 is GND and is attached to the main housing 30. A primary optical system 2000, a secondary optical system, a detection system 76, and the like are disposed inside the first tube 10071.

第1の管10071及び第2の管10072との、内部の隔壁は、ねじ等の部材に至るまで、磁場に影響を与えないように、非磁性材料で構成され、電子線に磁場が作用しないようにしている。なお図19において図示はしていないが、第2の管10072の側面には空間が設けられ、内部に、光源及び光電子発生部等1次光学系2000の一部が配設された突出部が接続される。同様に第1の管10071にも第2の管10072に設けられた空間と同様の空間が設けられ、光電子発生部で発生した光電子がこれらの空間を通して試料に照射される。なお、光源は、必ずしも第2の管10072の内部に設ける必要はなく、大気側に配置して、真空側の第2の管10072内に収容された光電子発生部に導入してもよい。しかし、1次光学系、2次光学系は、二重管構造の内部に必ず収容される。検出器は、第1の管10071内に設置される場合と第1と第2の管とは関係ない独立した電位にて設置される場合がある。これは、検出器の検出面の電位を任意に設定して、検出器に入射する電子のエネルギを適切な値に制御することを特徴としている。管1と管2にたいして絶縁部品により電位分離された状態において、検出器の検出センサ表面電位を任意の電圧を印加して動作可能とする。このとき、センサ表面電位VDとすると、センサ表面に入射するエネルギはVD−RTDで決まる。検出器にEB−CCDまたはEB−TDIを用いた場合、センサのダメージを低減して長期間使用するために、入射エネルギを1〜7keVで用いると有効である。   The internal partition walls of the first tube 10071 and the second tube 10072 are made of a non-magnetic material so as not to affect the magnetic field until reaching a member such as a screw, and the magnetic field does not act on the electron beam. I am doing so. Although not shown in FIG. 19, a space is provided on the side surface of the second tube 10072, and a protruding portion in which a part of the primary optical system 2000 such as a light source and a photoelectron generator is disposed is provided. Connected. Similarly, the first tube 10071 is provided with a space similar to the space provided in the second tube 10072, and the sample is irradiated with the photoelectrons generated in the photoelectron generator through these spaces. Note that the light source is not necessarily provided inside the second tube 10072, and may be disposed on the atmosphere side and introduced into a photoelectron generator housed in the second tube 10072 on the vacuum side. However, the primary optical system and the secondary optical system are necessarily accommodated in the double tube structure. The detector may be installed in the first tube 10071 or may be installed at an independent potential independent of the first and second tubes. This is characterized in that the potential of the detection surface of the detector is arbitrarily set and the energy of electrons incident on the detector is controlled to an appropriate value. In a state where the potential is separated from the tube 1 and the tube 2 by the insulating parts, the detection sensor surface potential of the detector can be operated by applying an arbitrary voltage. At this time, assuming that the sensor surface potential is VD, the energy incident on the sensor surface is determined by VD-RTD. When EB-CCD or EB-TDI is used for the detector, it is effective to use incident energy at 1 to 7 keV in order to reduce damage to the sensor and use it for a long time.

更に本願発明に係る電子光学装置70を備える半導体検査装置1の他の構成について説明する。図20は、本願発明の一実施形態に係る半導体検査装置1の全体構成を示す図である。図20に示すように、本願発明の一実施形態に係る半導体検査装置1は、第2に第2真空チャンバ900を有する。すなわち、半導体検査装置1に第2真空チャンバ900を配設し、第2真空チャンバ900内に高電圧を発生する電源910を配設するとともに、第1の管及び第2の管が収容された鏡筒71と第2真空チャンバ900とを接続管920で接続し、接続管920内に配線を配設している。これは、上述したように本願発明に係る電子光学装置70は、従来と異なり、基準電位V0を高電圧にするからである。基準電位V0を高電圧にするために、本願発明に係る電子光学装置70を備える半導体検査装置1は、管を二重管構造にしている。そして、内側の第1の管10071に高電圧を印加する。このような高電圧を印加する場合、大気と真空のフィードスルーは大気側の耐圧が低いために沿面耐圧を確保するために、大きなフィードスルーを要する。例えば、1kV/mm耐圧として、40kVでは、40mm以上の絶縁沿面距離を有する絶縁部品とそれに対する大きなコネクタが必要となる。このような大きなコネクタが多数あると鏡筒に設置部を設けることの占有部位が大きな割合をしめ、鏡筒サイズとそのコストが大きくなってしまう。そのため、本発明では、電源専用の真空チャンバを設ける。それにより出力からのフィードスルーが不要となるので、配線を電極まで接続すればよい。この時、電源からの発生ガスがコンタミ汚染要因となるので、配線途中で真空同通を切るために、絶縁部品に電源用真空チャンバと鏡筒とを真空絶縁すると有効である。また、高電圧の場合、配線が太くなる。半導体検査装置1においては、試料印加電圧を高くすると、太い配線がステージ周囲に多数設置する必要が生じる。ワーキングチャンバ内部にこのような径の大きな配線を配置すると、ステージ動作時に配線の移動を伴うため大きなトルクが必要となり、例えば、配線が壁面と擦れる力が大きくなりそれによるパーティクル発生が大きな問題になる。よって、試料電位をGNDにして、基準電圧を高電圧にする方式は大変有効である。この時、検出器表面の電圧を制御して、センサダメージを低減するとさらに有効である。試料電位と、基準空間電位と、センサ表面電位を異なる値にする。その時、例えば、試料電位はGND、基準電圧は10〜50kV、センサ表面電位は3〜7kVとすると、大変有効となる。また、上述したように第2の真空チャンバ900を配設して電源910を収容し、接続管920によって鏡筒等と接続し、接続管920内に配線を配設して真空配線を実現している。電源には外部から供給電源(AC100VまたはDC24V等)が導入され、通信は光通信方式が用いられる。この供給電源程度であれば小さなフィードスルーで済むので大気側からの接続は容易である。   Further, another configuration of the semiconductor inspection apparatus 1 including the electron optical device 70 according to the present invention will be described. FIG. 20 is a diagram showing an overall configuration of a semiconductor inspection apparatus 1 according to an embodiment of the present invention. As shown in FIG. 20, the semiconductor inspection apparatus 1 according to an embodiment of the present invention secondly has a second vacuum chamber 900. That is, the second vacuum chamber 900 is disposed in the semiconductor inspection apparatus 1, the power source 910 that generates a high voltage is disposed in the second vacuum chamber 900, and the first tube and the second tube are accommodated. The lens barrel 71 and the second vacuum chamber 900 are connected by a connection pipe 920, and wiring is provided in the connection pipe 920. This is because, as described above, the electron optical device 70 according to the present invention makes the reference potential V0 a high voltage unlike the conventional one. In order to set the reference potential V0 to a high voltage, the semiconductor inspection apparatus 1 including the electron optical device 70 according to the present invention has a double tube structure. Then, a high voltage is applied to the inner first tube 10071. When such a high voltage is applied, the feedthrough between the atmosphere and the vacuum requires a large feedthrough in order to ensure the creeping withstand voltage because the withstand pressure on the atmosphere side is low. For example, if the withstand voltage of 1 kV / mm is 40 kV, an insulating component having an insulation creepage distance of 40 mm or more and a large connector for the insulating component are required. When there are a large number of such large connectors, the occupied part of providing the installation portion in the lens barrel accounts for a large proportion, which increases the lens barrel size and its cost. Therefore, in the present invention, a vacuum chamber dedicated to the power source is provided. This eliminates the need for feed-through from the output, so the wiring may be connected to the electrodes. At this time, since the gas generated from the power source becomes a contamination contamination factor, it is effective to insulate the vacuum chamber for the power source and the lens barrel from the insulating parts in order to cut off the vacuum in the middle of the wiring. Further, when the voltage is high, the wiring becomes thick. In the semiconductor inspection apparatus 1, when the sample application voltage is increased, a large number of thick wires need to be installed around the stage. If such a large-diameter wiring is arranged inside the working chamber, a large torque is required because the wiring is moved during the stage operation. For example, the force with which the wiring rubs against the wall surface becomes large, and particle generation due to it becomes a big problem. . Therefore, a method in which the sample potential is set to GND and the reference voltage is set to a high voltage is very effective. At this time, it is more effective to reduce the sensor damage by controlling the voltage on the detector surface. The sample potential, the reference space potential, and the sensor surface potential are set to different values. At that time, for example, if the sample potential is GND, the reference voltage is 10 to 50 kV, and the sensor surface potential is 3 to 7 kV, it is very effective. Further, as described above, the second vacuum chamber 900 is provided to accommodate the power source 910, connected to the lens barrel or the like by the connecting pipe 920, and the wiring is provided in the connecting pipe 920 to realize the vacuum wiring. ing. A power supply (AC100V or DC24V or the like) is introduced from the outside as a power source, and an optical communication method is used for communication. If it is about this power supply, a small feedthrough is sufficient, so connection from the atmosphere side is easy.

また、上述したように、2重管構造を有するため、内側の管(管1)は高真空で、外側の管(管2)と内側の管(管1)の間は大気圧状態も可能である。このような時は、管1内に静電電極を設置することは、配線管1の壁で接続する数量が多いことと真空/大気の
フィードスルーが大きくなることにより現実的ではないことが有る。このとき、レンズ、アライナ、補正器は磁場を使用したレンズ、アライナ、補正器が用いられる。これにより管1にフィードスルーを設置する必要が無くなり、高電圧の基準空間を形成する場合には有効である。この構造を用いることは、前述した実施形態の1〜9の形態に適用することも可能である。
Further, as described above, since it has a double tube structure, the inner tube (tube 1) is in a high vacuum, and an atmospheric pressure state is possible between the outer tube (tube 2) and the inner tube (tube 1). It is. In such a case, installing an electrostatic electrode in the tube 1 may not be practical due to the large number of connections made by the walls of the conduit tube 1 and the increased feedthrough of vacuum / atmosphere. . At this time, a lens, aligner, and corrector using a magnetic field are used as the lens, aligner, and corrector. This eliminates the need to install a feedthrough in the tube 1 and is effective in forming a high-voltage reference space. Use of this structure can also be applied to the first to ninth embodiments.

以上のような鏡筒、電源用第2真空チャンバ及び鏡筒と第2真空チャンバを接続する真空配線用の接続管の構成をいずれも二重構造とすることにより、上述した本願発明に係る1次光学系2000を備える半導体検査装置1が提供される。ただし、これは一例であり、本願発明に係る1次光学系2000を備える半導体検査装置1は、これに限定されるものではない。また、これまで述べてきた実施形態、例えば実施形態1〜9で示された1次系及び2次系の実施形態についても、本実施形態の2重管構造を用いて行うことも可能である。   According to the above-described invention of the present application, the structure of the above-described lens barrel, the second vacuum chamber for power supply, and the connection pipe for vacuum wiring connecting the lens barrel and the second vacuum chamber are all made double. A semiconductor inspection apparatus 1 including the next optical system 2000 is provided. However, this is an example, and the semiconductor inspection apparatus 1 including the primary optical system 2000 according to the present invention is not limited to this. Further, the embodiments described so far, for example, the primary system and the secondary system shown in the first to ninth embodiments can also be performed by using the double pipe structure of the present embodiment. .

(実施形態4)
<クロスオーバ位置におけるビーム測定方法及び該方法を用いた1次照射電子ビーム及びNA位置の調整方法並びに該調整方法を用いた半導体検査装置>
上述した本願発明に係る1次光学系を備えた電子光学装置を用いた半導体検査方法について説明する。なお、以下の方法は、一般的な電子銃を備えた電子光学装置を用いた半導体検査装置にも適用できる。
(Embodiment 4)
<Beam measurement method at crossover position, primary irradiation electron beam and NA position adjustment method using the method, and semiconductor inspection apparatus using the adjustment method>
A semiconductor inspection method using the above-described electron optical device including the primary optical system according to the present invention will be described. The following method can also be applied to a semiconductor inspection apparatus using an electron optical apparatus equipped with a general electron gun.

本実施の形態では、写像投影型観察装置(写像投影光学系を有する電子線観察装置)を用いて試料が観察される。この種の電子線観察装置は、1次光学系及び2次光学系を備える。1次光学系2000は、光電子発生部から出射される電子ビームを試料に照射して、試料の構造等の情報を得た電子を生成する。2次光学系は、検出器を有し、電子ビームの照射により生成された電子の像を生成する。写像投影型観察装置では、大きな径の電子ビームが用いられ、広範囲の像が得られる。つまり、通常のSEMのように絞られたスポットのビームではなく、面ビームで照射を行う。   In the present embodiment, a sample is observed using a mapping projection observation apparatus (an electron beam observation apparatus having a mapping projection optical system). This type of electron beam observation apparatus includes a primary optical system and a secondary optical system. The primary optical system 2000 irradiates the sample with an electron beam emitted from the photoelectron generator, and generates electrons obtained from information such as the structure of the sample. The secondary optical system has a detector and generates an image of electrons generated by irradiation with an electron beam. In the projection type observation apparatus, a large-diameter electron beam is used, and a wide range of images can be obtained. That is, irradiation is performed with a surface beam instead of a spot beam focused as in a normal SEM.

電子ビームを試料に照射すると、複数の種類の電子が2次光学系で検出される。複数種類の電子とは、ミラー電子、2次電子、反射電子、後方散乱電子である。本実施の形態では、2次電子、反射電子及び後方散乱電子を、2次放出電子という。そして、ミラー電子と2次放出電子の特性を利用して、試料を観察する。ミラー電子とは、試料に衝突せず、試料の直前で跳ね返ってくる電子をいう。ミラー電子現象は、試料表面の電場の作用によって生じる。   When the sample is irradiated with an electron beam, a plurality of types of electrons are detected by the secondary optical system. The plural types of electrons are mirror electrons, secondary electrons, reflected electrons, and backscattered electrons. In the present embodiment, secondary electrons, reflected electrons, and backscattered electrons are referred to as secondary emission electrons. Then, the sample is observed using the characteristics of mirror electrons and secondary emission electrons. Mirror electrons are electrons that do not collide with the sample and bounce immediately before the sample. The mirror electron phenomenon is caused by the action of the electric field on the sample surface.

上述したように、2次電子、反射電子及び後方散乱電子を、2次放出電子という。これら3種の電子が混在する場合も、2次放出電子という用語を用いる。2次放出電子のうちでは、2次電子が代表的である。そこで、2次電子が、2次放出電子の代表として説明されることがある。ミラー電子と2次放出電子の両者について、「試料から放出される」「試料から反射される」「電子ビーム照射により生成される」などの表現が用いられてよい。   As described above, secondary electrons, reflected electrons, and backscattered electrons are referred to as secondary emission electrons. Even when these three types of electrons are mixed, the term secondary emission electrons is used. Of the secondary emission electrons, secondary electrons are typical. Therefore, secondary electrons may be described as representative of secondary emission electrons. For both mirror electrons and secondary emission electrons, expressions such as “emitted from the sample”, “reflected from the sample”, and “generated by electron beam irradiation” may be used.

図21は、試料に電子ビームを照射したときのランディングエネルギーLEと階調DNの関係を示す図である。ランディングエネルギーLEとは、試料に照射される電子ビームに付与されるエネルギである。電子銃に加速度電圧Vaccが印加され、試料にリターディング電Vrtdが印加されるとする。この場合、ランディングエネルギーLEは、加速電圧とリターディング電圧の差で表される。   FIG. 21 is a diagram showing the relationship between the landing energy LE and the gradation DN when the sample is irradiated with an electron beam. The landing energy LE is energy applied to the electron beam irradiated on the sample. Assume that an acceleration voltage Vacc is applied to the electron gun and a retarding voltage Vrtd is applied to the sample. In this case, the landing energy LE is represented by the difference between the acceleration voltage and the retarding voltage.

また、図21において、縦軸の階調DNは、2次光学系の検出器で検出された電子から生成した画像における輝度を表す。すなわち、階調DNは、検出される電子の数を表す。多くの電子が検出されるほど、階調DNが大きくなる。   In FIG. 21, the gradation DN on the vertical axis represents the luminance in an image generated from electrons detected by the detector of the secondary optical system. That is, the gradation DN represents the number of detected electrons. As more electrons are detected, the gradation DN increases.

図21は、0[eV]付近の小さいエネルギ領域における階調特性を示している。図示のように、LEがLEBより大きい領域(LEB<LE)では、階調DNは、比較的小さい一定の値を示す。LEがLEB以下、LEA以上の領域(LEA≦LE≦LEB)では、LEが小さくなるほど、階調DNが増大する。LEがLEAより小さい領域(LE<LEA)では、階調DNが、比較的大きい一定の値を示す。   FIG. 21 shows gradation characteristics in a small energy region near 0 [eV]. As shown in the figure, in a region where LE is greater than LEB (LEB <LE), the gradation DN shows a relatively small constant value. In a region where LE is equal to or lower than LEB and equal to or higher than LEA (LEA ≦ LE ≦ LEB), the gradation DN increases as LE decreases. In the region where LE is smaller than LEA (LE <LEA), the gradation DN shows a relatively large constant value.

上記の階調特性は、検出される電子の種類と関係している。LEB<LEの領域では、検出される殆どすべての電子が、2次放出電子である。この領域は、2次放出電子領域ということができる。一方、LE<LEAの領域では、検出される殆どすべての電子が、ミラー電子である。この領域は、ミラー電子領域ということができる。図示のように、ミラー電子領域の階調は、2次放出電子領域の階調より大きい。これは、2次放出電子と比べて、ミラー電子の分布の範囲が小さいからである。分布範囲が小さいので、より多くの電子が検出器に到達でき、階調が大きくなる。   The gradation characteristics are related to the type of electrons detected. In the region of LEB <LE, almost all detected electrons are secondary emission electrons. This region can be called a secondary emission electron region. On the other hand, in the region of LE <LEA, almost all detected electrons are mirror electrons. This region can be called a mirror electron region. As shown in the figure, the gradation of the mirror electron region is larger than that of the secondary emission electron region. This is because the range of distribution of mirror electrons is smaller than that of secondary emission electrons. Since the distribution range is small, more electrons can reach the detector, and the gradation becomes large.

また、LEA≦LE≦LEBの領域は、2次放出電子領域からミラー電子領域(又はその逆)への遷移領域である。この領域は、ミラー電子と2次放出電子が混在する領域であり、混在領域ということもできる。遷移領域(混在領域)では、LEが小さくなるほど、ミラー電子の発生量が増大し、階調が増大する。   The region of LEA ≦ LE ≦ LEB is a transition region from the secondary emission electron region to the mirror electron region (or vice versa). This region is a region where mirror electrons and secondary emission electrons are mixed, and can also be referred to as a mixed region. In the transition region (mixed region), the smaller the LE is, the more mirror electrons are generated and the gradation is increased.

LEA及びLEBは、遷移領域の最低ランディングエネルギー及び最高ランディングエネルギーを意味している。LEA及びLEBの具体的な値を説明する。本発明者の研究結果では、LEAが−5[eV]以上であり、LEBは5[eV]以下である(すなわち、−5[eV]≦LEA≦LEB≦5[eV])。   LEA and LEB mean the lowest landing energy and the highest landing energy in the transition region. Specific values of LEA and LEB will be described. According to the research results of the present inventors, LEA is −5 [eV] or more and LEB is 5 [eV] or less (that is, −5 [eV] ≦ LEA ≦ LEB ≦ 5 [eV]).

遷移領域のメリットとしては次の通りである。ミラー電子領域(LE<LEA)では、ビーム照射により発生する全ての電子がミラー電子になる。そのため、試料の形状に関係なく、検出される電子が全てミラー電子になり、試料の凹部でも凸部でも階調の差が小さくなり、パターンや欠陥のS/N及びコントラストが小さくなってしまう。したがって、ミラー電子領域を検査に使用するのは難しい場合がある。これに対して、遷移領域では、形状のエッジ部の部位にて特徴的かつ特異的にミラー電子が生じ、他の部位では2次放出電子が生じる。したがって、エッジのS/N及びコントラストを高くすることができる。したがって、遷移領域は検査を行うときに大変有効である。以下、この点について詳細に説明する。   The advantages of the transition area are as follows. In the mirror electron region (LE <LEA), all electrons generated by beam irradiation become mirror electrons. Therefore, regardless of the shape of the sample, all the detected electrons are mirror electrons, and the difference in gradation is reduced in both the concave and convex portions of the sample, and the S / N and contrast of the pattern and defect are reduced. Therefore, it may be difficult to use the mirror electron region for inspection. In contrast, in the transition region, mirror electrons are generated characteristically and specifically at the edge portion of the shape, and secondary emission electrons are generated at other portions. Therefore, the S / N and contrast of the edge can be increased. Therefore, the transition region is very effective when performing inspection. Hereinafter, this point will be described in detail.

図22は、上記の遷移領域の現象を示している。図22は、遷移領域の現象を示す図である。図22において、ミラー電子領域(LE<LEA)では、総ての電子が、試料に衝突することなく、ミラー電子になる。これに対して、遷移領域では、一部の電子が試料に衝突し、試料が2次放出電子を放出する。LEが大きくなるほど、2次放出電子の割合が多くなる。そして、図示されないが、LEがLEBを超えると、2次放出電子のみ検出される。   FIG. 22 shows the phenomenon of the above transition region. FIG. 22 is a diagram illustrating the phenomenon of the transition region. In FIG. 22, in the mirror electron region (LE <LEA), all electrons become mirror electrons without colliding with the sample. In contrast, in the transition region, some electrons collide with the sample, and the sample emits secondary emission electrons. As LE increases, the proportion of secondary emission electrons increases. Although not shown, when LE exceeds LEB, only secondary emission electrons are detected.

本発明では、2次放出電子領域、遷移領域、ミラー電子領域を含めて、及び、凹凸構造を有するパターン、凹凸が無いパターン、を含めて、照射電子ビームと画像形成をする2次光学系の電子ビームの条件作成と調整方法を発明したものである。本発明により飛躍的に効率よく、高精度の調整と条件作成を達成できる。それを下記に示す。   In the present invention, a secondary optical system that forms an image with an irradiated electron beam including a secondary emission electron region, a transition region, a mirror electron region, and a pattern having a concavo-convex structure and a pattern having no concavo-convex structure. Invented an electron beam condition creation and adjustment method. According to the present invention, highly accurate adjustment and condition creation can be achieved remarkably efficiently. This is shown below.

本発明は、2次光学系の途中にあるクロスオーバ位置(以下、CO位置と記す。)に来ているビームの位置と形状を測定することが大きな特徴である。従来は、CO位置に来ているビームの測定を行わずに、NAを移動して、画像をとり、その画像のコントラストを評価していた。これでは、膨大な時間が掛かってしまう。従来の手順は次のとおりである。
a. CO位置と検出器の間にあるレンズで結像条件を形成する。
b. もし、NAが有る場合は、口径の大きなものにする。または、取り外す。
CO全体が観察できたほうがよい。例えば、φ1000〜φ5000μm
c. CO位置のビームを撮像する。
A major feature of the present invention is that the position and shape of a beam coming to a crossover position (hereinafter referred to as CO position) in the middle of the secondary optical system are measured. Conventionally, without measuring the beam coming to the CO position, the NA is moved, an image is taken, and the contrast of the image is evaluated. This takes an enormous amount of time. The conventional procedure is as follows.
a. Form imaging conditions with a lens between the CO position and the detector.
b. If there is NA, use a large aperture. Or remove it.
It is better to observe the entire CO. For example, φ1000 to φ5000 μm
c. Imaging the beam at the CO position.

本発明では、この様な撮像及び調整を効率よく行うため、また、コンタミネーションによる劣化や交換・メンテナンス性の向上のため、機器の構成は後述するが、特徴的には、可動式ニューメリカルアパーチャ(NA)10008を備えている。これにより、LEに対するCO位置でのビーム形状の測定例を図23に示す。図23は、LEに対するCO位置でのビーム形状の測定例を示す図である。図23において、CO位置に来ているビームの形状を上段に示し、試料表面に照射されたビームのミラー領域、遷移領域、2次放出電子領域における現象を下段に示す。また、上段において、ミラー電子を黒点で示し、2次放出電子を円形で示している。LEに対して、ミラー電子領域では、ミラー電子のみが観察される。遷移領域では、ミラー電子と2次放出電子が観察される。2次放出電子領域では2次放出電子のみ観察され、ミラー電子は観察されない。この撮像により得られた像データを用いて、ミラー電子の位置、サイズ、強度、及び、2次放出電子のサイズ、強度を測定する。   In the present invention, in order to efficiently perform such imaging and adjustment, and to improve deterioration and replacement / maintenance due to contamination, the configuration of the device will be described later. Characteristically, the movable numerical aperture (NA) 10008 is provided. Thus, an example of measuring the beam shape at the CO position with respect to LE is shown in FIG. FIG. 23 is a diagram illustrating a measurement example of the beam shape at the CO position with respect to LE. In FIG. 23, the shape of the beam coming to the CO position is shown in the upper stage, and the phenomenon in the mirror region, transition region, and secondary emission electron region of the beam irradiated on the sample surface is shown in the lower stage. In the upper stage, mirror electrons are indicated by black dots, and secondary emission electrons are indicated by circles. In contrast to LE, only mirror electrons are observed in the mirror electron region. In the transition region, mirror electrons and secondary emission electrons are observed. In the secondary emission electron region, only secondary emission electrons are observed, and mirror electrons are not observed. Using the image data obtained by this imaging, the position, size, and intensity of mirror electrons and the size and intensity of secondary emission electrons are measured.

また、この観察により、対象とする試料に照射電子ビームを当てた時に、3つの状態のうち、どの状態にあるのか即時に判断できるのである。従来は、照射条件と得られた像から、あいまいな予測を行っていた。この様な正確な状況判断ができなかった。また、電源設定精度による誤差、光軸条件による影響も正確に判断できなかった。これは、ミラー電子領域、遷移領域の形成が、LE、光軸条件に敏感であるため、それを制御する機器や条件の誤差にも影響を受けてしまうからである。例えば、電源の設定精度は一般に0.1%程度である。5000V設定電源の設定誤差は、5Vにもなるのである。5Vの変化が起こると、遷移領域→ミラー領域、や、遷移領域→2次放出電子領域になることも充分ある。その確認ができなかったために、設定値によりミラー電子領域であろう、または、遷移領域であろう等のあいまいな予測しかできなかった。   In addition, this observation makes it possible to immediately determine which of the three states is present when the irradiated electron beam is applied to the target sample. Conventionally, an ambiguous prediction has been made from the irradiation conditions and the obtained image. Such an accurate situation judgment could not be made. Moreover, the error due to the power setting accuracy and the influence due to the optical axis condition could not be accurately determined. This is because the formation of the mirror electron region and the transition region is sensitive to the LE and optical axis conditions, and is also affected by errors in equipment and conditions that control them. For example, the power supply setting accuracy is generally about 0.1%. The setting error of the 5000V setting power supply is 5V. When a change of 5 V occurs, the transition region → mirror region or the transition region → secondary emission electron region may be sufficient. Since the confirmation could not be made, only a vague prediction such as the mirror electron region or the transition region could be made depending on the set value.

更に、本発明では、この測定を行う方法を用いて、1次照射電子ビームの調整と画像形成を行うNA位置の設定方法について述べる。マスク、ウエハなどの試料の方向が2次光学系(コラム)の座標と位置調整が済んでいるものとする。   Furthermore, the present invention describes a method for setting the NA position for adjusting the primary irradiation electron beam and performing image formation using the method for performing this measurement. It is assumed that the direction of a sample such as a mask or wafer has been adjusted with the coordinates and position of the secondary optical system (column).

(光電子カソード1次系)
基準電圧がGNDではなく、高電圧の場合に用いられる例を図14に示す。この例では、基準電圧が+40000Vである。その基準電圧がコラム内で統一して電場が形成できるように、筒状の管ある。この管を管1とする。そして、40000V印加されており基準電圧を形成している。また、光電子面に近いところは、等電位線(分布)光電面と平行になっている。また、そのため、レンズは磁場レンズが用いられている。また、アライナは電磁アライナが用いられている。また、NAや他のアパーチャは基準電位であり、管構造に設置されている。この管1は、高電圧が印加されるため外側にもうひとつの管2がある。この管2がGNDになっており、装置としてGND接続が可能となっているのである。管1と管2は耐電圧のある絶縁物にて絶縁されており、必要な印加電圧が保持さえている。ここには記してないが、2次光学系の基準電圧が高電圧にするために、1次系の基準電圧を制御しているのである。したがって、2次光学系は、1次光学系と同様に、管が2重構造のコラムとなっている。内側の管に高電圧が印加され、外側の管がGNDになっている。その電圧差は1次系と同様に保持されている。また、管1が導体でありこの管1の外周部にポリイミドやエポキシなどの樹脂材料がコーティングされていてもよい。さらに、その樹脂材料の外周部に導電材料がコーティングされており、そのコーティングされた導電材料がGNDとなっていてもよい。それにより、該樹脂材料の内側が高電圧の基準電圧であり、外側がGNDとなっており、他のGND接続及びGND設置できる部品の組み立てが可能となる。また、この外側に導体のシールド管の管2があってもよい。この管2はパーマロイや純鉄の磁性体であり、外部磁場の遮断が可能となる。なお、本実施形態は、前述した実施形態1〜25、及び番号を付していない実施形態にも適用できる。
(Photoelectron cathode primary system)
FIG. 14 shows an example used when the reference voltage is not GND but is a high voltage. In this example, the reference voltage is + 40000V. The tube is cylindrical so that its reference voltage can be unified within the column to form an electric field. This tube is referred to as tube 1. Then, 40,000 V is applied to form a reference voltage. Further, the portion close to the photoelectron surface is parallel to the equipotential line (distribution) photocathode. For this reason, a magnetic lens is used as the lens. As the aligner, an electromagnetic aligner is used. NA and other apertures are reference potentials and are installed in the tube structure. Since this tube 1 is applied with a high voltage, there is another tube 2 on the outside. This pipe 2 is GND, and a GND connection is possible as a device. The tube 1 and the tube 2 are insulated by an insulator having a withstand voltage, and the necessary applied voltage is even maintained. Although not described here, the reference voltage of the primary system is controlled in order to make the reference voltage of the secondary optical system high. Therefore, in the secondary optical system, the tube is a double-structured column as in the primary optical system. A high voltage is applied to the inner tube, and the outer tube is GND. The voltage difference is maintained as in the primary system. Further, the tube 1 may be a conductor, and the outer peripheral portion of the tube 1 may be coated with a resin material such as polyimide or epoxy. Furthermore, a conductive material may be coated on the outer peripheral portion of the resin material, and the coated conductive material may be GND. Thereby, the inside of the resin material is a high-voltage reference voltage, and the outside is GND, so that it is possible to assemble other GND connections and components that can be GND-installed. In addition, a conductor shield tube 2 may be provided on the outside. The tube 2 is a magnetic material such as permalloy or pure iron, and can block an external magnetic field. In addition, this embodiment is applicable also to Embodiment 1-25 mentioned above and Embodiment which is not numbered.

「第2検出器」
検出器の頻繁な交換を必要とせず、且つ、CO位置におけるビームの位置、形状を測定する手段、及び光軸の調整を行うものとして、更にCO位置におけるビーム測定用の検出器として、検査用検出器の直前に第2検出器を設ける。図24は、本願発明に係る第2検出器の原理を示す図である。図24(a)は、本願発明の2次光学系を示す図であり、図24(b)は、ニューメリカルアパーチャ(NA)10008位置における2次放出電子及びミラー電子の電子ビームを、レンズを通して第2検出器76−2に結像させることを示す図である。図24(b)に示すニューメリカルアパーチャ10008と検出系76との間に、本願発明の一実施形態に係る第2検出器76−2を設け、可動式のニューメリカルアパーチャ(NA)10008を移動させて、第2検出器でCO位置のビームの位置及び形状を撮像すればよい。ここで、CO位置(またはNA位置)のビーム形状・位置は、静止画を撮像できればよい。第2検出器76−2で撮像した情報を基に調整を繰り返し、調整後に検査を行う。
"Second detector"
As a means for measuring the position and shape of the beam at the CO position and adjusting the optical axis without requiring frequent replacement of the detector, and as a detector for measuring the beam at the CO position, for inspection A second detector is provided immediately before the detector. FIG. 24 is a diagram showing the principle of the second detector according to the present invention. FIG. 24A is a diagram showing a secondary optical system of the present invention, and FIG. 24B is a diagram illustrating an electron beam of secondary emission electrons and mirror electrons at a numerical aperture (NA) 10008 position through a lens. It is a figure which shows making it image on the 2nd detector 76-2. A second detector 76-2 according to an embodiment of the present invention is provided between the numerical aperture 10008 and the detection system 76 shown in FIG. 24B, and the movable numerical aperture (NA) 10008 is moved. Then, the position and shape of the beam at the CO position may be imaged with the second detector. Here, the beam shape and position at the CO position (or NA position) only need to be able to capture a still image. The adjustment is repeated based on the information captured by the second detector 76-2, and the inspection is performed after the adjustment.

ニューメリカルアパーチャ(NA)10008を経由した二次放出電子やミラー電子は、検出器のセンサ面で結像する。この結像した2次元電子画像を第2検出器76−2で取得し、電気信号に変換して、画像処理ユニットに送る。CO位置の電子ビーム像が第2検出器76−2で撮像できるように、ニューメリカルアパーチャ10008と第2検出器76−2との間に、トランスファーレンズ又は、拡大投影用静電レンズを使用してもよい。   Secondary emission electrons and mirror electrons that have passed through the numerical aperture (NA) 10008 form an image on the sensor surface of the detector. The imaged two-dimensional electronic image is acquired by the second detector 76-2, converted into an electrical signal, and sent to the image processing unit. A transfer lens or an electrostatic lens for magnifying projection is used between the numerical aperture 10008 and the second detector 76-2 so that the electron beam image at the CO position can be captured by the second detector 76-2. May be.

第2検出器76−2としては、EB−CCD又はC−MOS型EB−CCDを用いることができる。素子サイズは第1検出器(検出器761)であるEB−TDIの素子サイズの1/2から1/3の大きさでよい。これによって第1検出器よりも小さいPxサイズの撮像が可能となる。Pxサイズとは、素子サイズを光学倍率で割った値で、試料表面上の画像分割サイズのことである。例えば、素子サイズ10μm□で倍率1000倍のときPxサイズ=10μm/1000倍=10nmとなる。第1検出器よりも小さい素子サイズを有する第2検出器であれば、第1検出器よりも小さいPxサイズでの表面観察が可能となるのである。第1検出器のEB−TDI、第2検出器のEB−CCDまたはC−MOS型EB−CCDは、光電子変換機構及び光伝達機構を必要としない。電子がEB−TDIセンサ面または、EB−CCDセンサ面に直接に入射する。したがって、分解能の劣化が無く、高いMTF(Modulation Transfer Function)及びコントラストを得ることが可能となる。従来のEB−CCDと比べるとC−MOS型のEB−CCDはバックグランドのノイズを著しく低減できるので、検出器起因のノイズ低減に大変効果があり、どう条件の撮像を行ったときに従来よりも、コントラスト向上、S/N向上を行うことができる。特に、取得電子数が少ないときに有効である。ノイズ低減において従来型EB−CCDの1/3〜1/20程度の効果がある。   As the second detector 76-2, an EB-CCD or a C-MOS type EB-CCD can be used. The element size may be 1/2 to 1/3 of the element size of EB-TDI which is the first detector (detector 761). As a result, imaging with a Px size smaller than that of the first detector becomes possible. The Px size is a value obtained by dividing the element size by the optical magnification, and is the image division size on the sample surface. For example, when the element size is 10 μm and the magnification is 1000 times, Px size = 10 μm / 1000 times = 10 nm. If the second detector has an element size smaller than that of the first detector, surface observation with a Px size smaller than that of the first detector becomes possible. The EB-TDI of the first detector, the EB-CCD of the second detector, or the C-MOS type EB-CCD does not require a photoelectron conversion mechanism and a light transmission mechanism. The electrons are directly incident on the EB-TDI sensor surface or the EB-CCD sensor surface. Therefore, there is no deterioration in resolution, and a high MTF (Modulation Transfer Function) and contrast can be obtained. Compared to the conventional EB-CCD, the C-MOS type EB-CCD can significantly reduce the background noise, so it is very effective in reducing the noise caused by the detector. Also, contrast and S / N can be improved. This is particularly effective when the number of acquired electrons is small. There is an effect of about 1/3 to 1/20 of the conventional EB-CCD in reducing noise.

ニューメリカルアパーチャ(NA)10008を通して検出器面で結像するビームを第2検出器76−2で検出し、検出したビームの位置及び形状によって、電子ビームの条件作成とニューメリカルアパーチャ(NA)10008等の位置を調整する。第2検出器76−2による検出結果によって各種の調整が行われた後、検出系76を用いた試料の検査を行う。従って、検出系76は検査時のみに使用する為、検出系76の交換頻度を抑制できる。また、第2検出器76−2は静止画のみを撮像するので、劣化が生じても検査には影響しない。このような、結像条件を達成するためには、例えば、第1検出器に電子像を結像する条件、第2検出器に結像する条件、CO位置のビームを観察するためにCO位置に来ているビーム形状を第2検出器に結像する条件等において、これらの調整は、図10(a)の例を参照するとトランスファーレンズ10009のレンズ強度を調整して第1検出器用と第2検出器用に最適な条件を求めてある結像条件を用いる場合がある。また、トランスファーレンズ10009の変わりにレンズ741を用いてもよい。レンズ中心−検出器間の距離が変わるので、それによりトランスファーレンズ10009とレンズ741を用いたときで倍率が変わるので、好適なレンズと倍率を選んで行えばよい。   The beam formed on the detector surface through the numerical aperture (NA) 10008 is detected by the second detector 76-2, and the electron beam condition creation and the numerical aperture (NA) 10008 are determined according to the position and shape of the detected beam. Adjust the position of etc. After various adjustments are made according to the detection results of the second detector 76-2, the sample is inspected using the detection system 76. Therefore, since the detection system 76 is used only at the time of inspection, the replacement frequency of the detection system 76 can be suppressed. In addition, since the second detector 76-2 captures only a still image, even if deterioration occurs, the inspection is not affected. In order to achieve such an imaging condition, for example, a condition for forming an electron image on the first detector, a condition for forming an image on the second detector, and a CO position for observing the beam at the CO position. In the conditions for imaging the beam shape coming to the second detector, etc., these adjustments are made by adjusting the lens intensity of the transfer lens 10009 and referring to the first detector and the second detector with reference to the example of FIG. In some cases, an imaging condition for which an optimum condition for two detectors is obtained is used. Further, a lens 741 may be used instead of the transfer lens 10009. Since the distance between the lens center and the detector changes, the magnification changes when the transfer lens 10009 and the lens 741 are used. Therefore, a suitable lens and magnification may be selected.

上述した第2検出器76−2は、上述したCO位置におけるビームの位置、形状を測定して電子ビームの条件作成と高精度の調整を行う本願発明に係る調整方法と併せて用いることで効果が得られる。また、この第2検出器76−2は、本願発明に係る新たな光電子発生部を備える電子光学装置だけでなく、一般的な電子銃を備える電子光学装置に適用してもよい。本実施例は、上述の実施形態1〜実施形態11で述べてきた装置にも適用可能である。上記のビーム、NA位置の調整方法の例では、1次ビームが電子ビームの時の例を述べたが、照射系が光またはレーザのときにも適用できる。レーザまたは光を照射して光電子が試料表面から発生し、該光電子のクロスオーバサイズやその中心位置とNA設置位置との関係を適切に行うときに用いることができる。これにより、解像度のよい光電子像の形成が可能となるである。   The second detector 76-2 described above is effective when used in combination with the adjustment method according to the present invention in which the beam position and shape at the CO position described above are measured to create the condition of the electron beam and perform high-precision adjustment. Is obtained. The second detector 76-2 may be applied not only to an electron optical device including a new photoelectron generator according to the present invention but also to an electron optical device including a general electron gun. This example is also applicable to the devices described in the first to eleventh embodiments. In the example of the beam and NA position adjustment method described above, an example in which the primary beam is an electron beam has been described, but the present invention can also be applied when the irradiation system is light or laser. Photoelectrons are generated from the sample surface by irradiation with a laser or light, and can be used when the crossover size of the photoelectrons and the relationship between the center position and the NA installation position are appropriately set. This makes it possible to form a photoelectron image with good resolution.

「電子検査装置」
図25は、本発明を適用した電子線検査装置の構成を示した図である。上述においては、異物検査方法の原理的な部分について主に説明した。ここでは、上述の異物検査方法を実行するのに適用される異物検査装置について説明する。従って、上述のすべての異物検査方法は、下記の異物検査装置に適用することができる。
"Electronic inspection equipment"
FIG. 25 is a diagram showing a configuration of an electron beam inspection apparatus to which the present invention is applied. In the above description, the principle part of the foreign matter inspection method has been mainly described. Here, a foreign substance inspection apparatus applied to execute the above-described foreign substance inspection method will be described. Therefore, all the foreign substance inspection methods described above can be applied to the following foreign substance inspection apparatus.

電子線検査装置の検査対象は試料20である。試料20は、シリコンウエハ、ガラスマスク、半導体基板、半導体パターン基板、又は、金属膜を有する基板等である。本実施の形態に係る電子線検査装置は、これらの基板からなる試料20の表面上の異物10の存在を検出する。異物10は、絶縁物、導電物、半導体材料、又はこれらの複合体等である。異物10の種類は、パーティクル、洗浄残物(有機物)、表面での反応生成物等である。電子線検査装置は、SEM方式装置でもよく、写像投影式装置でもよい。この例では、写像投影式検査装置に本発明が適用される。   The inspection object of the electron beam inspection apparatus is the sample 20. The sample 20 is a silicon wafer, a glass mask, a semiconductor substrate, a semiconductor pattern substrate, a substrate having a metal film, or the like. The electron beam inspection apparatus according to the present embodiment detects the presence of the foreign matter 10 on the surface of the sample 20 made of these substrates. The foreign material 10 is an insulator, a conductive material, a semiconductor material, or a complex thereof. The types of the foreign matter 10 are particles, cleaning residues (organic matter), reaction products on the surface, and the like. The electron beam inspection apparatus may be an SEM system apparatus or a mapping projection apparatus. In this example, the present invention is applied to a mapping projection inspection apparatus.

写像投影方式の電子線検査装置は、電子ビームを生成する1次光学系40と、試料20と、試料を設置するステージ30と、試料からの2次放出電子又はミラー電子の拡大像を結像させる2次光学系60と、それらの電子を検出する検出器70と、検出器70からの信号を処理する画像処理装置90(画像処理系)と、位置合わせ用の光学顕微鏡110と、レビュー用のSEM120とを備える。検出器70は、本発明では2次光学系60に含まれてよい。また、画像処理装置90は本発明の画像処理部に含まれてよい。   The projection type electron beam inspection apparatus forms a primary optical system 40 that generates an electron beam, a sample 20, a stage 30 on which the sample is placed, and an enlarged image of secondary emission electrons or mirror electrons from the sample. Secondary optical system 60 to be detected, a detector 70 for detecting those electrons, an image processing device 90 (image processing system) for processing a signal from the detector 70, an optical microscope 110 for alignment, and a review SEM120. The detector 70 may be included in the secondary optical system 60 in the present invention. Further, the image processing apparatus 90 may be included in the image processing unit of the present invention.

1次光学系40は、電子ビームを生成し、試料20に向けて照射する構成である。1次光学系40は、電子銃41と、レンズ42、45と、アパーチャ43、44と、E×Bフィルタ46と、レンズ47、49、50と、アパーチャ48とを有する。電子銃41により電子ビームが生成される。レンズ42、45及びアパーチャ43、44は、電子ビームを整形するとともに、電子ビームの方向を制御する。そして、E×Bフィルタ46にて、電子ビームは、磁界と電界によるローレンツ力の影響を受ける。電子ビームは、斜め方向からE×Bフィルタ46に入射して、鉛直下方向に偏向され、試料20の方に向かう。レンズ47、49、50は、電子ビームの方向を制御するとともに、適切な減速を行って、ランディングエネルギーLEを調整する。   The primary optical system 40 is configured to generate an electron beam and irradiate the sample 20. The primary optical system 40 includes an electron gun 41, lenses 42 and 45, apertures 43 and 44, an E × B filter 46, lenses 47, 49 and 50, and an aperture 48. An electron beam is generated by the electron gun 41. The lenses 42 and 45 and the apertures 43 and 44 shape the electron beam and control the direction of the electron beam. In the E × B filter 46, the electron beam is affected by the Lorentz force due to the magnetic field and the electric field. The electron beam enters the E × B filter 46 from an oblique direction, is deflected vertically downward, and travels toward the sample 20. The lenses 47, 49, and 50 adjust the landing energy LE by controlling the direction of the electron beam and appropriately decelerating.

1次光学系40は、電子ビームを試料20へ照射する。前述したように、1次光学系40は、プレチャージの帯電用電子ビームと撮像電子ビームの双方の照射を行う。実験結果では、プレチャージのランディングエネルギーLE1と、撮像電子ビームのランディングエネルギーLE2との差異は、好適には5〜20〔eV〕である。   The primary optical system 40 irradiates the sample 20 with an electron beam. As described above, the primary optical system 40 irradiates both the precharge charging electron beam and the imaging electron beam. According to the experimental results, the difference between the precharge landing energy LE1 and the imaging electron beam landing energy LE2 is preferably 5 to 20 eV.

この点に関し、異物10と周囲との電位差があるときに、プレチャージのランディングエネルギーLE1を負帯電領域で照射したとする。LE1の値に応じて、チャージアップ電圧は異なる。LE1とLE2の相対比が変わるからである(LE2は上記のように撮像電子ビームのランディングエネルギーである)。LE1が大きいとチャージアップ電圧が高くなり、これにより、異物10の上方の位置(検出器70により近い位置)で反射ポイントが形成される。この反射ポイントの位置に応じて、ミラー電子の軌道と透過率が変化する。したがって、反射ポイントに応じて、最適なチャージアップ電圧条件が決まる。また、LE1が低すぎると、ミラー電子形成の効率が低下する。本発明は、このLE1とLE2との差異が望ましくは5〜20〔eV〕であることを見い出した。また、LE1の値は、好ましくは0〜40〔eV〕であり、更に好ましくは5〜20〔eV〕である。   In this regard, it is assumed that when there is a potential difference between the foreign material 10 and the surrounding area, the precharge landing energy LE1 is irradiated in the negatively charged region. The charge-up voltage varies depending on the value of LE1. This is because the relative ratio of LE1 and LE2 changes (LE2 is the landing energy of the imaging electron beam as described above). When LE1 is large, the charge-up voltage becomes high, whereby a reflection point is formed at a position above the foreign material 10 (position closer to the detector 70). Depending on the position of this reflection point, the trajectory and transmittance of the mirror electrons change. Therefore, an optimum charge-up voltage condition is determined according to the reflection point. On the other hand, if LE1 is too low, the efficiency of forming mirror electrons decreases. The present invention has found that the difference between LE1 and LE2 is preferably 5 to 20 [eV]. The value of LE1 is preferably 0 to 40 [eV], more preferably 5 to 20 [eV].

また、写像投影光学系の1次光学系40では、E×Bフィルタ46が特に重要である。E×Bフィルタ46の電界と磁界の条件を調整することにより、1次電子ビーム角度を定めることができる。例えば、1次系の照射電子ビームと、2次系の電子ビームとが、試料20に対して、ほぼ垂直に入射するように、E×Bフィルタ46の条件を設定可能である。更に感度を増大するためには、例えば、試料20に対する1次系の電子ビームの入射角度を傾けることが効果的である。適当な傾き角は、0.05〜10度であり、好ましくは0.1〜3度程度である。   In the primary optical system 40 of the mapping projection optical system, the E × B filter 46 is particularly important. The primary electron beam angle can be determined by adjusting the electric field and magnetic field conditions of the E × B filter 46. For example, the condition of the E × B filter 46 can be set so that the primary electron beam and the secondary electron beam are incident on the sample 20 substantially perpendicularly. In order to further increase the sensitivity, for example, it is effective to tilt the incident angle of the primary electron beam with respect to the sample 20. An appropriate inclination angle is 0.05 to 10 degrees, preferably about 0.1 to 3 degrees.

このように、異物10に対して所定の角度θの傾きを持って電子ビームを照射させることにより、異物10からの信号を強くすることができる。これにより、ミラー電子の軌道が2次系光軸中心から外れない条件を形成することができ、したがって、ミラー電子の透過率を高めることができる。したがって、異物10をチャージアップさせて、ミラー電子を導くときに、傾いた電子ビームが大変有利に用いられる。   As described above, by irradiating the foreign material 10 with the electron beam at a predetermined angle θ, the signal from the foreign material 10 can be strengthened. As a result, it is possible to form a condition in which the orbit of the mirror electrons does not deviate from the center of the secondary system optical axis, and therefore the transmittance of the mirror electrons can be increased. Therefore, when the foreign material 10 is charged up and the mirror electrons are guided, the tilted electron beam is very advantageously used.

図25に戻る。ステージ30は、試料20を載置する手段であり、x−yの水平方向及びθ方向に移動可能である。また、ステージ30は、必要に応じてz方向に移動可能であってもよい。ステージ30の表面には、静電チャック等の試料固定機構が備えられていてもよい。   Returning to FIG. The stage 30 is a means for placing the sample 20 and is movable in the xy horizontal direction and the θ direction. Further, the stage 30 may be movable in the z direction as necessary. A sample fixing mechanism such as an electrostatic chuck may be provided on the surface of the stage 30.

ステージ30上には試料20があり、試料20の上に異物10がある。1次系光学系40は、ランディングエネルギーLE−5〜−10〔eV〕で試料表面21に電子ビームを照射する。異物10がチャージアップされ、1次光学系40の入射電子が異物10に接触せずに跳ね返される。これにより、ミラー電子が2次光学系60により検出器70に導かれる。このとき、二次放出電子は、試料表面21から広がった方向に放出される。そのため、2次放出電子の透過率は、低い値であり、例えば、0.5〜4.0%程度である。これに対し、ミラー電子の方向は散乱しないので、ミラー電子は、ほぼ100%の高い透過率を達成できる。ミラー電子は異物10で形成される。したがって、異物10の信号だけが、高い輝度(電子数が多い状態)を生じさせることができる。周囲の二次放出電子との輝度の差異・割合が大きくなり、高いコントラストを得ることが可能である。   The sample 20 is on the stage 30, and the foreign material 10 is on the sample 20. The primary optical system 40 irradiates the sample surface 21 with an electron beam with landing energy LE-5 to -10 [eV]. The foreign material 10 is charged up, and incident electrons of the primary optical system 40 are bounced back without contacting the foreign material 10. Thereby, the mirror electrons are guided to the detector 70 by the secondary optical system 60. At this time, secondary emission electrons are emitted in a direction extending from the sample surface 21. Therefore, the transmittance of secondary emission electrons is a low value, for example, about 0.5 to 4.0%. On the other hand, since the direction of the mirror electrons is not scattered, the mirror electrons can achieve a high transmittance of almost 100%. The mirror electrons are formed by the foreign material 10. Therefore, only the signal of the foreign material 10 can cause high luminance (a state in which the number of electrons is large). The brightness difference / ratio with the surrounding secondary emission electrons is increased, and high contrast can be obtained.

また、ミラー電子の像は、前述したように、光学倍率よりも大きい倍率で拡大される。拡大率は5〜50倍に及ぶ。典型的な条件では、拡大率が20〜30倍であることが多い。このとき、ピクセルサイズが異物サイズの3倍以上であっても、異物を検出可能である。したがって、高速・高スループットで実現できる。   Further, as described above, the mirror electron image is magnified at a magnification larger than the optical magnification. The enlargement ratio ranges from 5 to 50 times. Under typical conditions, the magnification is often 20 to 30 times. At this time, foreign matter can be detected even if the pixel size is three times or more the foreign matter size. Therefore, it can be realized at high speed and high throughput.

例えば、異物10のサイズが直径20〔nm〕である場合に、ピクセルサイズが60〔nm〕、100〔nm〕、500〔nm〕等でよい。この例ように、異物の3倍以上のピクセルサイズを用いて異物の撮像及び検査を行うことが可能となる。このことは、SEM方式等に比べて、高スループット化のために著しく優位な特徴である。   For example, when the size of the foreign material 10 is 20 [nm] in diameter, the pixel size may be 60 [nm], 100 [nm], 500 [nm], or the like. As in this example, it is possible to image and inspect a foreign object using a pixel size that is three times or more that of the foreign object. This is a feature that is remarkably superior for increasing the throughput as compared with the SEM method or the like.

2次光学系60は、試料20から反射した電子を、検出器70に導く手段である。2次光学系60は、レンズ61、63と、NAアパーチャ62と、アライナ64と、検出器70とを有する。電子は、試料20から反射して、対物レンズ50、レンズ49、アパーチャ48、レンズ47及びE×Bフィルタ46を再度通過する。そして、電子は2次光学系60に導かれる。2次光学系60においては、レンズ61、NAアパーチャ62、レンズ63を通過して電子が集められる。電子はアライナ64で整えられて、検出器70に検出される。   The secondary optical system 60 is a means for guiding the electrons reflected from the sample 20 to the detector 70. The secondary optical system 60 includes lenses 61 and 63, an NA aperture 62, an aligner 64, and a detector 70. The electrons are reflected from the sample 20 and pass through the objective lens 50, the lens 49, the aperture 48, the lens 47 and the E × B filter 46 again. Then, the electrons are guided to the secondary optical system 60. In the secondary optical system 60, electrons are collected through the lens 61, the NA aperture 62, and the lens 63. The electrons are arranged by the aligner 64 and detected by the detector 70.

NAアパーチャ62は、2次系の透過率・収差を規定する役目を持っている。異物10からの信号(ミラー電子等)と周囲(正常部)の信号の差異が大きくなるようにNAアパーチャ62のサイズ及び位置が選択される。あるいは、周囲の信号に対する異物10からの信号の割合が大きくなるように、NAアパーチャ62のサイズ及び位置が選択される。これにより、S/Nを高くすることができる。   The NA aperture 62 has a role of defining the transmittance and aberration of the secondary system. The size and position of the NA aperture 62 are selected so that the difference between the signal from the foreign object 10 (mirror electron etc.) and the signal at the surrounding (normal part) becomes large. Alternatively, the size and position of the NA aperture 62 are selected so that the ratio of the signal from the foreign object 10 to the surrounding signal is increased. Thereby, S / N can be made high.

例えば、φ50〜φ3000〔μm〕の範囲で、NAアパーチャ62が選択可能であるとする。検出される電子には、ミラー電子と二次放出電子が混在しているとする。このような状況でミラー電子像のS/Nを向上するために、アパーチャサイズの選択が有利である。この場合、二次放出電子の透過率を低下させて、ミラー電子の透過率を維持できるようにNAアパーチャ62のサイズを選択することが好適である。   For example, it is assumed that the NA aperture 62 can be selected in the range of φ50 to φ3000 [μm]. It is assumed that mirror electrons and secondary emission electrons are mixed in the detected electrons. In order to improve the S / N of the mirror electron image in such a situation, the selection of the aperture size is advantageous. In this case, it is preferable to select the size of the NA aperture 62 so as to reduce the transmittance of secondary emission electrons and maintain the transmittance of mirror electrons.

例えば、1次電子ビームの入射角度が3°であるとき、ミラー電子の反射角度がほぼ3°である。この場合、ミラー電子の軌道が通過できる程度のNAアパーチャ62のサイズを選択することが好適である。例えば、適当なサイズはφ250〔μm〕である。NAアパーチャ(径φ250〔μm〕)に制限されるために、2次放出電子の透過率は低下する。したがって、ミラー電子像のS/Nを向上することが可能となる。例えば、アパーチャ径をφ2000からφ250〔μm〕にすると、バックグランド階調(ノイズレベル)を1/2以下に低減できる。   For example, when the incident angle of the primary electron beam is 3 °, the reflection angle of the mirror electrons is approximately 3 °. In this case, it is preferable to select a size of the NA aperture 62 that allows the trajectory of mirror electrons to pass. For example, a suitable size is φ250 [μm]. Since it is limited to the NA aperture (diameter φ250 [μm]), the transmittance of secondary emission electrons is lowered. Therefore, the S / N of the mirror electron image can be improved. For example, when the aperture diameter is changed from φ2000 to φ250 [μm], the background gradation (noise level) can be reduced to ½ or less.

図25に戻る。検出器70は、2次光学系60により導かれた電子を検出する手段である。検出器70は、その表面に複数のピクセルを有する。検出器70には、種々の二次元型センサを適用することができる。例えば、検出器70には、CCD(Charge Coupled
Device)及びTDI(Time Delay Integration)−CCDが適用されてよい。これらは
、電子を光に変換してから信号検出を行うセンサである。そのため、光電変換等の手段が必要である。よって、光電変換やシンチレータを用いて、電子が光に変換される。光の像情報は、光を検知するTDIに伝達される。こうして電子が検出される。
Returning to FIG. The detector 70 is means for detecting electrons guided by the secondary optical system 60. The detector 70 has a plurality of pixels on its surface. Various two-dimensional sensors can be applied to the detector 70. For example, the detector 70 includes a CCD (Charge Coupled).
Device) and TDI (Time Delay Integration) -CCD may be applied. These are sensors that detect signals after converting electrons to light. Therefore, means such as photoelectric conversion are necessary. Therefore, electrons are converted into light by using photoelectric conversion or scintillator. The image information of light is transmitted to TDI that detects light. In this way, electrons are detected.

ここでは、検出器70にEB−TDIを適用した例について説明する。EB−TDIは、光電変換機構・光伝達機構を必要としない。電子がEB−TDIセンサ面に直接に入射する。したがって、分解能の劣化が無く、高いMTF(Modulation Transfer Function)及びコントラストを得ることが可能となる。従来は、小さい異物10の検出が不安定であった。これに対して、EB−TDIを用いると、小さい異物10の弱い信号のS/Nを上げることが可能である。したがって、より高い感度を得ることができる。S/Nの向上は1.2〜2倍に達する。   Here, an example in which EB-TDI is applied to the detector 70 will be described. EB-TDI does not require a photoelectric conversion mechanism / light transmission mechanism. Electrons enter the EB-TDI sensor surface directly. Therefore, there is no deterioration in resolution, and a high MTF (Modulation Transfer Function) and contrast can be obtained. Conventionally, detection of the small foreign material 10 has been unstable. On the other hand, when EB-TDI is used, it is possible to increase the S / N of the weak signal of the small foreign material 10. Therefore, higher sensitivity can be obtained. The improvement in S / N reaches 1.2 to 2 times.

また、EB−TDIの他に、EB−CCDが備えられてよい。EB−TDIとEB−CCDが交換可能であり、任意に切り替えられてよい。このような構成を用いることも有効である。例えば、図26に示すような使用方法が適用される。   In addition to the EB-TDI, an EB-CCD may be provided. EB-TDI and EB-CCD are interchangeable and may be switched arbitrarily. It is also effective to use such a configuration. For example, a usage method as shown in FIG. 26 is applied.

図26は、EB−TDI72と、EB−CCD71を切り替え可能な検出器70を示す。2つのセンサは用途に応じて交換可能であり、両方のセンサを使うことができる。   FIG. 26 shows a detector 70 capable of switching between the EB-TDI 72 and the EB-CCD 71. The two sensors can be exchanged depending on the application, and both sensors can be used.

図26において、検出器70は、真空容器75に設置されたEB−CCD71及びEB−TDI72を備える。EB−CCD71及びEB−TDI72は、電子ビームを受け取る電子センサである。電子ビームeは検出面に直接に入射される。この構成においては、EB−CCD71は、電子ビームの光軸調整を行うために使用され、また、画像撮像条件の調整と最適化を行うために使用される。一方、EB−TDI72を使用する場合には、EB−CCD71が移動機構Mによって光軸から離れた位置に移動される。それから、EB−CCD71を使用することにより求められた条件を使用し、又は参考にして、EB−TDI72により撮像が行われる。画像を用いて、評価又は測定が行われる。なお、移動機構Mは、EB−CCD71を移動させる方向(X方向)だけでなく、3軸(例えばX、Y、Z方向)に移動可能に構成し、EB−CCD71の中心を電子光学系の光軸中心に対して微調整できるように構成してもよい。   In FIG. 26, the detector 70 includes an EB-CCD 71 and an EB-TDI 72 installed in a vacuum vessel 75. The EB-CCD 71 and the EB-TDI 72 are electronic sensors that receive an electron beam. The electron beam e is directly incident on the detection surface. In this configuration, the EB-CCD 71 is used for adjusting the optical axis of the electron beam, and is used for adjusting and optimizing image capturing conditions. On the other hand, when the EB-TDI 72 is used, the EB-CCD 71 is moved to a position away from the optical axis by the moving mechanism M. Then, imaging is performed by the EB-TDI 72 using or referring to the conditions obtained by using the EB-CCD 71. Evaluation or measurement is performed using the image. The moving mechanism M is configured to be movable not only in the direction in which the EB-CCD 71 is moved (X direction) but also in three axes (for example, in the X, Y, and Z directions), and the center of the EB-CCD 71 is the center of the electron optical system. You may comprise so that fine adjustment can be carried out with respect to the optical axis center.

この検出器70においては、EB−CCD71を使用することにより求められた電子光学条件を用いて又は参考にして、EB−TDI72による半導体ウエハの異物検出を行うことができる。   In this detector 70, the foreign substance detection of the semiconductor wafer by the EB-TDI 72 can be performed by using or referring to the electro-optical condition obtained by using the EB-CCD 71.

EB−TDI72による異物検査の後に、EB−CCD71を使用してレビュー撮像が行われてよく、異物種や異物サイズ等の欠陥評価が行われてよい。EB−CCD71では、画像の積算が可能である。積算によりノイズを低減可能である。したがって、高いS/Nで欠陥検出部位のレビュー撮像を行うことが可能である。更に、EB−TDI72の画素に比べてEB−CCD71の画素が小さいことが有効である。つまり、写像投影光学系で拡大された信号のサイズに対して、撮像素子のピクセル数を多くすることができる。したがって、より高い分解能を有する画像を得ることができる。この画像は、検査や欠陥の種類等の分類・判定のために用いられる。   After the foreign substance inspection by the EB-TDI 72, review imaging may be performed using the EB-CCD 71, and defect evaluation such as a foreign substance type and a foreign substance size may be performed. The EB-CCD 71 can integrate images. Noise can be reduced by integration. Therefore, it is possible to perform review imaging of a defect detection site with high S / N. Furthermore, it is effective that the pixels of the EB-CCD 71 are smaller than the pixels of the EB-TDI 72. That is, the number of pixels of the image sensor can be increased with respect to the size of the signal enlarged by the mapping projection optical system. Therefore, an image having higher resolution can be obtained. This image is used for classification and determination of inspection, defect type, and the like.

EB−TDI72は、画素を二次元的に配列した構成を有し、例えば矩形形状を有している。これにより、EB−TDI172は、電子ビームeを直接受け取って電子像を形成可能である。画素サイズは、例えば12〜16〔μm〕である。一方、EB−CCD71の画素サイズは、例えば6〜8〔μm〕である。   The EB-TDI 72 has a configuration in which pixels are two-dimensionally arranged, and has, for example, a rectangular shape. As a result, the EB-TDI 172 can directly receive the electron beam e and form an electronic image. The pixel size is, for example, 12 to 16 [μm]. On the other hand, the pixel size of the EB-CCD 71 is, for example, 6 to 8 [μm].

また、EB−TDI72は、パッケージの形に形成される。パッケージ自体が、フィードスルーFTの役目を果たす。パッケージのピン73は、大気側にてカメラ74に接続される。   The EB-TDI 72 is formed in the form of a package. The package itself serves as a feedthrough FT. The package pins 73 are connected to the camera 74 on the atmosphere side.

図26に示す構成は、種々の欠点を解消できる。解消される欠点は、FOP、ハーメチック用の光学ガラス、光学レンズ等による光変換損失、光伝達時の収差及び歪み、それによる画像分解能劣化、検出不良、高コスト、大型化等である。   The configuration shown in FIG. 26 can solve various drawbacks. Disadvantages to be solved are optical conversion loss due to FOP, hermetic optical glass, optical lenses, and the like, aberrations and distortion during light transmission, resulting in image resolution degradation, poor detection, high cost, large size, and the like.

図27は、本発明が適用された電子線検査装置を示す。ここでは、全体的なシステム構成の例について説明する。   FIG. 27 shows an electron beam inspection apparatus to which the present invention is applied. Here, an example of the overall system configuration will be described.

図27において、異物検査装置は、試料キャリア190と、ミニエンバイロメント180と、ロードロック162と、トランスファーチャンバ161と、メインチャンバ160と、電子線コラム系100と、画像処理装置90を有する。ミニエンバイロメント180には、大気中の搬送ロボット、試料アライメント装置、クリーンエアー供給機構等が設けられる。トランスファーチャンバ161には、真空中の搬送ロボットが設けられる。常に真空状態のトランスファーチャンバ161にロボットが配置されるので、圧力変動によるパーティクル等の発生を最小限に抑制することが可能である。   27, the foreign matter inspection apparatus includes a sample carrier 190, a mini-environment 180, a load lock 162, a transfer chamber 161, a main chamber 160, an electron beam column system 100, and an image processing apparatus 90. The mini-environment 180 is provided with a transfer robot in the atmosphere, a sample alignment device, a clean air supply mechanism, and the like. The transfer chamber 161 is provided with a transfer robot in vacuum. Since the robot is always placed in the transfer chamber 161 in a vacuum state, it is possible to minimize the generation of particles and the like due to pressure fluctuations.

メインチャンバ160には、x方向、y方向及びθ(回転)方向に移動するステージ30が設けられ、ステージ30の上に静電チャックが設置されている。静電チャックには試料20そのものが設置される。または、試料20は、パレットや冶具に設置された状態で静電チャックに保持される。   The main chamber 160 is provided with a stage 30 that moves in the x direction, the y direction, and the θ (rotation) direction, and an electrostatic chuck is installed on the stage 30. The sample 20 itself is installed on the electrostatic chuck. Or the sample 20 is hold | maintained at an electrostatic chuck in the state installed in the pallet or the jig.

メインチャンバ160は、真空制御系150により、チャンバ内を真空状態が保たれるように制御される。また、メインチャンバ160、トランスファーチャンバ161及びロードロック162は、除振台170上に載置され、床からの振動が伝達されないように構成されている。   The main chamber 160 is controlled by the vacuum control system 150 so that a vacuum state is maintained in the chamber. Further, the main chamber 160, the transfer chamber 161, and the load lock 162 are placed on the vibration isolation table 170 so that vibration from the floor is not transmitted.

また、メインチャンバ160には電子コラム100が設置されている。この電子コラム100は、1次光学系40及び2次光学系60のコラムと、試料20からの2次放出電子またはミラー電子等を検出する検出器70を備えている。検出器70からの信号は、画像処理装置90に送られて処理される。オンタイムの信号処理及びオフタイムの信号処理の両方が可能である。オンタイムの信号処理は、検査を行っている間に行われる。オフタイムの信号処理を行う場合、画像のみが取得され、後で信号処理が行われる。画像処理装置90で処理されたデータは、ハードディスクやメモリなどの記録媒体に保存される。また、必要に応じて、コンソールのモニタにデータを表示することが可能である。表示されるデータは、例えば、検査領域、異物数マップ、異物サイズ分布/マップ、異物分類、パッチ画像等である。このような信号処理を行うため、システムソフト140が備えられている。また、電子コラム系に電源を供給すべく、電子光学系制御電源130が備えられている。また、メインチャンバ160には、光学顕微鏡110や、SEM式検査装置120が備えられていてもよい。   In addition, an electronic column 100 is installed in the main chamber 160. The electron column 100 includes columns of the primary optical system 40 and the secondary optical system 60 and a detector 70 that detects secondary emission electrons or mirror electrons from the sample 20. The signal from the detector 70 is sent to the image processing device 90 for processing. Both on-time signal processing and off-time signal processing are possible. On-time signal processing is performed during the inspection. When performing off-time signal processing, only an image is acquired and signal processing is performed later. Data processed by the image processing apparatus 90 is stored in a recording medium such as a hard disk or memory. Moreover, it is possible to display data on the monitor of the console as necessary. The displayed data includes, for example, an inspection area, a foreign matter number map, a foreign matter size distribution / map, a foreign matter classification, a patch image, and the like. In order to perform such signal processing, system software 140 is provided. An electron optical system control power supply 130 is provided to supply power to the electron column system. Further, the main chamber 160 may be provided with the optical microscope 110 and the SEM type inspection device 120.

図28は、同一のメインチャンバ160に、写像光学式検査装置の電子コラム100と、SEM式検査装置120とを設置する場合の構成の一例を示している。図28に示すように、写像光学式検査装置と、SEM式検査装置120が同一のチャンバ160に設置されていると、大変有利である。同一のステージ30に試料20が搭載されており、試料20に対して、写像方式とSEM方式の両方での観察又は検査が可能となる。この構成の使用方法と利点は、以下の通りである。   FIG. 28 shows an example of a configuration when the electronic column 100 of the mapping optical inspection apparatus and the SEM inspection apparatus 120 are installed in the same main chamber 160. As shown in FIG. 28, it is very advantageous if the mapping optical inspection device and the SEM inspection device 120 are installed in the same chamber 160. The sample 20 is mounted on the same stage 30, and the sample 20 can be observed or inspected by both the mapping method and the SEM method. The usage and advantages of this configuration are as follows.

まず、試料20が同一のステージ30に搭載されているので、試料20が写像方式の電子コラム100とSEM式検査装置120との間を移動したときに、座標関係が一義的に求まる。したがって、異物の検出箇所等を特定するときに、2つの検査装置が同一部位の特定を高精度で容易に行うことができる。   First, since the sample 20 is mounted on the same stage 30, when the sample 20 moves between the mapping type electronic column 100 and the SEM type inspection apparatus 120, the coordinate relationship is uniquely obtained. Therefore, when specifying a foreign matter detection location or the like, the two inspection devices can easily specify the same location with high accuracy.

上記構成が適用されなかったとする。例えば、写像式光学検査装置とSEM式検査装置120が別々の装置として分離して構成される。そして、分離された別々の装置間で、試料20が移動される。この場合、別々のステージ30に試料20の設置を行う必要があるので、2つの装置が試料20のアライメントを別個に行う必要がある。また、試料20のアライメントが別々に行われる場合、同一位置の特定誤差は、5〜10〔μm〕となってしまう。特に、パターンのない試料20の場合には、位置基準が特定できないので、その誤差は更に大きくなる。   Assume that the above configuration is not applied. For example, the mapping optical inspection device and the SEM inspection device 120 are configured separately as separate devices. Then, the sample 20 is moved between the separated devices. In this case, since it is necessary to place the sample 20 on different stages 30, it is necessary for the two apparatuses to perform alignment of the sample 20 separately. Further, when the alignment of the sample 20 is performed separately, the specific error at the same position is 5 to 10 [μm]. In particular, in the case of the sample 20 having no pattern, since the position reference cannot be specified, the error is further increased.

一方、本実施の形態では、図28に示すように、2種類の検査において、同一のチャンバ160のステージ30に試料20が設置される。写像方式の電子コラム100とSEM式検査装置120との間でステージ30が移動した場合でも、高精度で同一位置を特定可能である。よって、パターンのない試料20の場合でも、高精度で位置の特定が可能となる。例えば、1〔μm〕以下の精度での位置の特定が可能である。   On the other hand, in the present embodiment, as shown in FIG. 28, the sample 20 is placed on the stage 30 of the same chamber 160 in two types of inspection. Even when the stage 30 moves between the mapping-type electronic column 100 and the SEM inspection apparatus 120, the same position can be specified with high accuracy. Therefore, even in the case of the sample 20 without a pattern, the position can be specified with high accuracy. For example, the position can be specified with an accuracy of 1 [μm] or less.

このような高精度の特定は、以下の場合に大変有利である。まず、パターンの無い試料20の異物検査が写像方式で行われる。それから、検出した異物10の特定及び詳細観察(レビュー)が、SEM式検査装置120で行われる。正確な位置の特定ができるので、異物10の存在の有無(無ければ疑似検出)が判断できるだけでなく、異物10のサイズや形状の詳細観察を高速に行うことが可能となる。   Such high-precision identification is very advantageous in the following cases. First, the foreign substance inspection of the sample 20 without a pattern is performed by a mapping method. Then, identification and detailed observation (review) of the detected foreign matter 10 are performed by the SEM type inspection apparatus 120. Since an accurate position can be specified, it is possible not only to determine the presence or absence of the foreign material 10 (pseudo detection if there is no foreign material), but also to perform detailed observation of the size and shape of the foreign material 10 at high speed.

前述したように、異物検出用の電子コラム100と、レビュー用のSEM式検査装置120が別々に設けられると、異物10の特定に多くの時間を費やしてしまう。また、パターンのない試料の場合は、その困難度合いが高まる。このような問題が本実施の形態により解決される。   As described above, if the electronic column 100 for foreign matter detection and the SEM inspection device 120 for review are provided separately, it takes a lot of time to identify the foreign matter 10. In the case of a sample having no pattern, the degree of difficulty increases. Such a problem is solved by this embodiment.

以上に説明したように、本実施の形態では、写像光学方式による異物10の撮像条件を用いて、超微小な異物10が高感度で検査される。さらに、写像光学方式の電子コラム100とSEM式検査装置120が同一チャンバ160に搭載される。これにより、特に、30〔nm〕以下の超微小な異物10の検査と、異物10の判定及び分類を、大変効率良く、高速に行うことができる。なお、本実施形態は、前述した実施形態1〜28、及び番号を付していない実施形態にも適用できる。   As described above, in the present embodiment, the ultrafine foreign matter 10 is inspected with high sensitivity using the imaging condition of the foreign matter 10 by the mapping optical method. Further, the mapping optical type electronic column 100 and the SEM type inspection device 120 are mounted in the same chamber 160. Thereby, in particular, the inspection of the ultrafine foreign material 10 of 30 [nm] or less and the determination and classification of the foreign material 10 can be performed very efficiently and at high speed. In addition, this embodiment is applicable also to Embodiment 1-28 mentioned above and embodiment which does not attach | subject the number.

次に、写像投影型検査装置とSEMの両方を用いる検査の別の例について説明する。   Next, another example of inspection using both the projection type inspection apparatus and the SEM will be described.

上述では、写像投影型検査装置が異物を検出し、SEMがレビュー検査を行う。しかし、本発明はこれに限定されない。2つの検査装置が別の検査方法に適用されてよい。それぞれの検査装置の特徴を組み合わせることにより、効果的な検査が可能となる。別の検査方法は、例えば、以下の通りである。   In the above description, the projection type inspection apparatus detects a foreign object, and the SEM performs a review inspection. However, the present invention is not limited to this. Two inspection devices may be applied to different inspection methods. By combining the characteristics of each inspection apparatus, an effective inspection can be performed. Another inspection method is as follows, for example.

この検査方法では、写像投影型検査装置とSEMが、異なる領域の検査を行う。更に、写像投影型検査装置に「セルtoセル(cell to cell)」検査が適用され、SEMに「ダイtoダイ(die to die)」検査が適用され、全体として効率よく高精度の検査を実現される。   In this inspection method, the projection type inspection apparatus and the SEM inspect different areas. Furthermore, "cell to cell" inspection is applied to the projection type inspection apparatus, and "die to die" inspection is applied to the SEM, so that high-accuracy inspection can be realized efficiently as a whole. Is done.

より詳細には、写像投影型検査装置が、ダイの中で繰返しパターンが多い領域に対して、「セルtoセル」の検査を行う。そして、SEMが、繰返しパターンが少ない領域に対して、「ダイtoダイ」の検査を行う。それら両方の検査結果が合成されて、1つの検査結果が得られる。「ダイtoダイ」は、順次得られる2つのダイの画像を比較する検査である。「セルtoセル」は、順次得られる2つのセルの画像を比較する検査であり、セルは、ダイの中の一部である。   More specifically, the mapping projection inspection apparatus performs “cell-to-cell” inspection on an area having a large number of repeated patterns in the die. Then, the SEM performs “die-to-die” inspection on an area where there are few repetitive patterns. Both of the inspection results are combined to obtain one inspection result. “Die-to-die” is an inspection in which images of two dies obtained sequentially are compared. A “cell to cell” is an inspection that compares images of two cells obtained sequentially, and the cell is a part of the die.

上記の検査方法は、繰返しパターン部分では、写像投影方式を用いて高速な検査を実行し、一方、繰返しパターンが少ない領域では、高精度で疑似が少ないSEMで検査を実行する。SEMは高速な検査に向かない。しかし、繰返しパターンが少ない領域は比較的狭いので、SEMの検査時間が長くなりすぎずにすむ。したがって、全体の検査時間を少なく抑えられる。こうして、この検査方法は、2つの検査方式のメリットを最大に活かし、高精度な検査を短い検査時間で行うことができる。   In the inspection method described above, a high-speed inspection is performed using a mapping projection method in a repetitive pattern portion, while an inspection is performed with an SEM with high accuracy and less pseudo in an area where the repetitive pattern is small. SEM is not suitable for high-speed inspection. However, since the region with few repeating patterns is relatively narrow, the SEM inspection time does not become too long. Therefore, the entire inspection time can be reduced. Thus, this inspection method can make the most of the merit of the two inspection methods and perform a highly accurate inspection in a short inspection time.

次に、図27に戻り、試料20の搬送機構について説明する。   Next, returning to FIG. 27, the transport mechanism of the sample 20 will be described.

ウエハ、マスクなどの試料20は、ロードポートより、ミニエンバイロメント180中に搬送され、その中でアライメント作業がおこなわれる。試料20は、大気中の搬送ロボットにより、ロードロック162に搬送される。ロードロック162は、大気から真空状態へと、真空ポンプにより排気される。圧力が、一定値(1〔Pa〕程度)以下になると、トランスファーチャンバ161に配置された真空中の搬送ロボットにより、ロードロック162からメインチャンバ160に、試料20が搬送される。そして、ステージ30上の静電チャック機構上に試料20が設置される。   A sample 20 such as a wafer or mask is transferred from the load port into the mini-environment 180, and alignment work is performed therein. The sample 20 is transferred to the load lock 162 by a transfer robot in the atmosphere. The load lock 162 is exhausted from the atmosphere to a vacuum state by a vacuum pump. When the pressure becomes a certain value (about 1 [Pa]) or less, the sample 20 is transferred from the load lock 162 to the main chamber 160 by the transfer robot in vacuum arranged in the transfer chamber 161. Then, the sample 20 is placed on the electrostatic chuck mechanism on the stage 30.

(光+EB 照射式)
1次系を2種類有する場合の実施形態について述べる。
(Light + EB irradiation type)
An embodiment in which there are two types of primary systems will be described.

光またはレーザ照射による光電子像と電子ビーム照射による2次放出電子および/またはミラー電子(ミラー電子を有する場合とない場合とがある)の組合せによる像形成を行うことも大変有効である。ここで、2次放出電子とは、2次電子、反射電子、後方散乱電子の一部または混在した状態をいう。特に、低LE時には、それらの区別が難しい。   It is also very effective to form an image by a combination of a photoelectron image by light or laser irradiation and secondary emission electrons and / or mirror electrons (which may or may not have mirror electrons) by electron beam irradiation. Here, secondary emission electrons refer to a state in which secondary electrons, reflected electrons, and backscattered electrons are partially or mixed. In particular, at low LE, it is difficult to distinguish them.

図7〜図9の光またはレーザを試料に照射する形態と図10a〜図19の1次系に電子ビームで試料を照射する形態の融合を行った形態である。実施形態の例を図29、図30、図31に示す。試料が凹凸形状の場合の例を以下に述べる。   It is the form which united the form which irradiates a sample with the light or laser of FIGS. 7-9, and the form which irradiates a sample with an electron beam to the primary system of FIGS. 10a-19. Examples of the embodiment are shown in FIG. 29, FIG. 30, and FIG. An example in the case where the sample is uneven is described below.

この例は、1次ビームとして、レーザ照射(または光)と電子ビーム照射を同時に行うときの例である。照射方式としては、同時、時間的に交互に照射等が可能である。このときのレーザ照射と電子ビーム照射を行ったときの特徴をそれぞれ述べ、融合したときに起こる効果・作用を述べる。   In this example, laser irradiation (or light) and electron beam irradiation are simultaneously performed as a primary beam. As an irradiation method, irradiation can be performed simultaneously and alternately in time. The characteristics of laser irradiation and electron beam irradiation at this time are described, and the effects and actions that occur when they are fused are described.

レーザ照射を行ったときtop層(凸部)の光電子量が多く白信号で、電子ビームが照射されたときにtop層の2次放出電子が多く白信号の場合、光電子像と2次放出電子像を組み合わせることで、top層の電子量を増加でき(光電子白+2次放出電子および/またはミラー電子白)、つまり、top層(凸部)が白、凹部が黒となる像が形成でき、コントラストおよびS/Nの増加が可能となる。   When the top layer (convex part) has a large amount of photoelectrons when the laser irradiation is performed and a white signal is emitted, and when the electron beam is irradiated, the top layer has a large number of secondary emission electrons and a white signal, the photoelectron image and the secondary emission electrons are generated. By combining the images, the amount of electrons in the top layer can be increased (photoelectron white + secondary emission electrons and / or mirror electron white), that is, an image in which the top layer (convex portion) is white and the concave portion is black can be formed. The contrast and S / N can be increased.

反対に、凹部の光電子が多く凹部が白信号で、2次放出電子の凹部の電子量が多く凹部が白信号で観察される場合、レーザ照射と電子ビーム照射を同時に行うと(組合せ)、凹部が白(光電子白+2次尾表出電子および/またはミラー電子白)、top層(凸部)黒で形成される像のコントラストおよびS/Nを増加することができる。このとき、白信号とは、他の部位に比べて検出される電子数が多く、相対的に輝度が高い、つまり、白で撮像可能であるということである。   On the other hand, when the concave portion has many photoelectrons and the concave portion is observed with a white signal and the amount of secondary emitted electron concave portions is large and the concave portion is observed with a white signal, if laser irradiation and electron beam irradiation are performed simultaneously (combination), the concave portion Can increase the contrast and S / N of an image formed with white (photoelectron white + secondary tail-exposed electrons and / or mirror electron white) and top layer (convex portion) black. At this time, the white signal means that the number of electrons detected is larger than that of other parts and the luminance is relatively high, that is, it is possible to capture white.

図10(a)に示すように、電子ビームを用いる場合は2次ビームとの分離を行うため(2次ビームの直進を行うウィーンフィルタ条件等を用いる)、E×B等の電子ビーム分離器が必ず必要となる。そのため、電子ビームとレーザまたは光ビームを融合した形態にも、そのような電子ビーム分離器が必要となる。図29、図30、図31にはその例を示している。   As shown in FIG. 10 (a), when an electron beam is used, it is separated from the secondary beam (using Wien filter conditions for linearly traveling the secondary beam, etc.), so that an electron beam separator such as E × B is used. Is absolutely necessary. Therefore, such an electron beam separator is also required in a form in which an electron beam and a laser or light beam are fused. Examples thereof are shown in FIG. 29, FIG. 30, and FIG.

図29、図30と図31の違いは、次の通りである。図29と図30は、E×Bよりも試料側にてレーザー(または光)の導入する機構を有している。そして、図31はE×Bよりも検出器側でレーザー(または光)を導入する機構を有しているのである。例えば、図29、図30では、カソードレンズにレーザ導入用の穴を設けて、チャンバ外部にてミラー等でアライメント調整された状態でレーザを試料に照射する方式や、ファイバ+レンズ等をカソードレンズに導入して、レーザ照射を行う等が可能である。また、図31は、ミラー部材を2次系のコラム中に設置して、コラム外部からレーザを導入して試料にレーザ(または光)を照射することが可能となる。図31は、レーザ照射と電子ビーム照射による凸部の電子量が多い(白信号)場合を示しているが、その反対の凹部の電子量が多い(白信号)場合も図29と同様に行うことが可能である。   The difference between FIG. 29, FIG. 30 and FIG. 31 is as follows. 29 and 30 have a mechanism for introducing a laser (or light) closer to the sample side than E × B. FIG. 31 has a mechanism for introducing a laser (or light) closer to the detector than E × B. For example, in FIGS. 29 and 30, a laser introduction hole is provided in the cathode lens, and a laser is irradiated onto the sample in a state where alignment is adjusted by a mirror or the like outside the chamber, or a fiber + lens or the like is used as the cathode lens. In this case, laser irradiation can be performed. Further, in FIG. 31, a mirror member is installed in a secondary column, and a laser can be introduced from outside the column to irradiate the sample with laser (or light). FIG. 31 shows the case where the amount of electrons in the convex portion due to laser irradiation and electron beam irradiation is large (white signal), but the case where the amount of electron in the opposite concave portion is large (white signal) is also performed in the same manner as in FIG. It is possible.

また、1次系の電子ビームについては、図12〜図18に示すような実施形態で説明した電子ビームを用いるとより有効である。大電流で狭帯域エネルギの電子ビームを照射できるので、形成される2次放出電子やミラー電子のエネルギが狭帯域となり、収差とボケの少ない高解像度の像を実現できる。また、レーザ照射による光電子のエネルギは2次放出電子よりも狭帯域であるため、融合/組合せを行ってもエネルギの狭帯域状態を保持してできるため、電子量は増加するがエネルギ幅は広がらないですむというメリットがある。これは、スループットをあげるために照射するレーザや電子ビームを増加したときに、像質を劣化させないで実現できるので大変有効・有用である。   For the primary electron beam, it is more effective to use the electron beam described in the embodiment as shown in FIGS. Since an electron beam having a narrow band energy can be irradiated with a large current, the energy of secondary emission electrons and mirror electrons formed is narrow, and a high resolution image with less aberration and blur can be realized. In addition, since the energy of photoelectrons by laser irradiation is narrower than that of secondary emission electrons, it is possible to maintain a narrow band of energy even if fusion / combination is performed, so that the amount of electrons increases but the energy width increases. There is a merit that it is not necessary. This is very effective and useful because it can be realized without degrading the image quality when the number of lasers or electron beams to be irradiated is increased in order to increase the throughput.

また、逆に光電子が白、2次放出電子が黒の場合の組合せも可能である。この場合、組み合わされた像はグレーつまり、白と黒の中間色となり、パターンの解像度、コントラストは低下する。このとき、欠陥だけが白信号が強くなる、または黒が強くなる観察を行うことが可能となる。このとき、例えば、光照射に感度の高い欠陥であれば、光電子量の増減により白または黒の信号形成が行える。また、電子照射による感度の高い欠陥であれば、2次放出電子の電子量の増減により、白または黒信号形成を行うことが可能となる。   Conversely, a combination in which photoelectrons are white and secondary emission electrons are black is also possible. In this case, the combined image is gray, that is, an intermediate color between white and black, and the resolution and contrast of the pattern are lowered. At this time, it becomes possible to perform an observation in which only a defect has a strong white signal or black. At this time, for example, if the defect is highly sensitive to light irradiation, a white or black signal can be formed by increasing or decreasing the amount of photoelectrons. In addition, if the defect has high sensitivity due to electron irradiation, white or black signal formation can be performed by increasing or decreasing the amount of secondary emitted electrons.

また、光電子が黒、2次放出電子が白の場合の組合せも同様に可能である。EUVマスクの例では、top層のTaBOと凹部のRuに対して下記の組み合わせを行うことが可能となる。   A combination in the case where the photoelectrons are black and the secondary emission electrons are white is also possible. In the example of the EUV mask, the following combinations can be performed on TaBO of the top layer and Ru of the recess.

(Ru白/TaBO黒の光電子像と2次放出電子および/またはミラー電子による像の組合せ・Ru黒/TaBO白の光電子像と2次放出電子および/またはミラー電子像の組合せ)
これにより、高いコントラストとS/Nを実現でき、感度の高いパターン欠陥の検査および異物の検査を行うことが可能となる。
(Combination of Ru white / TaBO black photoelectron image and secondary emission electron and / or mirror electron image / Ru black / TaBO white photoelectron image and secondary emission electron and / or mirror electron image)
As a result, high contrast and S / N can be realized, and it is possible to perform highly sensitive pattern defect inspection and foreign matter inspection.

低LE像に対して、酸化膜電位安定化を光照射で行う。電子照射エネルギ−5eV<LE<10eVである、低LEの像に対して、特に、top層の材質が酸化膜のときに大変有効である。top層が酸化膜のとき、低LE電子線照射により、酸化膜は負の電圧に帯電が起こる。その影響により像質劣化が起こる、また、電流密度を上げられないことが起こる。このとき、UV、DUV、EUV、X線等の光またはレーザの照射を行い、該酸化膜の電位を制御することができる。これらの光を照射すると光電子が発生することにより、正の帯電を起こすことが可能である。よって、低LEとこれらの光またはレーザの明射を同時または間欠的に行うことにより、酸化膜の電位を一定に制御することが可能となるのである。一定に保たれたことにより、像質が安定し、電流密度を増やしても安定した像形成が可能となるので、スループット向上が可能となる。   For the low LE image, the oxide film potential is stabilized by light irradiation. This is particularly effective for low LE images where the electron irradiation energy is -5 eV <LE <10 eV, particularly when the top layer is made of an oxide film. When the top layer is an oxide film, the oxide film is charged to a negative voltage due to low LE electron beam irradiation. As a result, the image quality deteriorates and the current density cannot be increased. At this time, irradiation with light such as UV, DUV, EUV, and X-rays or laser can be performed to control the potential of the oxide film. When these lights are irradiated, photoelectrons are generated, so that positive charging can be caused. Therefore, the potential of the oxide film can be controlled to be constant by performing the low LE and the light or laser light simultaneously or intermittently. By being kept constant, the image quality is stable, and even if the current density is increased, stable image formation is possible, so that throughput can be improved.

以上、本発明の実施の形態を例示により説明したが、本発明の範囲はこれらに限定されるものではなく、請求項に記載された範囲内において目的に応じて変更・変形することが可能である。   The embodiments of the present invention have been described above by way of example, but the scope of the present invention is not limited to these embodiments, and can be changed or modified according to the purpose within the scope of the claims. is there.

以上のように、本発明にかかる検査装置は、検査対象の表面に形成されたパターンの欠陥等を検査する半導体検査装置等として有用である。   As described above, the inspection apparatus according to the present invention is useful as a semiconductor inspection apparatus or the like for inspecting a defect or the like of a pattern formed on a surface to be inspected.

Claims (5)

荷電粒子又は電磁波の何れかをビームとして発生させるビーム発生手段と、
ワーキングチャンバ内に保持した検査対象に、前記ビームを導き照射する1次光学系と、
前記検査対象から発生した二次荷電粒子を検出する2次光学系と、
検出された前記二次荷電粒子に基づいて画像を形成する画像処理系と、
を備え、
前記1次光学系は
前記検査対象に撮像用のビームを照射する前に、前記検査対象に帯電用のビームを照射するためのプレチャージユニットと、
前記撮像用のビームおよび前記帯電用のビームを発生させるための光電子面を有する光電子発生装置と、
を有し、
前記光電子面の母材には、石英より熱伝導率の高い材料が用いられることを特徴とする検査装置。
Beam generating means for generating either charged particles or electromagnetic waves as a beam;
A primary optical system for directing and irradiating the beam onto an inspection object held in a working chamber;
A secondary optical system for detecting secondary charged particles generated from the inspection object;
An image processing system for forming an image based on the detected secondary charged particles;
With
Said primary optical system,
A precharge unit for irradiating the inspection object with a charging beam before irradiating the inspection object with an imaging beam;
A photoelectron generator having a photoelectron surface for generating the imaging beam and the charging beam ;
Have
An inspection apparatus characterized in that a material having higher thermal conductivity than quartz is used for the base material of the photoelectron surface.
前記光電子面の母材には、サファイア、または、ダイアモンドが用いられることを特徴とする請求項1に記載の検査装置。   The inspection apparatus according to claim 1, wherein sapphire or diamond is used as a base material of the photoelectron surface. 前記光電子面の形状は、直径が10μm〜200μmの円形、又は、一辺が10μm〜200μmの矩形であることを特徴とする請求項1または請求項2に記載の検査装置。   3. The inspection apparatus according to claim 1, wherein a shape of the photoelectron surface is a circle having a diameter of 10 μm to 200 μm or a rectangle having a side of 10 μm to 200 μm. 前記光電子面には、光電子材料がコーティングされており、前記光電子材料としては、ルテニウム、または、金が用いられることを特徴とする請求項1〜請求項3のいずれかに記載の検査装置。   4. The inspection apparatus according to claim 1, wherein the photoelectron surface is coated with a photoelectron material, and ruthenium or gold is used as the photoelectron material. 前記光電子材料の厚さは、5nm〜100nmであることを特徴とする請求項4に記載の検査装置。
The inspection apparatus according to claim 4, wherein the thickness of the optoelectronic material is 5 nm to 100 nm.
JP2012203375A 2012-09-14 2012-09-14 Inspection device Active JP6017902B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2012203375A JP6017902B2 (en) 2012-09-14 2012-09-14 Inspection device
TW102129102A TWI617805B (en) 2012-09-14 2013-08-14 Inspection device
KR1020130109870A KR102145469B1 (en) 2012-09-14 2013-09-12 Inspection apparatus
US14/026,385 US8742344B2 (en) 2012-09-14 2013-09-13 Inspection apparatus
US14/258,607 US8946629B2 (en) 2012-09-14 2014-04-22 Inspection apparatus
US14/571,594 US20150097116A1 (en) 2012-09-14 2014-12-16 Inspection apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012203375A JP6017902B2 (en) 2012-09-14 2012-09-14 Inspection device

Publications (2)

Publication Number Publication Date
JP2014060001A JP2014060001A (en) 2014-04-03
JP6017902B2 true JP6017902B2 (en) 2016-11-02

Family

ID=50616300

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012203375A Active JP6017902B2 (en) 2012-09-14 2012-09-14 Inspection device

Country Status (1)

Country Link
JP (1) JP6017902B2 (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759800B1 (en) * 1999-07-29 2004-07-06 Applied Materials, Inc. Diamond supported photocathodes for electron sources
JP3569747B2 (en) * 2001-09-17 2004-09-29 独立行政法人物質・材料研究機構 Cooled high-quantum-efficiency photocathode-type electron beam source, its fabrication method, laser beam irradiation method
JP2004363085A (en) * 2003-05-09 2004-12-24 Ebara Corp Inspection apparatus by charged particle beam and method for manufacturing device using inspection apparatus

Also Published As

Publication number Publication date
JP2014060001A (en) 2014-04-03

Similar Documents

Publication Publication Date Title
KR102145469B1 (en) Inspection apparatus
JP6294130B2 (en) Inspection device
JP6220423B2 (en) Inspection device
US9601302B2 (en) Inspection apparatus
JP6677657B2 (en) Inspection device
JP2014239050A (en) Inspection apparatus by charged particle ray and device manufacturing method using the same
WO2016143450A1 (en) Inspection device
JP2016127023A (en) Inspection apparatus
JP2017126498A (en) Inspection device and inspection method
JP2016143651A (en) Inspection apparatus and inspection method
JP6460806B2 (en) Electro-optical device and inspection device
JP6267445B2 (en) Inspection device
JP5969336B2 (en) Inspection device
JP2018010714A (en) Wien filter
JP6017902B2 (en) Inspection device
JP6573835B2 (en) Inspection apparatus and high-pressure reference pipe manufacturing method
JP6581783B2 (en) Electron beam inspection equipment
JP2017123271A (en) Magnetic field lens, inspecting apparatus having the same, and method of manufacturing foil coil
JP6794516B2 (en) Inspection equipment
JP2017126428A (en) Inspection device
JP2017135046A (en) Inspection apparatus
JP6664223B2 (en) Electron gun and inspection device having the same
JP2017126476A (en) Zoom lens and inspection device
JP2017126444A (en) Inspection device and inspection method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150420

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160307

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160830

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160929

R150 Certificate of patent or registration of utility model

Ref document number: 6017902

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250