JP5959138B2 - Semiconductor wafer handling equipment - Google Patents

Semiconductor wafer handling equipment Download PDF

Info

Publication number
JP5959138B2
JP5959138B2 JP2008558497A JP2008558497A JP5959138B2 JP 5959138 B2 JP5959138 B2 JP 5959138B2 JP 2008558497 A JP2008558497 A JP 2008558497A JP 2008558497 A JP2008558497 A JP 2008558497A JP 5959138 B2 JP5959138 B2 JP 5959138B2
Authority
JP
Japan
Prior art keywords
wafer
sensors
semiconductor wafer
interior
inlets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008558497A
Other languages
Japanese (ja)
Other versions
JP2009529248A (en
Inventor
キーレイ,クリス
ファン,デル,ミューレン,ぺーター
パネッセ,パトリック,ディー
ブーザン,フォレスト
フォーゲル,ポール,イー
Original Assignee
ブルックス オートメーション インコーポレイテッド
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド, ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2009529248A publication Critical patent/JP2009529248A/en
Application granted granted Critical
Publication of JP5959138B2 publication Critical patent/JP5959138B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)
  • Human Computer Interaction (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Nitrogen And Oxygen Or Sulfur-Condensed Heterocyclic Ring Systems (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Element Separation (AREA)

Description

関連出願への相互参照
本出願は、以下の米国出願について優先権を主張するものである:2006年3月5日出願の米国仮出願第60/779,684号、2006年3月5日出願の米国仮出願第60/779,707号、2006年3月5日出願の米国仮出願第60/779,478号、2006年3月5日出願の米国仮出願第60/779,463号、2006年3月5日出願の米国仮出願第60/779,609号、2006年3月21日出願の米国仮出願第60/784,832号、2006年5月1日出願の米国仮出願第60/746,163号、2006年7月12日出願の米国仮出願第60/807,189号、及び2006年8月24日出願の米国仮出願第60/823,454号。
CROSS REFERENCE TO RELATED APPLICATIONS This application claims priority to the following US applications: US Provisional Application No. 60 / 779,684, filed March 5, 2006, United States Application, filed March 5, 2006 Provisional Application No. 60 / 779,707, U.S. Provisional Application No. 60 / 779,478, filed Mar. 5, 2006, U.S. Provisional Application No. 60 / 779,463, filed Mar. 5, 2006, filed Mar. 5, 2006 U.S. Provisional Application No. 60 / 779,609, U.S. Provisional Application No. 60 / 784,832 filed on March 21, 2006, U.S. Provisional Application No. 60 / 746,163, filed May 1, 2006, filed on July 12, 2006 US Provisional Application No. 60 / 807,189 and US Provisional Application No. 60 / 823,454 filed August 24, 2006.

半導体製造では、ウェハその他の基板がロボット式ハンドラを使用して様々なプロセスチャンバ間で移送される。ウェハ操作の恒久的な課題は、かかるプロセスチャンバ内での正確な配置及びプロセスを可能にする十分な精度で複数のウェハ又は1つのウェハの中心を見出す必要があることにある。一般に、半導体製造システムは、様々なビーム破壊センサ装置を使用して、通過するウェハをストライプ状にしてウェハ縁部を検出する。次いでこのデータを使用して、ロボット式ハンドラに対するウェハの中心を見出すことができ、これにより、それ以降の移動及び配置を一層正確に制御することが可能となる。中心の検出は、作製にとって十分に重要なものであるため、このプロセスが常に較正され及び各ウェハのプロセス全体を通して繰り返される。   In semiconductor manufacturing, wafers and other substrates are transferred between various process chambers using robotic handlers. A permanent challenge in wafer handling is the need to find multiple wafers or a single wafer center with sufficient accuracy to allow accurate placement and processing within such a process chamber. Generally, a semiconductor manufacturing system uses various beam destruction sensor devices to detect a wafer edge by making a passing wafer a stripe. This data can then be used to find the center of the wafer relative to the robotic handler, thereby allowing more accurate control of subsequent movement and placement. Since center detection is important enough for fabrication, this process is always calibrated and repeated throughout the process for each wafer.

半導体製造プロセスでウェハの中心を定めるために様々な物理的なセンサ及び処理アルゴリズムが考案されてきたが、必要となるセンサの個数を削減し又は中心検出計算の単純さ及び/又は精度を改善する改良されたウェハ中心検出技術の必要性が依然として存在する。   Various physical sensors and processing algorithms have been devised to center the wafer in the semiconductor manufacturing process, but reduce the number of sensors required or improve the simplicity and / or accuracy of center detection calculations. There remains a need for improved wafer center detection techniques.

本書では、半導体製造で用いられる既存の技術を改良した、幾つかのウェハ中心検出方法及びシステムが開示される。   This document discloses several wafer center detection methods and systems that improve upon existing technology used in semiconductor manufacturing.

一態様では、内部と複数の入口とを有する装置内でウェハの中心を検出するための方法が提供され、前記内部がロボットアームを含み、前記装置が複数のセンサを含み、該センサの各々が、前記装置の前記内部内の所定の場所におけるウェハの存在を検出するよう構成されており、該方法は、前記複数の入口のうちの第1の入口を介して前記内部の外側からウェハを取り出し、該ウェハを前記内部内に引き込み、前記複数のセンサのうちの第1のセンサを用いて前記ウェハの存在を検出し、前記ロボットアームを回転させ、該ウェハを前記複数の入口のうちの第2の入口を介して前記内部の外側へと延伸させ、前記複数のセンサのうちの前記第1のセンサを用いて前記ウェハの不存在を検出し、及び前記複数のセンサからのセンサデータ及び前記ロボットアームからの位置データを使用して該ロボットアームに対する前記ウェハの中心の場所を判定する、という各ステップを含む。   In one aspect, a method is provided for detecting a center of a wafer in an apparatus having an interior and a plurality of inlets, wherein the interior includes a robot arm, the apparatus includes a plurality of sensors, each of the sensors , Configured to detect the presence of a wafer at a predetermined location within the interior of the apparatus, the method removing a wafer from outside the interior through a first inlet of the plurality of inlets The wafer is pulled into the interior, the presence of the wafer is detected using a first sensor of the plurality of sensors, the robot arm is rotated, and the wafer is moved to the first of the plurality of inlets. Extending outside the interior through two inlets, detecting the absence of the wafer using the first sensor of the plurality of sensors, and sensor data from the plurality of sensors; Using the location data from the serial robot arm comprising the steps of, determining the center location of the wafer relative to the robot arm.

前記複数のセンサは、光センサを含むことが可能である。前記複数のセンサは、発光ダイオードを含むことが可能である。前記複数のセンサは、オートフォーカス式フォトダイオード検出器を含むことが可能である。場所の判定は、前記ロボットアームからの位置データにカルマンフィルタを適用することを含むことが可能である。本方法は、前記センサデータに基づいてカルマンフィルタを更新させることを含むことが可能である。前記ウェハは、ほぼ円形とすることが可能である。前記ウェハは、位置合わせ用ノッチを含むことが可能である。前記複数のセンサは、発光ダイオードに対向して配置された少なくとも1つの検出器を含むことが可能であり、該発光ダイオードから該光検出器への光路が前記内部内の所定の位置を含むようにすることが可能である。前記複数のセンサは、発光ダイオードからの光が所定の場所でウェハから反射された際に該光を検出するよう配置された少なくとも1つの検出器を含むことが可能である。前記引き込みステップは、直線運動での引き込み動作を含むことが可能である。前記延伸ステップは、直線運動での延伸動作を含むことが可能である。前記回転ステップは、前記ロボットアームの中心軸を中心とした回転を含むことが可能である。   The plurality of sensors may include an optical sensor. The plurality of sensors may include a light emitting diode. The plurality of sensors may include an autofocus photodiode detector. The location determination can include applying a Kalman filter to the position data from the robot arm. The method can include updating a Kalman filter based on the sensor data. The wafer can be substantially circular. The wafer may include an alignment notch. The plurality of sensors can include at least one detector disposed opposite the light emitting diode, such that an optical path from the light emitting diode to the photodetector includes a predetermined position within the interior. It is possible to The plurality of sensors may include at least one detector arranged to detect light from the light emitting diodes when reflected from the wafer at a predetermined location. The pulling-in step can include a pull-in operation in a linear motion. The stretching step may include a stretching operation in a linear motion. The rotation step may include rotation about a central axis of the robot arm.

別の態様では、1つの内部と複数の入口とを有する装置内でウェハの中心を検出するための本書で開示される方法では、前記内部がロボットアームを含み、前記装置が複数のセンサを含み、該センサの各々が前記装置の前記内部内の所定の場所におけるウェハの存在を検出するよう構成されており、該方法が、前記複数の入口のうちの第1の入口を介して前記内部の外側からウェハを取り出し、該ウェハを前記内部内に引き込み、前記ロボットアームを回転させ、前記ウェハを前記複数の入口のうちの第2の入口を介して前記内部の外側へと延伸させ、前記引き込み、回転、及び延伸ステップ中に少なくとも1つのセンサの所定の場所におけるウェハの存在を検出し、これによりセンサデータを提供し、該センサデータ及び前記ロボットアームからの位置データを使用して該ロボットアームに対する前記ウェハの中心の場所を判定する、という各ステップを含む。   In another aspect, in a method disclosed herein for detecting a center of a wafer in an apparatus having one interior and a plurality of inlets, the interior includes a robot arm, and the apparatus includes a plurality of sensors. Each of the sensors is configured to detect the presence of a wafer at a predetermined location within the interior of the apparatus, and the method includes the interior of the interior via a first inlet of the plurality of inlets. The wafer is taken out from the outside, the wafer is drawn into the inside, the robot arm is rotated, the wafer is extended to the outside outside through the second inlet of the plurality of inlets, and the drawing is performed. Detecting the presence of a wafer at a predetermined location of at least one sensor during the rotation, stretching and stretching steps, thereby providing sensor data, the sensor data and the robot arm Using the location data from including the steps of, determining the center location of the wafer relative to the robot arm.

前記複数のセンサは、光センサを含むことが可能である。前記複数のセンサは、発光ダイオードを含むことが可能である。前記複数のセンサは、オートフォーカス式フォトダイオード検出器を含むことが可能である。場所の判定は、前記ロボットアームからの位置データにカルマンフィルタを適用することを含むことが可能である。本方法は、前記センサデータに基づいてカルマンフィルタを更新させることを含むことが可能である。前記ウェハは、ほぼ円形とすることが可能である。前記ウェハは、位置合わせ用ノッチを含むことが可能である。前記複数のセンサは、発光ダイオードに対向して配置された少なくとも1つの検出器を含むことが可能であり、該発光ダイオードから該光検出器への光路が前記内部内の所定の位置を含むようにすることが可能である。前記複数のセンサは、発光ダイオードからの光が所定の場所でウェハから反射された際に該光を検出するよう配置された少なくとも1つの検出器を含むことが可能である。前記引き込みステップは、直線運動での引き込み動作を含むことが可能である。前記延伸ステップは、直線運動での延伸動作を含むことが可能である。前記回転ステップは、前記ロボットアームの中心軸を中心とした回転を含むことが可能である。前記ウェハの存在の検出ステップは、前記複数のセンサのうちの1つにおけるウェハの不存在から存在への第1の遷移を検出し、及び前記複数のセンサのうちの前記1つにおけるウェハの存在から不存在への第2の遷移を検出することを含むことが可能であり、この場合、該第1の遷移から該第2の遷移への前記ウェハの経路は非直線的である。該経路は、前記ウェハの回転の結果として生じる少なくとも1つの弧を含むことが可能である。   The plurality of sensors may include an optical sensor. The plurality of sensors may include a light emitting diode. The plurality of sensors may include an autofocus photodiode detector. The location determination can include applying a Kalman filter to the position data from the robot arm. The method can include updating a Kalman filter based on the sensor data. The wafer can be substantially circular. The wafer may include an alignment notch. The plurality of sensors can include at least one detector disposed opposite the light emitting diode, such that an optical path from the light emitting diode to the photodetector includes a predetermined position within the interior. It is possible to The plurality of sensors may include at least one detector arranged to detect light from the light emitting diodes when reflected from the wafer at a predetermined location. The pulling-in step can include a pull-in operation in a linear motion. The stretching step may include a stretching operation in a linear motion. The rotation step may include rotation about a central axis of the robot arm. Detecting the presence of the wafer detects a first transition from absence to presence of the wafer in one of the plurality of sensors and presence of the wafer in the one of the plurality of sensors; Detecting a second transition from to non-existence, wherein the path of the wafer from the first transition to the second transition is non-linear. The path may include at least one arc that results from rotation of the wafer.

別の態様では、本書で開示されるウェハを操作するための装置は、複数の入口を介して進入することができる内部と、該複数の入口の各々に2つずつ配設される複数のセンサとを含み、各センサは、前記内部内の所定の場所におけるウェハの存在を検出することが可能なものであり、前記複数のセンサは、該複数のセンサのうちの少なくとも2つが前記内部内全体の何れかのウェハ位置でウェハを検出するように配置されている。   In another aspect, an apparatus for manipulating a wafer disclosed herein includes an interior that can enter through a plurality of inlets, and a plurality of sensors disposed two at each of the plurality of inlets. Each sensor is capable of detecting the presence of a wafer at a predetermined location within the interior, and the plurality of sensors are such that at least two of the plurality of sensors are entirely within the interior. The wafer is arranged so as to be detected at any one of the wafer positions.

前記複数の入口は、4つの入口を含むことが可能である。前記複数の入口は、7つの入口を含むことが可能である。前記複数の入口は、8つの入口を含むことが可能である。前記複数のセンサは、光センサを含むことが可能である。前記複数のセンサは、少なくとも1つの発光ダイオードを含むことが可能である。前記装置は、前記内部内に中心軸を有するロボットアームを含むことが可能であり、該ロボットアームはウェハを操作するためのエンドエフェクタを含む。   The plurality of inlets can include four inlets. The plurality of inlets can include seven inlets. The plurality of inlets may include eight inlets. The plurality of sensors may include an optical sensor. The plurality of sensors may include at least one light emitting diode. The apparatus can include a robot arm having a central axis within the interior, the robot arm including an end effector for manipulating the wafer.

別の態様では、本書で開示されるウェハを操作するための装置は、複数の入口を介して進入することができる内部と、該複数の入口の各々に2つずつ配設される複数のセンサとを含み、各センサは、前記内部内の所定の場所におけるウェハの存在を検出することが可能なものであり、前記複数のセンサの配置は、第1の一対のセンサが前記複数の入口の各々を介して直線的に入ってくるウェハを検出し、及び第2の一対のセンサが前記複数の入口の各々を介して直線的に入ってくるウェハの最大直径の実質的にすぐ外側に位置するようになっており、前記複数の入口の各々が、前記第1の一対のセンサ及び前記第2の一対のセンサの一方を、前記複数の入口のうちの隣接する各入口と共有する。   In another aspect, an apparatus for manipulating a wafer disclosed herein includes an interior that can enter through a plurality of inlets, and a plurality of sensors disposed two at each of the plurality of inlets. Each sensor is capable of detecting the presence of a wafer at a predetermined location within the interior, and the plurality of sensors are arranged such that a first pair of sensors is connected to the plurality of inlets. Detecting a wafer linearly entering through each and a second pair of sensors located substantially immediately outside the maximum diameter of the wafer linearly entering through each of the plurality of inlets Each of the plurality of inlets shares one of the first pair of sensors and the second pair of sensors with each adjacent inlet of the plurality of inlets.

前記複数の入口は、4つの入口を含むことが可能である。前記複数の入口は、7つの入口を含むことが可能である。前記複数の入口は、8つの入口を含むことが可能である。前記複数のセンサは、光センサを含むことが可能である。前記複数のセンサは、少なくとも1つの発光ダイオードを含むことが可能である。前記装置は、前記内部内に中心軸を有するロボットアームを含むことが可能であり、該ロボットアームはウェハを操作するためのエンドエフェクタを含む。   The plurality of inlets can include four inlets. The plurality of inlets can include seven inlets. The plurality of inlets may include eight inlets. The plurality of sensors may include an optical sensor. The plurality of sensors may include at least one light emitting diode. The apparatus can include a robot arm having a central axis within the interior, the robot arm including an end effector for manipulating the wafer.

別の態様では、本書で開示されるウェハを操作するための装置は、4つの入口を介して進入することができる内部と、前記内部内の所定の場所におけるウェハの存在を各々が検出することができる8つのセンサとを含み、該センサが、前記内部の中心を中心とする2つの正方形アレイへと配列され、その大きさは、該正方形アレイのうちの第1の正方形アレイが、該正方形アレイのうちの第2の正方形アレイよりも小さくなっており、その向きは、2つの正方形アレイの対向する頂点における1グループをなす4つのセンサが同一直線上に位置するようになっている。   In another aspect, the apparatus for manipulating a wafer disclosed herein each detects an interior that can enter through four inlets and the presence of a wafer at a predetermined location within the interior. And wherein the sensors are arranged into two square arrays centered on the interior center, the size of which is the first square array of the square arrays The array is smaller than the second square array, and the orientation is such that four sensors forming a group at opposite vertices of the two square arrays are located on the same straight line.

前記8つのセンサは、光センサを含むことが可能である。前記8つのセンサは、少なくとも1つの発光ダイオードを含むことが可能である。前記装置は、前記内部内に中心軸を有するロボットアームを含むことが可能であり、該ロボットアームは、ウェハを操作するためのエンドエフェクタを含む。   The eight sensors can include optical sensors. The eight sensors may include at least one light emitting diode. The apparatus can include a robot arm having a central axis within the interior, the robot arm including an end effector for manipulating the wafer.

別の態様では、本書で開示される装置は、ウェハを操作するためのロボットアームであって、該ロボットアームの1つ又は2つ以上の構成要素の位置を識別するエンコーダデータを提供する1つ又は2つ以上のエンコーダを含む、ロボットアームと、前記エンコーダデータに対して拡張カルマンフィルタを適用して前記ウェハの位置を推定するよう構成されたプロセッサとを含むことが可能である。   In another aspect, an apparatus disclosed herein is a robot arm for manipulating a wafer, one providing encoder data identifying the position of one or more components of the robot arm. Or a robot arm including two or more encoders and a processor configured to apply an extended Kalman filter to the encoder data to estimate the position of the wafer.

前記位置は、ウェハ中心及び/又はウェハ半径を含むことが可能である。前記位置は、前記ロボットアームのエンドエフェクタに関連して判定することが可能である。前記位置は、前記ロボットアームの中心軸に関連して判定することが可能である。前記プロセッサは、新たなエンコーダデータが受信される度に前記位置を再計算することが可能である。該新たなエンコーダデータは約2kHzで受信することが可能である。前記プロセッサは、ロボット式ウェハハンドラ内の1つ又は2つ以上の所定の場所におけるウェハの存在を検出する1つ又は2つ以上のセンサからの遷移データを使用してカルマンフィルタの1つ又は2つ以上の方程式を更新するよう構成することが可能である。   The location can include a wafer center and / or a wafer radius. The position can be determined in relation to an end effector of the robot arm. The position can be determined in relation to a central axis of the robot arm. The processor can recalculate the position each time new encoder data is received. The new encoder data can be received at about 2 kHz. The processor uses one or more of the Kalman filters using transition data from one or more sensors that detect the presence of a wafer at one or more predetermined locations within a robotic wafer handler. It is possible to configure to update the above equations.

別の態様では、本書で開示される方法は、ウェハ操作装置の内部内に複数のセンサを配置し、該複数のセンサの各々が、前記内部内の所定の場所におけるウェハの存在と不存在との間の遷移を検出することが可能なものであり、ロボットアームを使用してウェハを操作し、該ロボットアームが、該ロボットアームの1つ又は2つ以上の構成要素の位置を識別するエンコーダデータを提供する1つ又は2つ以上のエンコーダを含み、該エンコーダデータを拡張カルマンフィルタに適用してウェハの推定位置を提供する、という各ステップを含む。   In another aspect, a method disclosed herein places a plurality of sensors within an interior of a wafer handling device, each of the plurality of sensors being the presence and absence of a wafer at a predetermined location within the interior. An encoder capable of detecting a transition between and operating a wafer using a robot arm, the robot arm identifying the position of one or more components of the robot arm Including one or more encoders that provide data and applying the encoder data to an extended Kalman filter to provide an estimated position of the wafer.

本方法は、前記複数のセンサのうちの1つにおける遷移を検出してウェハの実際の位置を提供し、その実際の位置と前記推定位置との誤差を求め、該誤差に基づき、拡張カルマンフィルタのための1つ又は2つ以上の変数を更新する、という各ステップを含むことが可能である。該エンコーダデータの適用は、0.5ms毎にウェハ位置を計算することを含むことが可能である。ウェハの推定位置は、ウェハの中心を含むことが可能である。ウェハの推定位置は、ウェハの半径を含むことが可能である。   The method detects a transition in one of the plurality of sensors to provide an actual position of the wafer, determines an error between the actual position and the estimated position, and based on the error, an extended Kalman filter It is possible to include the steps of updating one or more variables for. The application of the encoder data can include calculating the wafer position every 0.5 ms. The estimated position of the wafer can include the center of the wafer. The estimated position of the wafer can include the radius of the wafer.

別の態様では、本書で開示される装置は、少なくとも1つのウェハを通過させるための形状及び大きさに形成された複数の入口を有する内部チャンバと、前記内部内のウェハを走査するよう配置されたコンタクトイメージセンサと、ウェハを操作するためのエンドエフェクタを含む前記内部内のロボットであって、前記コンタクトイメージセンサの測定体積内にウェハを移動させ、これにより該ウェハの少なくとも一部のイメージを取得するよう構成されているロボットと、該イメージを処理して前記ウェハの中心を判定するよう構成されているプロセッサとを含むことが可能である。   In another aspect, an apparatus disclosed herein is arranged to scan an interior chamber having a plurality of inlets shaped and sized to pass at least one wafer and a wafer within the interior. An internal robot including a contact image sensor and an end effector for manipulating the wafer, wherein the wafer is moved into a measurement volume of the contact image sensor, thereby obtaining an image of at least a portion of the wafer. A robot configured to acquire and a processor configured to process the image to determine the center of the wafer may be included.

前記ロボットは、前記コンタクトイメージセンサの測定体積を通ってウェハを直線的に移動させることが可能である。該コンタクトイメージセンサの向きは、該ウェハの経路と垂直にすることが可能である。該コンタクトイメージセンサの向きは、ウェハの経路に対して45°の角度をなすようにすることが可能である。前記ロボットは、前記コンタクトイメージセンサの測定体積を通る曲線的な経路でウェハを移動させることが可能である。前記ロボットは、前記コンタクトイメージセンサの測定体積を通る非連続的な経路でウェハを移動させることが可能である。前記ロボットは、前記コンタクトイメージセンサの測定体積内でウェハを回転させることが可能である。前記ロボットは、前記コンタクトイメージセンサの測定体積内へとウェハを上昇させるよう構成することが可能である。前記ロボットは、ウェハを回転させるよう構成されたエンドエフェクタ上に回転チャックを含むことが可能である。該回転チャックは、180°〜360°回転することが可能である。前記装置は、前記エンドエフェクタから前記コンタクトイメージセンサの測定体積内へとウェハを上昇させるよう構成された回転チャックを含むことが可能である。前記コンタクトイメージセンサの長さは、少なくとも300mmとすることが可能である。前記コンタクトイメージセンサは、ウェハの直径を超える長さを有することが可能である。前記コンタクトイメージセンサは、前記内部への前記複数の入口のうちの1つに配置することが可能である。前記装置は、複数のコンタクトイメージセンサを含むことが可能であり、該複数のコンタクトイメージセンサの各々は、前記内部への前記複数の入口に1つずつ配置される。前記コンタクトイメージセンサは、前記内部の中心と交差するよう配置することが可能である。前記装置は、第2のコンタクトイメージセンサを含むことが可能であり、この場合、前記コンタクトイメージセンサ及び該第2のコンタクトイメージセンサは、同一直線上に配置される。前記コンタクトイメージセンサ及び前記第2のコンタクトイメージセンサは、前記内部への前記複数の入口のうちの1つに配置することが可能である。前記装置は、前記内部への前記複数の入口の各々に配置された複数対の同一直線上のコンタクトイメージセンサを含むことが可能である。前記装置は、第2の一対の同一直線上のコンタクトイメージセンサを含むことが可能であり、この場合、該第2の一対の同一直線上のコンタクトイメージセンサは、前記内部の中心と交差するように配置される。前記複数の入口は、4つの入口を含むことが可能である。前記複数の入口は、8つの入口を含むことが可能である。前記プロセッサは、ウェハ上の位置合わせ用ノッチを識別するよう更に構成することが可能である。前記プロセッサは、ウェハの半径を判定するよう更に構成することが可能である。   The robot can move the wafer linearly through the measurement volume of the contact image sensor. The orientation of the contact image sensor can be perpendicular to the path of the wafer. The contact image sensor may be oriented at an angle of 45 ° with respect to the wafer path. The robot can move the wafer along a curved path through the measurement volume of the contact image sensor. The robot can move the wafer in a non-continuous path through the measurement volume of the contact image sensor. The robot can rotate the wafer within the measurement volume of the contact image sensor. The robot can be configured to raise the wafer into the measurement volume of the contact image sensor. The robot can include a rotating chuck on an end effector configured to rotate the wafer. The rotary chuck can rotate 180 ° to 360 °. The apparatus can include a rotating chuck configured to raise the wafer from the end effector into the measurement volume of the contact image sensor. The length of the contact image sensor can be at least 300 mm. The contact image sensor may have a length that exceeds the diameter of the wafer. The contact image sensor can be disposed at one of the plurality of entrances into the interior. The apparatus can include a plurality of contact image sensors, each of the plurality of contact image sensors being disposed one at the plurality of entrances into the interior. The contact image sensor may be disposed so as to intersect the center of the interior. The apparatus may include a second contact image sensor, in which case the contact image sensor and the second contact image sensor are arranged on the same straight line. The contact image sensor and the second contact image sensor can be disposed at one of the plurality of entrances into the interior. The apparatus can include a plurality of pairs of collinear contact image sensors disposed at each of the plurality of entrances into the interior. The apparatus can include a second pair of collinear contact image sensors, wherein the second pair of collinear contact image sensors intersects the center of the interior. Placed in. The plurality of inlets can include four inlets. The plurality of inlets may include eight inlets. The processor can be further configured to identify alignment notches on the wafer. The processor can be further configured to determine a radius of the wafer.

別の態様では、本方法は、ロボット式ウェハハンドラの内部からイメージデータを捕捉するようコンタクトイメージセンサを位置決めし、前記コンタクトイメージセンサによりウェハの少なくとも一部を通過してイメージを取得し、該イメージに基づいて前記ウェハの中心を判定する、という各ステップを含む。コンタクトイメージセンサによりウェハの少なくとも一部を通過する前記ステップは、コンタクトイメージセンサの測定体積を通って直線的にウェハを通過することを含むことが可能である。   In another aspect, the method positions a contact image sensor to capture image data from within a robotic wafer handler, and obtains an image through at least a portion of the wafer by the contact image sensor, the image Each step of determining the center of the wafer based on Said step of passing at least a portion of the wafer by the contact image sensor may comprise passing the wafer linearly through the measurement volume of the contact image sensor.

別の態様では、本書で開示される装置は、ロボットチャンバ内のロボットアームであって、ウェハを操作するよう構成されたエンドエフェクタを含むロボットアームと、前記ロボットチャンバの内部内の電荷結合素子の直線アレイであって、前記ロボットチャンバ内の1つ又は2つ以上の所定の場所における測定体積からイメージデータを取得するよう位置決めされる電荷結合素子の直線アレイとを含む。   In another aspect, an apparatus disclosed herein includes a robot arm in a robot chamber, the robot arm including an end effector configured to manipulate a wafer, and a charge coupled device in the interior of the robot chamber. A linear array of charge coupled devices positioned to acquire image data from a measurement volume at one or more predetermined locations within the robot chamber.

前記装置は、前記直線アレイを照明する外部照明源を含むことが可能である。前記装置は、前記直線アレイに誘導的に電力を供給する無線電力結合を含むことが可能である。前記装置は、前記直線アレイとデータを無線交換するためのワイヤレストランシーバを含むことが可能である。該ワイヤレストランシーバは、前記ロボットチャンバの外部に配置することが可能である。前記データは、前記イメージデータを含むことが可能である。前記直線アレイは、1×n電荷結合素子アレイとすることが可能である。前記直線アレイは、電荷結合素子の二次元アレイを含むことが可能である。前記装置は、前記内部内の異なる場所でイメージデータをそれぞれ捕捉する複数の直線アレイを含むことが可能である。前記ロボットアームは、前記直線アレイの測定体積内でウェハを回転させるよう構成された前記エンドエフェクタ上のチャックを含むことが可能である。前記ロボットアームは、前記直線アレイの測定体積内へウェハを上昇させるよう構成することが可能である。前記チャックは、180°〜360°回転することが可能である。前記装置は、前記エンドエフェクタから前記直線アレイの測定体積内へとウェハを上昇させるよう構成された回転チャックを含むことが可能である。前記装置は、前記イメージデータを使用してウェハの中心を判定するよう構成されたプロセッサを含むことが可能である。前記装置は、前記イメージデータを使用してウェハの半径を判定するよう構成されたプロセッサを含むことが可能である。前記装置は、前記イメージデータを使用してウェハ上の位置合わせ用ノッチを識別するよう構成されたプロセッサを含むことが可能である。   The apparatus can include an external illumination source that illuminates the linear array. The apparatus can include a wireless power coupling that inductively powers the linear array. The apparatus can include a wireless transceiver for wirelessly exchanging data with the linear array. The wireless transceiver can be located outside the robot chamber. The data may include the image data. The linear array may be a 1 × n charge coupled device array. The linear array can include a two-dimensional array of charge coupled devices. The apparatus may include a plurality of linear arrays that each capture image data at different locations within the interior. The robotic arm can include a chuck on the end effector configured to rotate a wafer within a measurement volume of the linear array. The robot arm can be configured to raise the wafer into the measurement volume of the linear array. The chuck can be rotated 180 ° to 360 °. The apparatus can include a rotating chuck configured to raise a wafer from the end effector into a measurement volume of the linear array. The apparatus can include a processor configured to determine the center of the wafer using the image data. The apparatus can include a processor configured to determine a radius of the wafer using the image data. The apparatus can include a processor configured to identify alignment notches on the wafer using the image data.

別の態様では、本書で開示する装置は、ロボットチャンバ内のロボットアームであって、ウェハを操作するよう構成されたエンドエフェクタを含むロボットアームと、該エンドエフェクタ上に載置されたウェハから縁部データを捕捉するよう位置決めされた該エンドエフェクタ上の電荷結合素子の直線アレイとを含むことが可能である。   In another aspect, an apparatus disclosed herein includes a robot arm in a robot chamber, the robot arm including an end effector configured to manipulate the wafer, and an edge from the wafer mounted on the end effector. And a linear array of charge coupled devices on the end effector positioned to capture the part data.

前記装置は、前記直線アレイを照明するための外部照明源を含むことが可能である。前記装置は、前記直線アレイに誘導的に電力を供給する無線電力結合を含むことが可能である。前記装置は、前記直線アレイとデータを無線交換するためのワイヤレストランシーバを含むことが可能である。該ワイヤレストランシーバは、前記ロボットチャンバの外部に配置することが可能である。前記直線アレイは、1×n電荷結合素子アレイとすることが可能である。前記直線アレイは、電荷結合素子の二次元アレイを含むことが可能である。前記ロボットアームは、前記直線アレイの測定体積内でウェハを回転させるよう構成された前記エンドエフェクタ上のチャックを含むことが可能である。前記装置は、前記エンドエフェクタからウェハを上昇させ及び前記直線アレイの測定体積内で該ウェハを回転させるよう構成された回転チャックを含むことが可能である。前記装置は、前記縁部データを使用してウェハの中心を判定するよう構成されたプロセッサを含むことが可能である。前記装置は、前記縁部データを使用してウェハの半径を判定するよう構成されたプロセッサを含むことが可能である。前記装置は、前記エンドエフェクタの表面上の複数の場所から縁部データを捕捉するよう配置された複数の直線アレイを含むことが可能である。   The apparatus can include an external illumination source for illuminating the linear array. The apparatus can include a wireless power coupling that inductively powers the linear array. The apparatus can include a wireless transceiver for wirelessly exchanging data with the linear array. The wireless transceiver can be located outside the robot chamber. The linear array may be a 1 × n charge coupled device array. The linear array can include a two-dimensional array of charge coupled devices. The robotic arm can include a chuck on the end effector configured to rotate a wafer within a measurement volume of the linear array. The apparatus can include a rotating chuck configured to raise the wafer from the end effector and rotate the wafer within the measurement volume of the linear array. The apparatus can include a processor configured to determine the center of the wafer using the edge data. The apparatus can include a processor configured to determine the radius of the wafer using the edge data. The apparatus can include a plurality of linear arrays arranged to capture edge data from a plurality of locations on the surface of the end effector.

本発明の上述その他のシステム、方法、目的、特徴、及び利点は、以下に示す好適な実施形態の詳細な説明及び図面から当業者には自明となろう。   These and other systems, methods, objects, features, and advantages of the present invention will be apparent to those skilled in the art from the following detailed description of the preferred embodiment and the drawings.

本発明及び以下に示すその特定の実施形態の詳細な説明は、図面を参照することにより理解されよう。   The detailed description of the invention and its specific embodiments presented below will be understood by reference to the drawings.

以下の説明は、位置合わせ用ノッチを有するほぼ円形の半導体基板の中心の検出に焦点を絞ったものである。しかし、以下で説明する技術の多くに対して適当な適応を行って、楕円、半円、正方形、及び長方形といった他の形状の中心を検出することが可能である、ということが理解されよう。更に、半導体製造は、本書で説明する技術を使用するための重要な分野ではあるが、以下で説明する中心検出技術は、広範な適用可能性を有するものであり、例えば、広範なマシンビジョン及びロボット用途において使用することが可能である、ということが理解されよう。   The following description focuses on the detection of the center of a substantially circular semiconductor substrate having an alignment notch. However, it will be appreciated that suitable adaptations to many of the techniques described below can be made to detect the centers of other shapes such as ellipses, semicircles, squares, and rectangles. In addition, although semiconductor manufacturing is an important area for using the techniques described herein, the center detection techniques described below have broad applicability, such as extensive machine vision and It will be appreciated that it can be used in robotic applications.

本書で用いる用語「ウェハ」は、半導体製造システムにより操作することが可能な全ての基板その他の材料を簡略化して表したものである。以下の説明は、ウェハに対して適用可能なものであり、及び幾つかの実例となる実施形態では特にウェハについて言及するが、ウェハ製造、ウェハ検査、ウェハ洗浄、及びウェハ較正等を含む半導体設備内で、様々な他の物体を操作すること、並びに正方形又は長方形の基板といった様々な形状を有する基板を含む他の基板(例えば、レチクル、磁気ヘッド、及びフラットパネル等)を操作することが可能である、ということが理解されよう。全てのかかる被加工物は、異なる意味が明示的に提示され又は文脈から明らかでない限り、本書で用いる用語「ウェハ」の範囲内のものであることが意図されている。   As used herein, the term “wafer” is a simplified representation of all substrates and other materials that can be manipulated by a semiconductor manufacturing system. The following description is applicable to wafers, and some illustrative embodiments refer specifically to wafers, but include semiconductor equipment including wafer manufacturing, wafer inspection, wafer cleaning, wafer calibration, and the like. Within it, it is possible to manipulate various other objects and other substrates including substrates having various shapes such as square or rectangular substrates (eg reticles, magnetic heads, flat panels, etc.) It will be understood that. All such workpieces are intended to be within the scope of the term “wafer” as used herein, unless a different meaning is explicitly provided or apparent from the context.

図1は、移送用のロボット式操作モジュールの平面図を示している。該モジュール110では、ほぼ円形のウェハ120は、中心軸160を有するロボット(図示せず)により操作することが可能であり、該ウェハ120の存在(又は不存在)をセンサが検出する。一般に、該モジュール110は、該モジュール110への様々な入口(図示せず)の間でのウェハ及び前記ロボットの回転運動に適応するのに十分な半径を有するほぼ円形の内部170を有することが可能である。更なる空間を設けることが可能であり、その形状はウェハの運動に適応することができるあらゆる幾何学形状を有することが可能であるが、前記ほぼ円形の形状は、該モジュール110及びその他の関連するハードウェアにより維持される真空環境内の体積を最小限にするという大きな利点を提供するものである。   FIG. 1 shows a plan view of a robotic operation module for transfer. In the module 110, a substantially circular wafer 120 can be manipulated by a robot (not shown) having a central axis 160, and a sensor detects the presence (or absence) of the wafer 120. In general, the module 110 may have a generally circular interior 170 having a radius sufficient to accommodate the rotational motion of the wafer and the robot between various entrances (not shown) to the module 110. Is possible. Additional space can be provided, and the shape can have any geometric shape that can accommodate the movement of the wafer, but the substantially circular shape can be the module 110 and other related features. This provides the great advantage of minimizing the volume in the vacuum environment maintained by the hardware.

また、一般に、ウェハ120並びにモジュール110の外部に該ウェハ120を配置し及びそれを取り出すために必要となるロボットアームのあらゆる部分を通過させる形状及び大きさに形成された2つ又は3つ以上の入口を、該モジュール110に配設することが可能である。一般に、各入口の大きさは、単一のウェハ並びに操作中に入口を通過しなければならないロボットのエンドエフェクタその他のあらゆる部分に適応するだけの幅及び高さを有するものとなる。この大きさは、ロボットに各入口の中心を通って直線的にウェハを移動させることにより最適化することが可能であり、かかる移動は、真空環境内の貴重な体積を有利に節約するものとなる。半導体ウェハは、工業規格により提供されるように一般にほぼ円形のものである。かかるウェハはまた、プロセス中にウェハの回転方向の位置合わせを維持するための位置合わせ用ノッチを含むことが可能であり、以下で一層詳細に説明するように、このノッチの識別又は評価は、ウェハ中心検出中に更なる処理を必要とし得るものである。一方、より一般的にはウェハは様々な形状及び/又は大きさを有することが可能である。例えば、300mmは現時点でのウェハの標準的な大きさであるが、半導体製造に関する新たな規格は、400mmを超えるウェハ大きさを規定している。更に、基板によっては、フラットパネルに使用される長方形の基板等の他の形状を有することが可能である。よって、ウェハ操作のために設計される構成要素(及び空間)の形状及び大きさは変動し得るものであり、当業者は入口等の構成要素を特定のウェハ寸法に適応させる態様を理解している、ということが理解されよう。   Also, in general, two or more of two or more formed in a shape and size to pass through the wafer 120 and any part of the robotic arm necessary to place and remove the wafer 120 outside the module 110. An inlet can be disposed in the module 110. In general, the size of each inlet will have a width and height to accommodate a single wafer and any other part of the robot end effector that must pass through the inlet during operation. This size can be optimized by having the robot move the wafer linearly through the center of each inlet, which advantageously saves valuable volume in a vacuum environment. Become. Semiconductor wafers are generally approximately circular as provided by industry standards. Such a wafer can also include an alignment notch to maintain alignment of the wafer in the rotational direction during the process, as described in more detail below, Further processing may be required during wafer center detection. On the other hand, more generally, the wafer can have various shapes and / or sizes. For example, 300 mm is the current standard wafer size, but the new standard for semiconductor manufacturing defines a wafer size exceeding 400 mm. In addition, some substrates can have other shapes, such as a rectangular substrate used in flat panels. Thus, the shape and size of components (and spaces) designed for wafer handling can vary, and those skilled in the art understand how to adapt components such as inlets to specific wafer dimensions. It will be understood that

一実施形態では、モジュール110は、該モジュール110の各辺に1つずつ配置された4つの入口を含むことが可能である。該モジュール110はまた、2つ又は3つといった異なる個数の入口を含むことが可能である。更に、正方形のモジュール110を示したが、該モジュール110は、長方形または六角形、七角形、及び八角形等の正多角形といった(クラスタプロセスで一般に使用されるような)他の形状を有することが可能である。長方形形状は1つの辺に複数の入口を有することが可能であり、また正多角形は各辺に入口を1つずつ含むことが可能である。このため、各辺に入口を1つずつ有する正方形のモジュール110は半導体製造にとって有用な一般的な構成であるが、多くの他の形状を製造設備で使用するよう適当に適応させることが可能であり、かかる多くの他の形状は本開示の範囲内のものであることが意図されている、ということが理解されよう。   In one embodiment, the module 110 can include four inlets, one on each side of the module 110. The module 110 can also include different numbers of inlets, such as two or three. Furthermore, although a square module 110 is shown, the module 110 may have other shapes (such as commonly used in cluster processes) such as rectangular or hexagonal, heptagon, and regular polygons such as octagons. Is possible. A rectangular shape can have multiple entrances on one side, and a regular polygon can contain one entrance on each side. Thus, the square module 110 with one entrance on each side is a common configuration useful for semiconductor manufacturing, but many other shapes can be suitably adapted for use in manufacturing equipment. It will be appreciated that many other such shapes are intended to be within the scope of the present disclosure.

既述のように、センサは、ロボットの中心軸160を中心とする2つの正方形アレイ141,142として配列された8つのセンサ131〜138を含むことが可能である。該センサは、該センサのうちの4つのセンサ131〜134が第1の内側アレイ142を形成し、該センサのうちの4つのセンサ135〜138が第2の外側アレイ141を形成するように、配列されている。それらセンサのレイアウトは、図1を参照すれば最もよく分かるが、該レイアウトの他の特徴について以下で説明する。該2つの同心の正方形アレイ141,142は、その頂点が内側アレイ142及び外側アレイ141のセンサ対150を形成するような向きに配置される。該アレイ141,142は更に、該2つの正方形アレイ141,142の対向する頂点の4つのセンサが同一直線上に位置して内部170の中心又はロボットの中心軸160と交差する直線を形成するように回転方向の向きが設定される。この最後の限定事項は、厳密に必要なものではなく、すなわち、ロボットは2つ以上の軸を有することが可能であり、及び該ロボットは、内部170の中心に軸を必要としない様々な回転運動に適応させることが可能である。しかし、それは、360°の運動の自由を提供するロボット式ハンドラのための伝統的かつ現実的なレイアウトである。また、ウェハ120が、一般に各辺の中心に位置する複数の入口のうちの1つから内部170へ最初に入る(又は出る)際、内側アレイ142の2つのセンサが該ウェハを検出することが可能であり、外側アレイ141の2つのセンサは該ウェハ120の直径のすぐ外側に両側で位置する、ということが理解されよう。このように、各入口毎に2つのセンサのみという比を維持する間、ウェハ120が内部170内にある間には常に少なくとも2つのセンサが該ウェハ120を検出し、及び少なくとも1つのセンサが内部170内のウェハ120のあらゆる回転運動を直ちに検出することになる、ということを確実にすることができる。大きな利点として、この構成はまた、例えば、停電の後にモジュール110及びセンサ131〜138の電源が投入された(ウェハ位置に関する先験的データが存在しない)場合であっても、内部内のウェハの存在を常に検出することが可能となる、ということを確実にする。   As already mentioned, the sensor can include eight sensors 131-138 arranged as two square arrays 141, 142 centered on the central axis 160 of the robot. The sensors are such that four of the sensors 131-134 form a first inner array 142 and four of the sensors 135-138 form a second outer array 141. It is arranged. The layout of these sensors is best understood with reference to FIG. 1, but other features of the layout are described below. The two concentric square arrays 141, 142 are oriented so that their vertices form a sensor pair 150 of the inner array 142 and the outer array 141. The arrays 141, 142 are further rotationally oriented so that the four sensors at opposite vertices of the two square arrays 141, 142 are co-linear and form a straight line that intersects the center of the interior 170 or the central axis 160 of the robot. The direction is set. This last limitation is not strictly necessary, i.e. the robot can have more than one axis, and the robot does not require an axis at the center of the interior 170 for various rotations. It is possible to adapt to exercise. However, it is a traditional and realistic layout for a robotic handler that provides 360 ° freedom of movement. Also, as the wafer 120 first enters (or exits) the interior 170 from one of a plurality of inlets generally located at the center of each side, the two sensors of the inner array 142 may detect the wafer. It will be appreciated that the two sensors of the outer array 141 are located on both sides just outside the diameter of the wafer 120. Thus, while maintaining the ratio of only two sensors for each inlet, at least two sensors always detect the wafer 120 while the wafer 120 is within the interior 170, and at least one sensor is internal. It can be ensured that any rotational movement of the wafer 120 in 170 will be detected immediately. As a major advantage, this configuration also allows for wafers in the interior, for example, when module 110 and sensors 131-138 are powered up after a power failure (no a priori data on wafer position). Ensure that the presence can always be detected.

5つ、6つ、7つ、8つ、又はそれ以上の入口を有するモジュールに同様の構成を提供することが可能である。一般に、各入口は、2つのセンサを有することが可能であり、この場合、第1のセンサは、ウェハが入口から内部内へ完全に移動された際に該ウェハを検出するよう配置され、第2のセンサは、該ウェハの直径のすぐ外側に配置される。かかる実施形態では、内側アレイ及び外側アレイのセンサの各対は、隣接する入口、すなわち、その両側にすぐ隣接する入口と共有することが可能である。 Similar configurations can be provided for modules having 5, 6, 7, 8, or more inlets. In general, each inlet, it is possible to have two sensors, in this case, the first sensor is arranged to detect the wafer when the wafer has been fully moved from the inlet into the interior, first Two sensors are placed just outside the diameter of the wafer. In such embodiments, each pair of inner and outer array sensors can be shared with adjacent inlets, i.e., immediately adjacent inlets on either side thereof.

図1は、センサ131〜138の特定の構成を示しているが、他の基準を用いて適当なセンサの個数及び配置を決定することが可能である。例えば、センサの配置は、ウェハがステーションから取り出されて別のステーション内に配置される場合のあらゆる移動シーケンス中に該ウェハの周囲の少なくとも4つの点を有利に提供することが可能である。中心及び半径を推定するために使用される任意の1グループをなす3つの点は、該少なくとも3つの点の間で60°よりも大きい角度を有用に含み、及び中心及び半径を画定するために使用される任意の3つの点の間に180°未満の角度を有用に含むことが可能である(すなわち、160°の区域でその縁部を画定する点が欠如しているものは存在しないはずである)。追加の点を有利に用いて、直接計算を介した推定を改善し又は計算上の円の正当性を確認することが可能である。センサは、確実にかつ反復してセンサをトリガすることができる基準マークと共に、ロボットアームのリンクの揺動半径内に有利に配置することが可能である。   Although FIG. 1 shows a particular configuration of sensors 131-138, other criteria can be used to determine the appropriate number and placement of sensors. For example, sensor placement can advantageously provide at least four points around the wafer during any movement sequence when the wafer is removed from the station and placed in another station. Any group of three points used to estimate the center and radius usefully includes an angle greater than 60 ° between the at least three points, and to define the center and radius It is possible to usefully include an angle of less than 180 ° between any three points used (ie there should be no lack of points defining its edges in the 160 ° area) Is). Additional points can be advantageously used to improve estimation via direct calculation or to validate the calculation circle. The sensor can be advantageously arranged within the rocking radius of the link of the robot arm, with a reference mark that can reliably and repeatedly trigger the sensor.

センサの構成はまた、特定のエンドエフェクタに適応させることが可能である。例えば、フォークタイプのエンドエフェクタは、側縁の周りでウェハを支持するが正面では支持しない。従来のウェハ大きさでは、これは、フォークの中間に250mm幅の領域を残すが、側縁を検出に使用することができない。パドルタイプのエンドエフェクタの場合には、直線的な延長部の中心線をまたぐ中心の150mmがセンサの配置のために空いているが、ロボットアームの手根部に向かうウェハの後端は、エンドエフェクタによってセンサから完全にブロックされ得る。   The configuration of the sensor can also be adapted to a particular end effector. For example, a fork-type end effector supports the wafer around the side edges but not the front. With conventional wafer sizes, this leaves a 250 mm wide area in the middle of the fork, but the side edges cannot be used for detection. In the case of a paddle type end effector, the center 150 mm across the center line of the linear extension is vacant for sensor placement, but the rear end of the wafer toward the robot arm's wrist is the end effector. Can be completely blocked from the sensor.

センサ131〜138は、一般に、内部170内の所定位置におけるウェハの存在を検出するよう動作する。本書で用いるように、存在の検出は、不存在の検出、並びにウェハの不存在と存在との間の遷移の検出を含むものである、ということが理解されよう。この種の検出には、ウェハが存在する場合に光源に向かって光が反射される反射技術又はウェハが存在する場合に光源とセンサとの間でビームが遮断されるビーム遮断技術といった光センサを含む多数の技術を適当に用いることが可能である。一実施形態では、センサ131〜138は、発光ダイオード又はレーザ光源を使用し、光はオートフォーカス式フォトダイオード検出器へ送られる(これにより取り付け時の位置合わせが容易となる)。上述したセンサは、所定の場所におけるウェハの存在を検出するためのコスト効率の良い解決策の1つであるが、真空の半導体環境に適応させることができるものであれば他の検知技術を同様に用いることが可能である、ということが理解されよう。これは、例えば、ソナー、レーダー、又は他の電磁気又は距離又は位置検知技術を含むことが可能である。   Sensors 131-138 generally operate to detect the presence of a wafer at a predetermined location within interior 170. As used herein, it will be appreciated that detection of presence includes detection of absence as well as detection of transitions between the absence and presence of a wafer. This type of detection involves the use of an optical sensor such as a reflection technique in which light is reflected towards the light source when a wafer is present or a beam blocking technique in which the beam is blocked between the light source and the sensor when a wafer is present. Many techniques can be used as appropriate. In one embodiment, the sensors 131-138 use light emitting diodes or laser light sources, and light is sent to an autofocus photodiode detector (which facilitates alignment during installation). The sensor described above is one of the cost-effective solutions for detecting the presence of a wafer at a given location, but other sensing techniques are similar as long as they can be adapted to a vacuum semiconductor environment. It will be understood that it can be used for: This can include, for example, sonar, radar, or other electromagnetic or distance or position sensing techniques.

内側アレイ142と外側アレイ141との距離、又は各センサ対150の距離は、一般に該システムにより操作されるウェハの大きさによって決まることになる。一実施形態では、センサの位置は、上述した直線的な関係及び対角線方向の関係を維持したまま一層大きな又は一層小さなアレイを形成するよう調節可能とすることが可能である。このようにして、モジュール110を異なる大きさのウェハに容易に適応させることが可能となる。   The distance between the inner array 142 and the outer array 141, or the distance between each sensor pair 150, will generally depend on the size of the wafer operated by the system. In one embodiment, the position of the sensor can be adjustable to form a larger or smaller array while maintaining the linear and diagonal relationships described above. In this way, the module 110 can be easily adapted to different sized wafers.

通常の動作時には、センサ131〜138は、円形モデル、(後述するカルマンフィルタ技術のような)直線モデル、又は他のあらゆる適当な数学的技術、ニューラルネットワーク技術、ヒューリスティック技術、又はその他の技術を用いてウェハ120の中心の場所を判定するために使用される。ここで、ウェハの位置及び中心を検出するための方法について一層詳細に説明する。一般に、以下に示す技術は、センサ131〜138からのデータと、ロボット構成要素の位置に関するデータを提供する1つ又は2つ以上のロボット式ハンドラのためのエンコーダからのデータとの組み合わせを使用する。以下の説明は、センサ及びエンコーダデータに焦点を絞ったものであるが、任意の時計又はシステム内の信号により検出されるような時刻もまた明示的又は暗黙的にウェハ中心検出計算で使用することが可能である、ということが理解されよう。   During normal operation, the sensors 131-138 use a circular model, a linear model (such as the Kalman filter technique described below), or any other suitable mathematical technique, neural network technique, heuristic technique, or other technique. Used to determine the location of the center of the wafer 120. Here, a method for detecting the position and center of the wafer will be described in more detail. In general, the techniques shown below use a combination of data from sensors 131-138 and data from encoders for one or more robotic handlers that provide data regarding the position of robotic components. . The following discussion focuses on sensor and encoder data, but times such as those detected by any clock or signal in the system should also be used explicitly or implicitly in wafer center detection calculations. It will be understood that this is possible.

図2は、ウェハの位置を検出するための4つのセンサを有するウェハ操作モジュールの平面図を示している。この実施形態では、システム200は、各入口に1つずつのセンサ202のみを使用することが可能である。該センサ202は、上述したあらゆるセンサとすることが可能である。この場合には、センサ202は、好適には、ウェハが入口の何れかを通過する際に少なくとも1つの縁部検出を得ることができるように、各入口の近くでウェハ204の直径の内側に配置される。既述のように、該ウェハ操作モジュール210は、ほぼ正方形であり、4つの入口を含み、その各入口は1つずつセンサ202を有している。   FIG. 2 shows a plan view of a wafer handling module having four sensors for detecting the position of the wafer. In this embodiment, the system 200 can use only one sensor 202 at each inlet. The sensor 202 can be any sensor described above. In this case, the sensor 202 is preferably within the diameter of the wafer 204 near each inlet so that at least one edge detection can be obtained as the wafer passes through any of the inlets. Be placed. As described above, the wafer handling module 210 is substantially square and includes four inlets, each inlet having one sensor 202.

図3は、ウェハ中心検出のための一般化されたプロセスを示している。   FIG. 3 shows a generalized process for wafer center detection.

一般に、ロボットアーム(上述した任意のロボットアーム等)は、半導体製造プロセスにおける1つの場所から他の場所へとウェハ(上述した任意のウェハ等)を移送するための多数の操作を行うことが可能である。これは、ステップ302に示すように第1の場所からウェハを取り出し、ステップ304に示すようにロボットアームをモジュール(上述した任意のモジュール等)内へ引き込み、ステップ306に示すように該ロボットアームを該モジュールの他の入口に向かって回転させ、ステップ308に示すように該ロボットアームを該入口を通して延伸させ、及びステップ310に示すようにウェハを第2の場所に配置する、という各ステップを含む多数の操作を含む。前記第1及び第2の場所は、他のロボット式ハンドラ、ロードロック、バッファ又は遷移ステーション、あらゆる種類のプロセスモジュール、及び/又はその他の追加のプロセス(洗浄、計測、走査等)のためのモジュールを含む、製造設備内のあらゆる場所とすることが可能である。図3に示すように、このプロセスは、ウェハが該設備の内外へと移動されて様々なプロセスモジュールにより処理される際に制限なく繰り返すことが可能である。明示的には示さないが、これらの操作中に他のステップ(内部へ入るための隔離バルブの開閉、又は様々なリソースにアクセスするための内部内での待機等)を該システムにより実行することが可能である、ということが理解されよう。様々なロボット操作動作の詳細は当業界で周知のものであり、あらゆるかかるロボットアーム又は操作機能を図3に示すプロセスと共に適当に用いることが可能である。これは、ロボットアームの延伸、引き込み、及び回転、ロボットアームによるz軸方向の運動、及びウェハ操作で有効に用いることができる他のあらゆる操作の様々な組み合わせを含む。   In general, a robot arm (such as any of the robot arms described above) can perform a number of operations to transfer a wafer (such as any of the above-described wafers) from one location to another in the semiconductor manufacturing process. It is. This involves removing the wafer from the first location as shown in step 302, pulling the robot arm into a module (such as any of the modules described above) as shown in step 304, and moving the robot arm as shown in step 306. Rotating toward the other entrance of the module, extending the robot arm through the entrance as shown in step 308, and placing the wafer in a second location as shown in step 310. Includes numerous operations. The first and second locations are modules for other robotic handlers, load locks, buffers or transition stations, all kinds of process modules, and / or other additional processes (cleaning, measuring, scanning, etc.) Can be anywhere within the manufacturing facility. As shown in FIG. 3, this process can be repeated without limitation as the wafer is moved into and out of the facility and processed by various process modules. Although not explicitly shown, other steps (such as opening and closing isolation valves to enter the interior or waiting inside to access various resources) are performed by the system during these operations. It will be understood that this is possible. The details of the various robot operating operations are well known in the art, and any such robot arm or operating function can be suitably used with the process shown in FIG. This includes various combinations of robot arm stretching, retraction, and rotation, z-axis motion by the robot arm, and any other operation that can be effectively used in wafer operations.

ロボットアームがステップ302に示すようなウェハ操作動作で制御されている間に、エンコーダが該ロボットアームの位置に関するデータを直接に又はロボットアームの動作を制御する駆動要素の位置(回転方向の向きを含む)を検出することにより提供する。このデータは、ステップ302に示すようなプロセスのために受信することが可能である。ステップ330に示すように、センサデータは、ロボット式ハンドラ内の所定の場所におけるウェハの存在、不存在、又は存在と不存在との間の遷移を検出する1つ又は2つ以上のセンサ(上述した任意のセンサ等)から受信することが可能である。かかる検知に関する物理的なデータは、光信号の存在、光信号の不存在、光信号の強度、又は上記の何れかをエンコードした二値信号を含む、様々な形態で到来することが可能である、ということが理解されよう。全てのかかる信号は、本書で説明するように、不存在、存在、遷移を検出するために有効に用いることが可能である。   While the robot arm is controlled by the wafer operation as shown in step 302, the encoder directly receives the data related to the position of the robot arm or the position of the drive element (the direction of the rotation direction) that controls the operation of the robot arm. Provided by detecting). This data can be received for a process as shown in step 302. As shown in step 330, the sensor data may include one or more sensors (described above) that detect the presence, absence, or transition between presence and absence of a wafer at a predetermined location within the robotic handler. Or any other sensor). Physical data relating to such detection can come in a variety of forms, including the presence of an optical signal, the absence of an optical signal, the intensity of an optical signal, or a binary signal that encodes any of the above. Will be understood. All such signals can be effectively used to detect the absence, presence, and transition as described herein.

ステップ330に示すように、エンコーダデータ及びセンサデータは、ウェハに関する位置データ(アライメント、ウェハ中心等)を計算するために利用することが可能である。ここで、ウェハの位置を計算するための様々なアルゴリズムの詳細について説明する。明示的には示さないが、ウェハ位置を計算するコントローラその他の装置は、このデータをあらゆる様々な方法に適用してロボットアームの更なる運動を制御することが可能である、ということが理解されよう。特に、このデータは、目的場所における正確な配置のために使用することが可能である。該データはまた、その格納を行い、同じウェハが更なる運動のために取り出される際にウェハ位置の初期推定値として使用することが可能である。   As shown in step 330, the encoder data and sensor data can be used to calculate position data about the wafer (alignment, wafer center, etc.). Details of various algorithms for calculating the wafer position will now be described. Although not explicitly shown, it is understood that a controller or other device that calculates the wafer position can apply this data in any of a variety of ways to control further movement of the robot arm. Like. In particular, this data can be used for accurate placement at the destination location. The data can also be stored and used as an initial estimate of the wafer position when the same wafer is removed for further motion.

4つの入口及び4つのセンサを有する図2の実施形態では、(ステップ330で遷移時に取得される)ウェハ縁部データを使用して移送経路に対するウェハの中心を判定し、これによりその検出位置から宛先位置へのウェハの移動が容易となる。センサ位置、ロボット位置、及び目的場所の位置(プロセスチャンバ又はロードロック内等)は、世界座標系で規定され、これによりウェハ操作用ロボット式モジュールを含むウェハ処理システム内の上述その他の要素の相対的な位置を判定するのが容易となる。世界座標系は、センサ位置に関連して有利に定めることが可能である。   In the embodiment of FIG. 2 having four inlets and four sensors, the wafer edge data (obtained during the transition at step 330) is used to determine the center of the wafer relative to the transfer path, and thus from its detection location. The wafer can be easily moved to the destination position. The sensor position, robot position, and destination location (such as in a process chamber or load lock) are defined in a global coordinate system, which is relative to the above other elements in a wafer processing system including a robotic module for wafer handling. It is easy to determine the correct position. The world coordinate system can be advantageously defined in relation to the sensor position.

トレーニングを通して、コントローラは、例えばロボットのエンドエフェクタの特徴を検出するためにセンサデータを使用し及びエンコーダからの同時値を記録して、ロボット位置又はエンコーダデータを世界座標系に関連づけることが可能である。このようにして、該コントローラは、エンコーダ値を世界座標系へマップすることが可能であり、これにより、ロボットが移動した際に該ロボットの世界座標系位置を分かるようになる。該コントローラは、ウェハ処理システム内の他の要素(宛先等)の世界座標を同様に求めて、該ウェハ処理システムの要素の世界座標マップを作成することが可能である。ロボット位置の世界座標系との関連づけは、追加的に又は代替的に、目盛り付きの器具又はロボットにより支持される計測用ツールを用いて手作業で行うことが可能である。上記は、例示のみを目的としたものであり、ロボット位置を世界座標系へ関連づける多くの技術が当業界で知られており、それらを本書で説明するシステムに有効に使用することが可能である、ということが理解されよう。例えば、センサベースの世界座標系は、考え得る1つの手法であるが、エンドエフェクタベースの世界座標系を使用して同様の中心検出機能を実行することが可能である。   Through training, the controller can associate sensor position or encoder data to the world coordinate system, using sensor data, for example, to detect robot end effector features and recording simultaneous values from the encoder. . In this way, the controller can map the encoder values to the world coordinate system, so that when the robot moves, the position of the world coordinate system of the robot can be known. The controller can similarly determine the world coordinates of other elements (such as destinations) in the wafer processing system and create a world coordinate map of the elements of the wafer processing system. The association of the robot position with the world coordinate system can additionally or alternatively be done manually using a scaled instrument or a measuring tool supported by the robot. The above is for illustrative purposes only, and many techniques for associating robot positions to the world coordinate system are known in the art and can be used effectively in the systems described herein. Will be understood. For example, a sensor-based world coordinate system is one possible approach, but it is possible to perform a similar center detection function using an end effector-based world coordinate system.

ロボットアームのトレーニングが適当に行われた後、図3に示すように、ウェハが引き込み/回転/延伸運動を介して操作されている間にセンサデータを取得することが可能となる。ウェハが、所定の場所を有する複数のセンサにわたって非直線的な経路で移動する場合、複数の技術を適当に利用してウェハ位置を求めることが可能である。かかる技術の幾つかを、制限ではなく例示を目的として、以下で詳細に説明する。   After the robot arm has been properly trained, sensor data can be acquired while the wafer is being manipulated via a pull / rotate / stretch movement, as shown in FIG. If the wafer moves in a non-linear path across multiple sensors having a predetermined location, it is possible to determine the wafer position using multiple techniques as appropriate. Some of these techniques are described in detail below for purposes of illustration and not limitation.

ウェハの中心及び半径を推定するために、世界座標による縁部ポイントデータを、同時に存在する円の方程式に適用することが可能である。例えば、Linear Algebra and its Applications by Gilbert Strang (Academic Press, Inc. 1980) に記載されているように、それらの方程式を行列形式へ変換し、いわゆる疑似逆を使用して、該行列に対する最小二乗解を提供することが可能である。この解は、円周と検出された縁部ポイントとの間の二乗誤差を最小限にするものである。この解から中心位置及び半径を算出することができる。数学的に言えば、円の一般式を次のように表すことが可能である。   To estimate the center and radius of the wafer, the edge point data in world coordinates can be applied to the equation of the circles that exist simultaneously. For example, as described in Linear Algebra and its Applications by Gilbert Strang (Academic Press, Inc. 1980), the equations are converted to matrix form and the so-called pseudoinverse is used to compute the least squares solution for the matrix. Can be provided. This solution minimizes the square error between the circumference and the detected edge points. From this solution, the center position and radius can be calculated. Mathematically speaking, the general formula of a circle can be expressed as follows:

(x−xc2+(y−yc2=r2
これは次のように書き換えることが可能である。
(X−x c ) 2 + (y−y c ) 2 = r 2
This can be rewritten as follows.

2+y2+Dx+Ey+F=0
ここで、
D≡−2xc,E≡−2yc,F≡xc 2+yc 2−r2
円周からのn個のポイントが与えられた場合、n個の方程式の行列を次のように作成することが可能である。
x 2 + y 2 + Dx + Ey + F = 0
here,
D≡−2x c , E≡− 2 y c , F≡x c 2 + y c 2 −r 2
Given n points from the circumference, a matrix of n equations can be created as follows:

Figure 0005959138
Figure 0005959138

Ax=b
3つのポイントが存在する場合には、行列Aは正方行列であり、その解は行列Aを反転させて次のように表現することが可能である。
Ax = b
When there are three points, the matrix A is a square matrix, and its solution can be expressed as follows by inverting the matrix A.

x=A-1
4つ以上のポイントが利用可能な場合には、上述のように疑似逆を用いて問題に対する最小二乗解を提供することが可能である。これは次のように表すことができる。
x = A −1 b
If more than four points are available, pseudo-inverse can be used to provide a least squares solution to the problem as described above. This can be expressed as:

x=(ATA)-1T
この解は、円周と全てのポイントとの間の二乗誤差を最小限にするものとなる。ベクトルxについての解から、円形のウェハに関する中心位置及び推定半径をD,E,Fより計算することが可能である。
x = (A T A) −1 A T b
This solution will minimize the square error between the circumference and all points. From the solution for the vector x, the center position and estimated radius for a circular wafer can be calculated from D, E, F.

ノッチ検出の場合には、計算された中心からの検出された各ポイントの距離を判定することが可能であり、(任意の適当な基準を用いた)所望の円形に適合しないあらゆるポイントを除去し、その後に中心及び半径を再計算することが可能である。このように、位置合わせ用ノッチは、検出された縁部ポイントであって何らかの所定のしきい値又は許容範囲を超えることにより計算された円から外れるものを識別することにより、これらの計算で検出することが可能である。中心検出のため、これらのポイントを除去することが可能である。ウェハ形状に関する一般的な情報も使用して、ウェハではなくロボットの構成要素に関連する可能性のあるポイントを検出する(及びそれ以降の計算から除外する)ことが可能である。一態様では、本システムは、期待される円周に近い特異点(これは位置合わせ用ノッチに起因するものである可能性がある)と、期待される円周から離れている特異点とを区別することが可能であり、これによりウェハの回転方向の位置合わせを回復させることも可能となる。一般に、かかる区別は、変動の相対的な大きさ、並びにロボットアームが予期しないウェハの存在を一般に生じさせることになる間に予期しないウェハの不存在によって位置合わせ用ノッチが特徴付けられるという一般概念に基づくものとすることが可能である。   In the case of notch detection, it is possible to determine the distance of each detected point from the calculated center and remove any points that do not fit the desired circle (using any suitable criteria). The center and radius can then be recalculated. Thus, alignment notches are detected in these calculations by identifying detected edge points that deviate from the calculated circle by exceeding some predetermined threshold or tolerance. Is possible. These points can be removed for center detection. General information about the wafer shape can also be used to detect (and exclude from further calculations) points that may be related to robotic components rather than the wafer. In one aspect, the system includes a singularity that is close to the expected circumference (which may be due to the alignment notch) and a singularity that is far from the expected circumference. It is possible to distinguish them, and it is possible to restore the alignment in the rotation direction of the wafer. In general, such a distinction is the general notion that the alignment notch is characterized by the relative magnitude of the variation, as well as the absence of an unexpected wafer while the robot arm will generally cause the presence of an unexpected wafer. It can be based on

更に、半径方向の変位、直線的な変位、又はその他のエンドエフェクタに対するウェハの単純な又は複雑な運動といった運動中の様々な事象を検出し明らかにし、これを当業者にとって既知の技術に用いることが可能である。   In addition, various events in motion, such as radial displacement, linear displacement, or other simple or complex motion of the wafer relative to the end effector, are detected and clarified and used in techniques known to those skilled in the art. Is possible.

ウェハ検出に関連する複数の機能を有用に実行することが可能である。例えば、本書において設計されたシステムは、ロボットアームのリンクのオフセットを計算し、センサの場所を較正し、光センサのためのビーム幅を較正し、エンドエフェクタに対するウェハの中心位置を計算し、所定の場所におけるウェハの存在を検知し、スロットバルブドアが解放され又は遮断されているときを判定し、及びプロセスモジュール、ロードロック、及び製造設備内の他の連結されたモジュール内のウェハの正確な配置を提供することが可能である。複数の関連する処理の例を以下で説明する。   A number of functions related to wafer detection can be usefully performed. For example, the system designed in this document calculates the robot arm link offset, calibrates the sensor location, calibrates the beam width for the optical sensor, calculates the wafer center position relative to the end effector, Detect the presence of wafers at the location, determine when the slot valve door is open or shut off, and accurate wafers in process modules, load locks, and other connected modules in the manufacturing facility An arrangement can be provided. An example of a plurality of related processes will be described below.

上記技術並びにその他の適当な中心検出技術を使用してロボット式ハンドラ及びセンサを動作させてウェハの場所を判定することが可能である。一実施形態では、本システムは、引き込み(ステップ304)及び回転(ステップ306)中にセンサデータを追跡し、延伸(ステップ308)の開始時にウェハ中心の計算を開始する。この実施形態では、前記回転の後に、プロセッサが、瞬時的な半径及びウェハ中心の角度を(例えば上述した最小二乗を用いて)計算し、及び適当な大域座標系(例えばエンドエフェクタ、モジュール等)への変換等によりセンサ位置を計算することが可能である。この推定された半径を期待される値と比較して特異点を検出し除去することが可能である。次いで、後続のセンサの遷移についての測定値から誤差ベクトルを導出して、ウェハの予想される軌道を修正するために該誤差ベクトルを適用することが可能である。このため、一態様では、ロボット式ハンドラは、引き込み及び回転中にセンサデータを収集し、及び延伸中に更なるセンサデータを収集すると共にウェハ位置を計算することが可能である。   The robotic handlers and sensors can be operated to determine the location of the wafer using the above techniques as well as other suitable center detection techniques. In one embodiment, the system tracks sensor data during pull-in (step 304) and rotation (step 306) and begins calculating the wafer center at the start of stretching (step 308). In this embodiment, after the rotation, the processor calculates the instantaneous radius and wafer center angle (eg, using the least squares described above) and an appropriate global coordinate system (eg, end effector, module, etc.). It is possible to calculate the sensor position by, for example, conversion to. It is possible to detect and remove singularities by comparing this estimated radius with the expected value. An error vector can then be derived from measurements for subsequent sensor transitions and applied to correct the expected trajectory of the wafer. Thus, in one aspect, the robotic handler can collect sensor data during retraction and rotation, and collect additional sensor data during stretching and calculate the wafer position.

中心検出計算に他の技術を用いることが可能である。一実施形態では、各センサの遷移事象に関する時間データと共に、リアルタイムで(例えば、2kHzで、0.5ms毎に、50ms毎に、又はその他の適当な周波数又は時間増分で)のエンコーダの更新を用いて、カルマンフィルタを利用することが可能である。   Other techniques can be used for the center detection calculation. In one embodiment, using encoder updates in real time (eg, at 2 kHz, every 0.5 ms, every 50 ms, or other suitable frequency or time increments) with time data about each sensor transition event. It is possible to use a Kalman filter.

図4は、カルマンフィルタを用いたウェハ中心検出方法を示している。一般に、ステップ330に示すようなウェハ位置の計算は、カルマンフィルタを使用して行うことが可能であり、該カルマンフィルタは、エンコーダデータを適用してウェハ位置を判定し及び/又はセンサの遷移を予測する。しかし、図3に示す一般的な方法に対する変形例として、(中心検出用)カルマンモデルを定期的に更新することが可能である。より詳細には、ステップ330に示すように、センサの各遷移時に該遷移の時刻(及び必要に応じてセンサの識別子及び/又は場所)を含むセンサデータを受信する。このデータに基づいて、ステップ410に示すように、前記場所における期待される遷移時刻と測定された遷移時刻との間の誤差を計算することが可能である。次いで、ステップ420に示すように、この誤差データを用いてカルマンフィルタを更新して、それ以降の推定を一層正確なものとすることが可能である。このように、一般に、エンコーダデータを用いてロボットアームの制御のためのウェハ中心データを提供すると共に、実際の検出された遷移を用いて中心検出モデル(例えば拡張カルマンフィルタの方程式)を更新することが可能である。   FIG. 4 shows a wafer center detection method using a Kalman filter. In general, the calculation of the wafer position as shown in step 330 can be performed using a Kalman filter that applies encoder data to determine wafer position and / or predict sensor transitions. . However, as a modification of the general method shown in FIG. 3, the Kalman model (for center detection) can be periodically updated. More specifically, as shown in step 330, sensor data is received at each transition of the sensor, including the time of the transition (and the sensor identifier and / or location, if necessary). Based on this data, it is possible to calculate the error between the expected transition time at the location and the measured transition time, as shown in step 410. Then, as shown in step 420, the Kalman filter can be updated with this error data to make subsequent estimations more accurate. Thus, in general, the encoder data can be used to provide wafer center data for control of the robot arm and the center detection model (e.g., extended Kalman filter equation) can be updated using the actual detected transitions. Is possible.

例えば、ウェハが、特定の位置(Xe,Ye)に配置され、及び推定される速度及び加速度V,aで移動する場合には、該モデルは、時刻teでトリガされるセンサを予測し、本システムが、時刻tsで実際の遷移を識別する可能性がある。時刻ts(又は随意選択的にそのタイムスタンプ)で測定されたエンコーダ位置は、次式で表される誤差を生成することが可能である。 For example, the wafer is placed in a particular position (Xe, Ye), and velocity and acceleration V is estimated, when moving in a can, the model predicts a sensor is triggered at time t e, this system, it is possible to identify the actual transition at time t s. The encoder position measured at time t s (or optionally its time stamp) can produce an error represented by:

Figure 0005959138
Figure 0005959138

次いで、例えばApplied Optimal Estimation by Arthur Gelb(MIT Press 1974)に記載されているように拡張カルマンフィルタ方程式を使用することが可能である。Gelb に記載されている方程式の適用は、システムモデル:   The extended Kalman filter equation can then be used as described, for example, in Applied Optimal Estimation by Arthur Gelb (MIT Press 1974). The application of the equations described in Gelb applies to the system model:

Figure 0005959138
Figure 0005959138

及び測定モデル: And measurement model:

Figure 0005959138
Figure 0005959138

として簡潔に記述することが可能である。
ここで、状態推定伝搬は、
Can be described briefly.
Here, the state estimation propagation is

Figure 0005959138
Figure 0005959138

であり、誤差共分散伝搬は、 And the error covariance propagation is

Figure 0005959138
Figure 0005959138

である。 It is.

大きな利点として、この一般化された技術は、円形のウェハを識別するために複数(例えば3つ)のポイントを必要とすることなく個々のセンサ事象を増分的に使用することを可能とする。各ステップの特定の順序が図4により示唆されているが、そこに示されている操作はロボット式ウェハハンドラの動作中に繰り返し実行されるものであり、各ステップの特定の順序又はタイミングを推察すべきではない、ということが理解されよう。にもかかわらず、実施形態によっては、エンコーダデータが絶えずリアルタイムで提供される一方、モデル更新を開始させる遷移がウェハがロボットにより移動される際に断続的に生じることになる、ということは一般に真となる。また、拡張カルマンフィルタは、エンコーダデータをウェハ中心情報へと変換するための1つの有用な技術であるが、他のフィルタ又は線形モデリング技術を同様に適用することが可能である、ということが理解されよう。   As a major advantage, this generalized technique allows the use of individual sensor events incrementally without requiring multiple (eg, three) points to identify a circular wafer. Although the specific order of each step is suggested by FIG. 4, the operations shown there are repeatedly performed during the operation of the robotic wafer handler, inferring the specific order or timing of each step. It will be understood that it should not. Nevertheless, it is generally true that in some embodiments, the encoder data is continuously provided in real time, while the transition that initiates the model update will occur intermittently as the wafer is moved by the robot. It becomes. It is also understood that the extended Kalman filter is one useful technique for converting encoder data into wafer center information, but other filters or linear modeling techniques can be applied as well. Like.

上述の方法及びシステムは、離散位置でのウェハの検出を用いたウェハ中心検出に一般に適用することが可能である。また、ウェハデータを直線的な複数の区分で捕捉するために電荷結合素子又はコンタクトイメージセンサの直線アレイ等の複数の直線的なセンサを用いることが可能である。直線的なセンサを用いた幾つかの装置を以下で説明する。これらの技術では、中心検出は、上述の技術のように複数の離散的なセンサ事象から導出した推論ではなく、イメージデータの直接的な解析を介して一般に達成することが可能である。   The methods and systems described above are generally applicable to wafer center detection using wafer detection at discrete locations. It is also possible to use a plurality of linear sensors such as a charge coupled device or a linear array of contact image sensors to capture wafer data in a plurality of linear segments. Several devices using linear sensors are described below. In these techniques, center detection can generally be achieved through direct analysis of image data rather than inference derived from multiple discrete sensor events as in the techniques described above.

図5は、通過するウェハからイメージデータを捕捉するための直線的なイメージセンサを有する装置を示している。該装置500は、上面502、底面504、内部506、直線的なイメージセンサ508、光源510、及びウェハ512を含むことが可能である。   FIG. 5 shows an apparatus having a linear image sensor for capturing image data from a passing wafer. The apparatus 500 can include a top surface 502, a bottom surface 504, an interior 506, a linear image sensor 508, a light source 510, and a wafer 512.

該装置500は、例えば、ロードロック、バッファ、アライナ、及びロボット式ハンドラといった、半導体製造プロセスで使用される任意の装置とすることが可能である。一実施形態では、装置500は、ウェハを操作するためのエンドエフェクタを有するロボットアーム(図示せず)を含むロボット式ハンドラである。   The device 500 can be any device used in a semiconductor manufacturing process, such as, for example, load locks, buffers, aligners, and robotic handlers. In one embodiment, the apparatus 500 is a robotic handler that includes a robot arm (not shown) having an end effector for manipulating the wafer.

上面502及び底面504は、部分的に内部506を取り囲むことが可能である。図示しないが、装置500はまた、例えば、ウェハを通過させるための複数の入口並びに該装置500の内部506を隔離するためのスロットバルブその他の隔離機構を含むことが可能な側部を有することが可能である、ということが理解されよう。一般に、装置500の様々な表面の形状及び大きさは重要ではないが、その表面の少なくとも1つをウェハの運動の平面に対して平行にし、該表面上にイメージセンサを配置して、内部506を通って移動するウェハからイメージデータを捕捉することができるようにすべきである。   The top surface 502 and the bottom surface 504 can partially surround the interior 506. Although not shown, the apparatus 500 may also have a side that may include, for example, a plurality of inlets for passing a wafer as well as a slot valve or other isolation mechanism for isolating the interior 506 of the apparatus 500. It will be understood that this is possible. In general, the shape and size of the various surfaces of apparatus 500 are not critical, but at least one of the surfaces is parallel to the plane of motion of the wafer and an image sensor is placed on the surface to provide an internal 506. It should be possible to capture image data from a wafer moving through it.

直線的なイメージセンサ508は、図示のように装置500の上面502上に又は該装置の底面上に配置することが可能である。一実施形態では、該直線的なイメージセンサ508は、コンタクトイメージセンサとすることが可能である。市販のコンタクトイメージセンサは、一体化された集束レンズ及び光源510(前記直線センサアレイと平行に側方に位置するLED等)を有する複数の検出器(電荷結合素子等)の直線アレイを一般に含む。従来のコンタクトイメージセンサは、赤色、緑色、及び青色のLED又はそれと同様の広域スペクトルの光源を使用するが、ウェハは、単一色の光源(例えば赤色LED)のみを使用して中心検出のために適当にイメージ化することが可能である。一般に、コンタクトイメージセンサは、操作すべき対象物に近接して配置することが可能である。他の実施形態では、直線的なイメージセンサ508は、電荷結合素子(「CCD」又は相補形金属酸化膜半導体「CMOS」)光センサの直線アレイを含む。該直線アレイは、n個のセンサ(例えば128個のセンサ、又はウェハの一部又は全てにまたがる他の適当な個数のセンサ)を含む1×nアレイ、2×nアレイ、又はその他の適当な一次元又は二次元アレイとすることが可能である。一般に、CCD又はCMOSデバイスは、現行のCISデバイスよりも、イメージ化される対象物から一層遠くへ配置することが可能であり、また一層高い解像度を提供することが可能である。しかし、それらは、良好なイメージ捕捉品質のために追加の外部光を必要とする。一方、CISデバイスは、一般的な半導体ウェハの直径を超える長さのものを容易に入手することが可能であり、イメージ捕捉のための安価な代替策を提供し、及び予めパッケージ化されたアレイに高い精度を提供するものとなる。両技術とも、用途に合わせた適当な適応化と共に本書に記載する実施形態での使用に適したものとなるが、その各々は特定の用途に一層適したものになるという利点を提供するものとなる。これら変形例の幾つかについて以下で説明するが、既述のように、それら技術のうちの何れか又はその他の光技術を、本書で用いる用語「直線的なイメージセンサ508」として有効に使用することが可能である。直線的なイメージセンサ508は、イメージデータを捕捉することが可能となる一定の視野又は測定体積を有する。一般に、直線的なイメージセンサ508は、周囲光、イメージの所望の精度、該センサに関連するレンズその他の光学系等といった、複数の因子によって決まる有効測定体積を有することが可能である。   A linear image sensor 508 can be placed on the top surface 502 of the device 500 as shown or on the bottom surface of the device. In one embodiment, the linear image sensor 508 can be a contact image sensor. Commercially available contact image sensors typically include a linear array of a plurality of detectors (such as charge coupled devices) having an integrated focusing lens and a light source 510 (such as LEDs located laterally parallel to the linear sensor array). . Conventional contact image sensors use red, green, and blue LEDs or similar broad spectrum light sources, but the wafer uses only a single color light source (eg, red LED) for center detection. It is possible to image appropriately. In general, the contact image sensor can be disposed close to an object to be operated. In another embodiment, linear image sensor 508 includes a linear array of charge coupled device (“CCD” or complementary metal oxide semiconductor “CMOS”) photosensors. The linear array can be a 1 × n array, 2 × n array, or other suitable number that includes n sensors (eg, 128 sensors, or other suitable number of sensors that span part or all of a wafer). It can be a one-dimensional or two-dimensional array. In general, CCD or CMOS devices can be placed farther from the object being imaged than current CIS devices and can provide higher resolution. However, they require additional external light for good image capture quality. CIS devices, on the other hand, are readily available in lengths that exceed the diameter of common semiconductor wafers, provide an inexpensive alternative for image capture, and pre-packaged arrays Provides high accuracy. Both technologies are suitable for use in the embodiments described herein, with appropriate adaptations for the application, each providing the advantage of being more suitable for a particular application. Become. Some of these variations are described below, but as noted, any of these techniques or other optical techniques are effectively used as the term “linear image sensor 508” as used herein. It is possible. The linear image sensor 508 has a fixed field of view or measurement volume that allows image data to be captured. In general, a linear image sensor 508 can have an effective measurement volume that depends on a number of factors, such as ambient light, the desired accuracy of the image, lenses and other optics associated with the sensor, and the like.

ウェハ512は、矢印514によって示すような直線経路で装置500内を通過することが可能である。直線経路は、1つの考え得るウェハの運動であるが、多くの他の運動をロボット式ハンドラによって与えることが可能である、ということが理解されよう。例えば、ウェハは、ロボットの回転運動を伴う曲線経路で移動することが可能であり、又は複数の異なる直線経路及び/又は曲線経路からなる非連続的な経路で移動することが可能である。以下で更に説明するように、ウェハは、追加的に又は代替的にその軸を中心として回転することが可能である。かかる走査から得られたデータが一般に直接解析されてウェハ中心が求められ及びその他のウェハ位置データ(例えば回転方向の向き、半径等)が得られるが、取得されたイメージデータは、該イメージデータを正しく解釈するために、例えばエンコーダデータ又はその他のセンサデータを使用して、ロボットの運動と組み合わせられるべきである、ということが理解されよう。   Wafer 512 can pass through apparatus 500 in a linear path as indicated by arrow 514. It will be appreciated that a linear path is one possible wafer movement, but many other movements can be provided by the robotic handler. For example, the wafer can move in a curved path with a rotational movement of the robot, or can move in a non-continuous path consisting of a plurality of different linear and / or curved paths. As described further below, the wafer may additionally or alternatively rotate about its axis. The data obtained from such a scan is generally directly analyzed to determine the wafer center and other wafer position data (eg, rotation direction, radius, etc.). It will be appreciated that for correct interpretation, it should be combined with robot motion, for example using encoder data or other sensor data.

図6は、直線的なウェハ運動を用いたウェハ中心検出のために使用されるコンタクトイメージセンサの平面図を示している。上述の装置500のうちの何れともすることが可能な装置内で、運動方向606に対して直角に位置決めされた単一のCIS608が、位置合わせ用ノッチ604を有するウェハ602を直線運動(矢印606で示す)で通過することが可能である。一実施形態では、CIS608は、310mmの長さを有する単一のモジュールを含むことが可能であり、及び入口を通って装置の内外へとウェハが移動する際に完全なウェハ検出(ノッチ/位置合わせ検出を含む)を提供するように装置への入口を横切って配置することが可能である。この種のウェハ検出は、実際に、ウェハ602の写真複写を提供し、該写真複写からイメージ分析によって位置合わせ及び寸法を直接取得することが可能である。大きな利点として、この構成は、追加のロボットアームの運動等を必要とすることなく完全なウェハ走査を提供する。このため、該移送装置のスループットは、ロボットその他の制約によってのみ制限される速度で処理を行うことが可能となる。他の実施形態では、複数の入口の各々に(例えば正方形のロボット式ハンドラの4つの入口に)かかるCIS608を1つずつ配置することが可能である。単一のCIS608は、追加的又は代替的に、装置の中心と交差するように配置することが可能である。約450mmのCIS608を使用し、単一のCISを全ての4つの入口に対して45°の角度で配置することが可能であり、該CISは、装置を通る全ての直線的なウェハ運動が捕捉可能となるように該装置の中心と交差する。この構成は、装置を通る全ての運動について全てのウェハ寸法データを捕捉するものではないが、それにもかかわらず、考え得るあらゆる運動についてウェハ中心検出のための十分なデータを提供するものであり、ロボット式ハンドラにより更なる運動を提供してウェハ表面全体の走査を確実に行うことが可能である。   FIG. 6 shows a plan view of a contact image sensor used for wafer center detection using linear wafer motion. Within a device that can be any of the devices 500 described above, a single CIS 608 positioned perpendicular to the direction of motion 606 moves the wafer 602 with the alignment notch 604 linearly (arrow 606). It is possible to pass by. In one embodiment, the CIS 608 can include a single module having a length of 310 mm and complete wafer detection (notch / position) as the wafer moves through the inlet into and out of the device. It can be placed across the entrance to the device to provide alignment detection). This type of wafer detection can actually provide a photocopy of the wafer 602, from which alignment and dimensions can be obtained directly by image analysis. As a major advantage, this configuration provides a complete wafer scan without the need for additional robot arm movement or the like. For this reason, the throughput of the transfer device can be processed at a speed limited only by the robot and other constraints. In other embodiments, one such CIS 608 may be placed at each of the plurality of entrances (eg, at the four entrances of a square robotic handler). A single CIS 608 can additionally or alternatively be placed across the center of the device. Using a CIS608 of approximately 450 mm, a single CIS can be positioned at a 45 ° angle to all four inlets, which captures all linear wafer motion through the device. Cross the center of the device as possible. This configuration does not capture all wafer size data for every movement through the apparatus, but nevertheless provides sufficient data for wafer center detection for every possible movement, A robotic handler can provide additional motion to ensure that the entire wafer surface is scanned.

図7は、曲線的なウェハ運動を用いたウェハ中心検出のために使用されるコンタクトイメージセンサの平面図を示している。上述の装置500のうちの何れともすることが可能な装置内で、単一のCIS708が、位置合わせ用ノッチ704を有するウェハ702を曲線運動(矢印706で示す)で通過することが可能である。この構成は、ロボットアームが回転を採用するロボット式ハンドラ内の様々な場所での位置決めに適したものであるが、典型的には結果的に得られるイメージデータが処理されて、ウェハ702がとった非直線経路706が相殺されることになる、ということが理解されよう。。   FIG. 7 shows a plan view of a contact image sensor used for wafer center detection using curvilinear wafer motion. Within an apparatus that can be any of the apparatus 500 described above, a single CIS 708 can pass through a wafer 702 having an alignment notch 704 in a curved motion (indicated by arrow 706). . This configuration is suitable for positioning at various locations within a robotic handler where the robotic arm employs rotation, but typically the resulting image data is processed to capture the wafer 702. It will be appreciated that the non-linear path 706 will be offset. .


図8は、回転ウェハ運動を用いたウェハ中心検出のために使用されるコンタクトイメージセンサの平面図を示している。上述の装置500のうちの何れともすることが可能な装置内で、位置合わせ用ノッチ804を有するウェハ802を、CIS808のほぼ中心に位置する軸を中心として矢印810で示すように回転させることが可能である。この装置内に、ロボット式ハンドラは、z軸制御及び回転用チャックを含むことが可能である。該ロボット式ハンドラは、CIS808の下方でその中心にウェハ802を位置決めし、次いで随意選択的にウェハ802をCIS808の近くへと上昇させて一層正確なイメージ取得を行うことが可能である。次いで該ウェハを180°(又はそれ以上)回転させて、位置合わせ用ノッチ804を含むウェハ802の完全なイメージを取得することが可能である。CIS808は、装置内の中心(装置の内部の中心軸、装置の内側のロボットアームの中心軸、又は装置内の他の何らかのロボットのホームポジション等)に位置決めすることが可能である。この構成は、回転チャックの半回転で完全な走査を有利に得るものとなり、これは、チャックの設計を単純化し、及び走査時間を短縮することが可能なものである。別の利点として、この構成は、(CIS808の長さにより課せられる制限内で)ウェハの大きさにかかわらず完全なウェハ走査を提供することができる。このため、単一のシステムが様々な形状及び大きさについて完全な縁部検出を提供することが可能である。

FIG. 8 shows a plan view of a contact image sensor used for wafer center detection using rotating wafer motion. Within an apparatus that can be any of the above-described apparatus 500, a wafer 802 having an alignment notch 804 can be rotated as shown by arrow 810 about an axis located approximately at the center of CIS 808. Is possible. Within this device, the robotic handler may include a z-axis control and rotation chuck. The robotic handler can position the wafer 802 at the center below the CIS 808 and then optionally raise the wafer 802 closer to the CIS 808 for more accurate image acquisition. The wafer can then be rotated 180 ° (or more) to obtain a complete image of the wafer 802 including the alignment notch 804. The CIS 808 can be positioned at the center within the device (such as the central axis inside the device, the central axis of the robot arm inside the device, or the home position of some other robot within the device). This configuration advantageously provides complete scanning with a half rotation of the rotating chuck, which can simplify the chuck design and reduce scan time. As another advantage, this configuration can provide a full wafer scan regardless of wafer size (within the limitations imposed by the length of CIS 808). Thus, a single system can provide complete edge detection for various shapes and sizes.

図9は、直線的なウェハ運動を用いたウェハ中心検出に使用される一対の直線CCDアレイを示しており、これは、例えば上述した装置500の何れか等の装置への入口に配置することが可能である。この実施形態では、CCDの第1の直線アレイ902及び第2の直線アレイ904は、ウェハ908の直線経路906の一部を横切って配設することが可能である。該アレイ902,904を、例えば、ロボット式ハンドラ等の装置への入口の外側縁部に沿って配置して、該入口をウェハが通過する度にイメージデータを捕捉するようにすることが可能である。同様に、更なるセンサアレイ対を該装置への1つ又は2つ以上の更なる入口に配置することが可能である。この構成は、容易に入手できる市販の短い直線CCDアレイを有利に利用するものであるが、ウェハ908の回転方向の向きを判定するために使用される位置合わせ用ノッチを捕捉することができない可能性のあるものでもある。   FIG. 9 shows a pair of linear CCD arrays used for wafer center detection using linear wafer motion, which is placed at the entrance to a device such as any of the devices 500 described above, for example. Is possible. In this embodiment, the first linear array 902 and the second linear array 904 of CCDs can be disposed across a portion of the linear path 906 of the wafer 908. The arrays 902, 904 can be positioned along the outer edge of the entrance to an apparatus, such as a robotic handler, for example, so that image data is captured each time a wafer passes through the entrance. Similarly, additional sensor array pairs can be placed at one or more additional inlets to the device. This configuration takes advantage of commercially available short linear CCD arrays that are readily available, but may not be able to capture the alignment notches used to determine the orientation of the wafer 908 in the rotational direction. It is also a sexual thing.

図10は、回転ウェハ運動を用いたウェハ中心検出に使用される単一のCCDアレイを示している。この実施形態では、単一の直線CCDアレイ1002は、ロボット式ハンドラ又は上述した他の装置500の何れか等の装置の蓋またはその他の適当な内部表面上に配置することが可能である。ウェハ1004がアレイ1002の下方に適当に配置された後、該ウェハ1004が矢印1006で示すフル回転を受けて、位置合わせ用ノッチ1008の位置を含む該ウェハ1004の全ての縁部データを捕捉することが可能となる。この実施形態は、例えば、上述したz軸運動を伴うロボット式ハンドラ及び回転チャックを用いることが可能である。しかし、この実施形態では、回転チャックは、好適には少なくとも360°回転して、縁部データの完全な捕捉を保証するものとなる。別の実施形態では、ウェハ1004の対向する縁部で2つの同一直線上のアレイを用いて、半回転で完全な縁部走査を得ることが可能である。   FIG. 10 shows a single CCD array used for wafer center detection using rotating wafer motion. In this embodiment, a single linear CCD array 1002 can be placed on the lid or other suitable internal surface of a device such as a robotic handler or any of the other devices 500 described above. After the wafer 1004 is properly positioned below the array 1002, the wafer 1004 is subjected to full rotation as indicated by arrow 1006 to capture all edge data of the wafer 1004 including the position of the alignment notch 1008. It becomes possible. This embodiment can use, for example, the robotic handler and rotary chuck with z-axis motion described above. However, in this embodiment, the rotating chuck is preferably rotated at least 360 ° to ensure complete capture of edge data. In another embodiment, two collinear arrays at opposite edges of the wafer 1004 can be used to obtain a full edge scan in half a turn.

図11は、複合的なウェハ運動を用いたウェハ中心検出に使用される4つのCCDアレイを示している。既述のように、上述した装置500の何れか等の装置は、2つの同一直線上の交差するラインとして配列されて、図1を参照して上述したものとほぼ同様の態様でウェハ経路をカバーする、4つのCCDアレイ1102を含むことが可能である。ウェハ1104は、直線運動及び曲線運動を含む経路1106に沿って装置の内部を横切ることが可能である。一実施形態では、ウェハ1104は、該ウェハ1104の組み合わせ運動の間の何れかのポイントでの位置合わせ用ノッチ1108の検出を保証するように、中心に向かって十分に引き込むことが可能である。   FIG. 11 shows four CCD arrays used for wafer center detection using complex wafer motion. As previously described, any of the above-described apparatus 500, etc., may be arranged as two collinear intersecting lines to navigate the wafer path in a manner substantially similar to that described above with reference to FIG. It is possible to include four CCD arrays 1102 to cover. Wafer 1104 can traverse the interior of the apparatus along a path 1106 that includes linear and curvilinear motion. In one embodiment, the wafer 1104 can be retracted sufficiently toward the center to ensure detection of the alignment notch 1108 at any point during the combined movement of the wafer 1104.

図12は、ロボットアームのエンドエフェクタ上のCCDセンサの平面図を示している。ウェハ操作用のロボットアーム1200は、複数のリンク1202及びエンドエフェクタ1204を含むことが可能である。該エンドエフェクタ1204は、例えばその上に配置されたウェハ1208の4つの縁部位置を識別するために配置された、複数の直線CCDアレイ1206を含むことが可能である。大きな利点として、この構成は、ウェハ1208を直線CCDアレイ1207に非常に近接して配置し、これにより極めて高いイメージ精度が提供される。更に、この設計は、エンドエフェクタ1204による如何なるz軸又は回転運動をも必要としない。しかし、図12から明らかであるように、この構成はまた、ウェハ1208の多くの回転方向の向きについて位置合わせ用ノッチを識別することができない可能性があるものである。   FIG. 12 shows a plan view of the CCD sensor on the end effector of the robot arm. The wafer handling robot arm 1200 may include a plurality of links 1202 and end effectors 1204. The end effector 1204 can include a plurality of linear CCD arrays 1206 arranged, for example, to identify the four edge positions of the wafer 1208 disposed thereon. As a major advantage, this configuration places the wafer 1208 very close to the linear CCD array 1207, which provides very high image accuracy. Furthermore, this design does not require any z-axis or rotational movement by the end effector 1204. However, as is apparent from FIG. 12, this configuration also may not be able to identify alignment notches for many rotational orientations of the wafer 1208.

図13は、回転チャックを有するエンドエフェクタ1204上の単一のCCDセンサの斜視図を示している。この実施形態では、単一の直線CCDアレイ1302を、エンドエフェクタ1304のほぼ中心に配置されたウェハ1306から縁部データを取得する位置で、該エンドエフェクタ1304上に取り付けることが可能である。該エンドエフェクタはまた、ウェハ1306から完全な縁部データを取得する(位置合わせ用ノッチの検出を含む(該ノッチが存在する場合))ために該ウェハ1306を一周回転させる単一軸回転チャックを含むことが可能である。   FIG. 13 shows a perspective view of a single CCD sensor on an end effector 1204 having a rotating chuck. In this embodiment, a single linear CCD array 1302 can be mounted on the end effector 1304 at a location that obtains edge data from a wafer 1306 that is positioned approximately in the center of the end effector 1304. The end effector also includes a single-axis rotating chuck that rotates the wafer 1306 once to obtain complete edge data from the wafer 1306 (including detection of alignment notches (if the notches are present)). It is possible.

複数の外部装置1320は、CCDアレイ1302の使用をサポートすることが可能である。例えば、エンドエフェクタ1304が特定の位置にある間にCCDアレイ1302を照明するために装置内に外部光源を配置することが可能である。別の例として、真空環境内でCCDアレイ1302にワイヤレスで給電するために、該CCDアレイ1302に誘導的に結合される電源を配設することが可能である。別の例として、無線周波数その他のワイヤレストランシーバを用いてCCDからイメージデータをワイヤレスに受信することが可能である。かかるワイヤレス構成では、トランシーバ及び電源結合等をCCDアレイから離して(例えばロボットアームの中心軸又は対応するワイヤレスシステムに近い他の何らかの場所に)配置することが可能である。   Multiple external devices 1320 can support the use of a CCD array 1302. For example, an external light source can be placed in the apparatus to illuminate the CCD array 1302 while the end effector 1304 is in a particular position. As another example, a power supply that is inductively coupled to the CCD array 1302 can be provided to wirelessly power the CCD array 1302 in a vacuum environment. As another example, image data can be received wirelessly from a CCD using a radio frequency or other wireless transceiver. In such wireless configurations, transceivers and power couplings, etc. can be placed away from the CCD array (eg, at the central axis of the robot arm or some other location near the corresponding wireless system).

図14は、ロボット式操作モジュール内の単一のCCDセンサを示している。この実施形態では、単一の直線CCDアレイ1402及びあらゆる関連する光源又はその他の発光手段を、ロボット式ハンドラ又は上述した他の装置500の何れか等の装置の内壁上に取り付けることが可能である。動作時に、エンドエフェクタ1404は、ウェハ1406が(エンドエフェクタ1404から分離して)回転チャック1408の中心に配置されてその縁部がCCDアレイ1402上にくるように該ウェハ1406を位置決めすることが可能である。次いで、該エンドエフェクタ1404は、矢印1410で示すようにz軸運動を提供して該ウェハ1406をチャック1408上へと下降させることが可能である。次いで、チャック1408は、該ウェハ1406を完全に一回転させてウェハの周囲全体の走査を提供することが可能である。該ウェハ1406に関する位置データを捕捉することに加えて、この手法は、該ウェハ1406上の位置合わせ用ノッチを検出することにより(該ノッチが存在する場合)、該ウェハ1406の回転方向の向きを捕捉する。図13の実施形態の場合のように、光源、無線電力結合、又は無線データ送受信機といった装置1420を前記内部内に配置し又は前記モジュールの外部の適当な場所に配置して、本書に記載するウェハ中心検出システムの動作を強化することが可能である。   FIG. 14 shows a single CCD sensor in a robotic operating module. In this embodiment, a single linear CCD array 1402 and any associated light source or other light emitting means can be mounted on the inner wall of a device such as a robotic handler or any of the other devices 500 described above. . In operation, the end effector 1404 can position the wafer 1406 so that the wafer 1406 is positioned at the center of the rotating chuck 1408 (separate from the end effector 1404) and its edge is on the CCD array 1402. It is. The end effector 1404 can then provide z-axis motion as indicated by arrow 1410 to lower the wafer 1406 onto the chuck 1408. The chuck 1408 can then rotate the wafer 1406 one complete revolution to provide a scan of the entire periphery of the wafer. In addition to capturing position data for the wafer 1406, this approach detects the alignment notch on the wafer 1406 (if the notch is present) to determine the orientation of the wafer 1406 in the rotational direction. To capture. As in the embodiment of FIG. 13, a device 1420, such as a light source, wireless power combiner, or wireless data transceiver, is located within the interior or at a suitable location outside the module and is described herein. It is possible to enhance the operation of the wafer center detection system.

上述した実施形態では、ロードロック、ロボット式ハンドラ、又は移送ステーションといった装置内に(又は特定の実施形態ではエンドエフェクタ上に)センサを含むが、上記技術は製造システム内の他の場所に配置することが可能である、ということが理解されよう。例えば、上記技術のうち任意のものをアライナとして使用するよう適当に適応させることが可能である。同様に、数多くの上記技術をロボットハンドラ又は移送ステーション等の他の装置内の測定ステーションとして使用するよう適当に適応させることが可能である。かかる実施形態では、ロボット式ハンドラからの他の入口又は出口経路を妨げない測定ステーションのための空間を提供することにより、又は他のロボット活動からz軸上で変位した場所で測定を行うことにより、測定ステーションがウェハを走査すると同時にロボットが他のウェハ運動を行うことが可能となる。   The above-described embodiments include sensors in devices such as load locks, robotic handlers, or transfer stations (or on end effectors in certain embodiments), although the techniques are located elsewhere in the manufacturing system. It will be understood that it is possible. For example, any of the above techniques can be suitably adapted for use as an aligner. Similarly, many of the above techniques can be suitably adapted for use as a measurement station in other devices such as robot handlers or transfer stations. In such embodiments, by providing space for a measurement station that does not interfere with other entrance or exit paths from the robotic handler, or by taking measurements at locations displaced on the z-axis from other robotic activities. The robot can perform other wafer movements as the measurement station scans the wafer.

本書で説明するほうほうは、ハードウェア、ソフトウェア、及び半導体製造用ロボットシステムの監視又は制御に適したそれらの任意の組み合わせで実施することが可能である、ということが理解されよう。各プロセスは、1つ又は2つ以上のマイクロプロセッサ、マイクロコントローラ、埋込型マイクロコントローラ、プログラム可能なディジタル信号プロセッサ又はその他の(1つ又は2つ以上の)プログラム可能な装置、並びに内部及び/又は外部メモリで実施することが可能である。前記プロセスは、追加的に又は代替的に、特定用途集積回路、プログラマブルゲートアレイ、プログラマブルアレイロジック、又は電気信号を処理するために構成することが可能な他の任意の1つの装置又は複数の装置の組み合わせで実施することが可能である。1つ又は2つ以上のプロセスは、C等の構造化プログラミング言語、C++等のオブジェクト指向言語、又は上記装置のうちの1つでコンパイルし又はインタープリトして実行することができる他の任意の高級又は低級プログラミング言語(データベースプログラミング言語及び技術を含む)を用いて作成されたコンピュータにより実行することが可能なコード、並びにプロセッサ、プロセッサアーキテクチャ、又は様々なハードウェア及びソフトウェアの組み合わせの異種の組み合わせとして実施することが可能である、ということが更に理解されよう。かかる変形の全てを本開示の範囲内に含めることが意図されている。   It will be appreciated that the method described herein can be implemented in hardware, software, and any combination thereof suitable for monitoring or controlling a semiconductor manufacturing robotic system. Each process includes one or more microprocessors, microcontrollers, embedded microcontrollers, programmable digital signal processors or other (one or more) programmable devices, and internal and / or Or it can be implemented in an external memory. The process may additionally or alternatively be an application specific integrated circuit, programmable gate array, programmable array logic, or any other device or devices that can be configured to process electrical signals. It is possible to implement with the combination of. One or more processes may be executed in a structured programming language such as C, an object oriented language such as C ++, or the like, compiled or interpreted in one of the above devices. Code that can be executed by a computer written using any high-level or low-level programming language (including database programming languages and technologies), and different types of processors, processor architectures, or combinations of various hardware and software It will be further understood that it can be implemented as a combination. All such variations are intended to be included within the scope of this disclosure.

特定の好適な実施形態に関して本発明を説明してきたが、当業者には他の実施形態が理解され、かかる他の実施形態もまた本書に含まれるものである。   While the invention has been described in terms of certain preferred embodiments, other embodiments will be apparent to those of ordinary skill in the art and such other embodiments are also included herein.

ウェハの位置を検出するための8つのセンサと共にウェハ操作モジュールの平面図を示している。FIG. 6 shows a plan view of a wafer handling module with eight sensors for detecting the position of the wafer. ウェハの位置を検出するための4つのセンサと共にウェハ操作モジュールの平面図を示している。FIG. 2 shows a plan view of a wafer handling module with four sensors for detecting the position of the wafer. ウェハ中心検出のための一般化されたプロセスを示している。Figure 2 shows a generalized process for wafer center detection. カルマンフィルタを用いたウェハ中心検出プロセスを示している。3 shows a wafer center detection process using a Kalman filter. 直線イメージセンサを有する装置を示している。1 shows an apparatus having a linear image sensor. 直線的なウェハ運動を用いたウェハ中心検出に使用されるコンタクトイメージセンサの平面図を示している。FIG. 2 shows a plan view of a contact image sensor used for wafer center detection using linear wafer motion. 曲線的なウェハ運動を用いたウェハ中心検出に使用されるコンタクトイメージセンサの平面図を示している。FIG. 2 shows a plan view of a contact image sensor used for wafer center detection using curvilinear wafer motion. 回転するウェハ運動を用いたウェハ中心検出に使用されるコンタクトイメージセンサの平面図を示している。FIG. 3 shows a plan view of a contact image sensor used for wafer center detection using rotating wafer motion. 直線的なウェハ運動を用いたウェハ中心検出に使用される一対の直線CCDアレイを示している。Figure 2 shows a pair of linear CCD arrays used for wafer center detection using linear wafer motion. 回転するウェハ運動を用いたウェハ中心検出に使用される単一のCCDアレイを示している。Figure 2 shows a single CCD array used for wafer center detection using rotating wafer motion. 複合的なウェハ運動を用いたウェハ中心検出に使用される4つのCCDアレイを示している。4 shows four CCD arrays used for wafer center detection using complex wafer motion. ロボットアームのエンドエフェクタ上のCCDセンサを示している。Fig. 2 shows a CCD sensor on an end effector of a robot arm. 回転チャックを有するエンドエフェクタ上の単一のCCDセンサを示している。Fig. 4 shows a single CCD sensor on an end effector with a rotating chuck. ロボット式操作モジュール内の単一のCCDを示している。A single CCD in a robotic operation module is shown.

Claims (8)

半導体ウェハ操作装置であって、
1つの内部と1つの移送用ロボットと複数の入口とを含む移送用のロボット式操作モジュールであって、該移送用ロボットが、1つの回転軸を有し、及び半導体ウェハを移送するよう構成されている、移送用のロボット式操作モジュールと、
複数のセンサであって、その各センサが、前記移送用ロボットの前記回転軸を中心とした少なくとも一回転を含む該移送用ロボットの運動中に前記内部内の所定の場所における半導体ウェハの存在を検出することが可能なものである、複数のセンサと
を備えており、
該複数のセンサが、
該複数のセンサのうちの2つのセンサが、前記入口の各々毎に配設され、及び該複数のセンサが、前記移送用ロボットによる前記半導体ウェハの運動中に前記内部の全体内で該半導体ウェハの各位置毎に少なくとも2つのセンサが移送用ロボット上に配置された該半導体ウェハを検出するように、該移送用ロボット及び該内部に対して配置され、及び、
少なくとも前記入口の各々に配設された前記センサとは異なる他のセンサが、該移送用ロボットの前記回転軸を中心とした該半導体ウェハの回転運動を直ちに検出するように、該半導体ウェハが前記複数の入口のうちの1つを介して前記内部内に完全に通過した際に該半導体ウェハの外側に該半導体ウェハの直径よりも長い間隔をおいて該内部内に配置される
ように配設されている、半導体ウェハ操作装置。
A semiconductor wafer operating device,
A robotic operation module for transfer including one interior, one transfer robot, and a plurality of inlets, the transfer robot having one rotation axis and configured to transfer a semiconductor wafer A robotic operation module for transfer, and
A plurality of sensors, each of which detects the presence of a semiconductor wafer at a predetermined location within the interior during movement of the transfer robot including at least one rotation about the rotation axis of the transfer robot. It has a plurality of sensors that can be detected,
The plurality of sensors are
Two of the plurality of sensors are disposed at each of the inlets, and the plurality of sensors are located within the interior of the semiconductor wafer during movement of the semiconductor wafer by the transfer robot. at least two sensors to detect the semiconductor wafer, which is disposed on the transfer robot, is disposed with respect to the transfer robot and the internal for each position, and,
As the said sensor disposed in each of at least the inlet is different from other sensors, immediately detects the rotational movement of said semiconductor wafer around the rotation shaft of the transfer robot, the semiconductor wafer is a Arranged so as to be disposed outside the semiconductor wafer at an interval longer than the diameter of the semiconductor wafer when completely passing through the inside through one of a plurality of inlets. A semiconductor wafer handling device.
前記ロボット式操作モジュールの前記内部への前記複数の入口の各々に隣接して2つのセンサが配設され、及び該半導体ウェハ操作装置が、1つ又は2つ以上のロボットからのエンコーダデータに基づく前記半導体ウェハの中心位置の判定に拡張カルマンフィルタによるウェハ位置の推定を適用するよう構成されたプロセッサを含む第2のシステムを更に含む、請求項1に記載の半導体ウェハ操作装置。   Two sensors are disposed adjacent to each of the plurality of entrances into the interior of the robotic manipulation module, and the semiconductor wafer handling device is based on encoder data from one or more robots The semiconductor wafer handling device according to claim 1, further comprising a second system including a processor configured to apply estimation of a wafer position by an extended Kalman filter to the determination of the center position of the semiconductor wafer. 前記入口を、4つ、7つ、又は8つ備えている、請求項1に記載の半導体ウェハ操作装置。   The semiconductor wafer manipulating apparatus according to claim 1, comprising four, seven, or eight inlets. 実質的に円形のウェハを操作するための半導体ウェハ操作装置であって、
複数の入口を介してアクセス可能な1つの内部と、
複数のセンサであって、その各センサが、前記内部内の所定の場所における実質的に円形のウェハの存在を検出することが可能なものである、複数のセンサと
を備えており、
該複数のセンサが、
該複数のセンサのうちの2つのセンサが、前記入口の各々毎に配設され、及び該複数のセンサが、前記移送用ロボットによる前記円形のウェハの運動中に前記内部の全体内で該円形のウェハの各位置毎に少なくとも2つのセンサが該移送用ロボット上に配置された該円形のウェハを検出するように、該移送用ロボット及び該内部に対して配置され、及び、
少なくとも前記入口の各々に配設された前記センサとは異なる他のセンサが、該移送用ロボットが該内部内に位置している場合に該移送用ロボットの中心軸を中心とした該円形のウェハの回転運動を直ちに検出するよう該円形のウェハの直径の外側に配置される
ように配設されており、
前記入口の各々毎に配設された前記センサが、該円形のウェハが該それぞれの入口を介して前記内部内に完全に通過した際に該円形のウェハを検出するよう配置され、該円形のウェハの回転運動を直ちに検出する前記他のセンサが、該円形のウェハが前記複数の入口のうちの1つを介して前記内部内に完全に通過した際に該円形のウェハの直径のすぐ外側に位置する、
半導体ウェハ操作装置。
A semiconductor wafer manipulating apparatus for manipulating a substantially circular wafer,
One interior accessible via multiple entrances;
A plurality of sensors, each sensor comprising a plurality of sensors capable of detecting the presence of a substantially circular wafer at a predetermined location within the interior;
The plurality of sensors are
Two of the plurality of sensors are disposed at each of the inlets, and the plurality of sensors are circular within the interior of the circular wafer during movement of the circular wafer by the transfer robot. And at least two sensors for each position of the wafer are positioned relative to the transfer robot and the interior so as to detect the circular wafer positioned on the transfer robot; and
At least another sensor different from the sensor disposed at each of the inlets is the circular wafer centered on the central axis of the transfer robot when the transfer robot is located in the interior. Arranged to be outside the diameter of the circular wafer so as to immediately detect the rotational movement of
The sensor disposed at each of the inlets is arranged to detect the circular wafer when the circular wafer has completely passed through the interior through the respective inlet. The other sensor that immediately detects the rotational movement of the wafer is just outside the diameter of the circular wafer when the circular wafer has completely passed into the interior through one of the plurality of inlets. Located in the
Semiconductor wafer handling device.
前記入口を、4つ、7つ、又は8つ備えている、請求項4に記載の半導体ウェハ操作装置。   The semiconductor wafer manipulating apparatus according to claim 4, comprising four, seven, or eight inlets. 前記複数のセンサが光センサを含む、請求項4に記載の半導体ウェハ操作装置。   The semiconductor wafer manipulating apparatus according to claim 4, wherein the plurality of sensors include optical sensors. 前記複数のセンサが少なくとも1つの発光ダイオードを含む、請求項6に記載の半導体ウェハ操作装置。   The semiconductor wafer handling device according to claim 6, wherein the plurality of sensors include at least one light emitting diode. 前記移送用ロボットが、前記内部内に前記中心軸を有するロボットアームを含み、該ロボットアームが前記円形のウェハを操作するためのエンドエフェクタを含む、請求項4に記載の半導体ウェハ操作装置。   5. The semiconductor wafer manipulating apparatus according to claim 4, wherein the transfer robot includes a robot arm having the central axis in the interior, and the robot arm includes an end effector for manipulating the circular wafer.
JP2008558497A 2006-03-05 2007-03-05 Semiconductor wafer handling equipment Active JP5959138B2 (en)

Applications Claiming Priority (19)

Application Number Priority Date Filing Date Title
US77960906P 2006-03-05 2006-03-05
US77968406P 2006-03-05 2006-03-05
US77947806P 2006-03-05 2006-03-05
US77946306P 2006-03-05 2006-03-05
US77970706P 2006-03-05 2006-03-05
US60/779,707 2006-03-05
US60/779,684 2006-03-05
US60/779,478 2006-03-05
US60/779,463 2006-03-05
US60/779,609 2006-03-05
US78483206P 2006-03-21 2006-03-21
US60/784,832 2006-03-21
US74616306P 2006-05-01 2006-05-01
US60/746,163 2006-05-01
US80718906P 2006-07-12 2006-07-12
US60/807,189 2006-07-12
US82345406P 2006-08-24 2006-08-24
US60/823,454 2006-08-24
PCT/US2007/063345 WO2007103896A2 (en) 2006-03-05 2007-03-05 Wafer center finding

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013111441A Division JP5689920B2 (en) 2006-03-05 2013-05-28 Wafer center detection

Publications (2)

Publication Number Publication Date
JP2009529248A JP2009529248A (en) 2009-08-13
JP5959138B2 true JP5959138B2 (en) 2016-08-02

Family

ID=39494162

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008558497A Active JP5959138B2 (en) 2006-03-05 2007-03-05 Semiconductor wafer handling equipment
JP2013111441A Active JP5689920B2 (en) 2006-03-05 2013-05-28 Wafer center detection

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013111441A Active JP5689920B2 (en) 2006-03-05 2013-05-28 Wafer center detection

Country Status (4)

Country Link
JP (2) JP5959138B2 (en)
KR (2) KR20080111036A (en)
SG (1) SG172675A1 (en)
WO (3) WO2007103887A2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
CN101925993A (en) 2008-01-25 2010-12-22 应用材料公司 Methods and apparatus for integral local substrate center finder for I/O and chamber slit valves
EP2471065A4 (en) * 2009-08-26 2013-01-30 Veeco Instr Inc System for fabricating a pattern on magnetic recording media
US8406918B2 (en) * 2009-12-21 2013-03-26 WD Media, LLC Master teaching jig
US11587813B2 (en) 2013-12-17 2023-02-21 Brooks Automation Us, Llc Substrate transport apparatus
JP7409800B2 (en) * 2019-08-09 2024-01-09 川崎重工業株式会社 Robot control device, robot, and robot control method
CN110767587B (en) * 2019-10-21 2022-04-01 西安奕斯伟材料科技有限公司 Wafer processing device and loading and unloading method
KR102289382B1 (en) * 2019-12-31 2021-08-12 한국기술교육대학교 산학협력단 Position calibrating method for semiconductor factory
US11813757B2 (en) 2020-10-13 2023-11-14 Applied Materials, Inc. Centerfinding for a process kit or process kit carrier at a manufacturing system
KR20220077384A (en) 2020-12-02 2022-06-09 에스케이실트론 주식회사 Apparatus and method for adjusting position of polishing block

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3740617A (en) * 1968-11-20 1973-06-19 Matsushita Electronics Corp Semiconductor structure and method of manufacturing same
JPS6245041A (en) * 1985-08-23 1987-02-27 Canon Inc Positioning device of circular sheet element
JPS62162342A (en) * 1986-01-13 1987-07-18 Canon Inc Wafer alignment device
JPS62204109A (en) * 1986-03-04 1987-09-08 Yokogawa Electric Corp Measuring instrument for robot arm attitude
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
JP3466607B2 (en) * 1989-09-13 2003-11-17 ソニー株式会社 Sputtering equipment
JP3063999B2 (en) * 1990-09-28 2000-07-12 株式会社アマダ Flexible structure flexibility controller
US5452078A (en) * 1993-06-17 1995-09-19 Ann F. Koo Method and apparatus for finding wafer index marks and centers
US6126380A (en) * 1997-08-04 2000-10-03 Creative Design Corporation Robot having a centering and flat finding means
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
JP4402811B2 (en) * 2000-05-26 2010-01-20 東京エレクトロン株式会社 SUBSTITUTION CONVEYING SYSTEM AND METHOD FOR DETECTING POSITION SHIFT
US6553280B2 (en) * 2000-07-07 2003-04-22 Applied Materials, Inc. Valve/sensor assemblies
KR100803414B1 (en) * 2000-08-16 2008-02-13 레이던 컴퍼니 Near object detection system
JP2002270672A (en) * 2001-03-09 2002-09-20 Olympus Optical Co Ltd Method of alignment and substrate-inspecting apparatus
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US6760976B1 (en) * 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
JP2007528118A (en) * 2003-06-24 2007-10-04 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method of moving device equipped with camera to desired position by control system and control system thereof
JP2005093807A (en) * 2003-09-18 2005-04-07 Hitachi Kokusai Electric Inc Semiconductor manufacturing device
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
JP4445293B2 (en) * 2004-03-11 2010-04-07 株式会社リコー RECORDING PAPER SHAPE MEASURING METHOD AND DEVICE IN IMAGE FORMING APPARATUS, AND IMAGE FORMING APPARATUS WITH RECORDING PAPER SHAPE ABNORMALITY DIAGNOSING FUNCTION IN IMAGE FORMING APPARATUS
JP2006005242A (en) * 2004-06-18 2006-01-05 Canon Inc Apparatus and method for image processing, exposure device, and device manufacturing method

Also Published As

Publication number Publication date
JP5689920B2 (en) 2015-03-25
WO2007103887A2 (en) 2007-09-13
JP2009529248A (en) 2009-08-13
JP2013231726A (en) 2013-11-14
WO2007103870A2 (en) 2007-09-13
KR20080111036A (en) 2008-12-22
WO2007103887A3 (en) 2008-06-12
KR20140042925A (en) 2014-04-07
SG172675A1 (en) 2011-07-28
WO2007103896A2 (en) 2007-09-13
KR101570626B1 (en) 2015-11-19
WO2007103870A3 (en) 2008-11-27
WO2007103896A3 (en) 2008-07-10

Similar Documents

Publication Publication Date Title
JP5959138B2 (en) Semiconductor wafer handling equipment
US8125652B2 (en) Wafer center finding with charge-coupled devices
US8253948B2 (en) Wafer center finding with charge-coupled devices
US8934706B2 (en) Wafer center finding with kalman filter
US20080135788A1 (en) Wafer center finding with contact image sensors
JP6113742B2 (en) Semiconductor substrate position detection apparatus and position detection method
US9448063B2 (en) Method and apparatus for detecting position of substrate transfer device, and storage medium
US6900877B2 (en) Semiconductor wafer position shift measurement and correction
JP7161236B2 (en) Wireless substrate-like teaching sensor for semiconductor processing
CN110323148B (en) Wafer defect sensing system and method
US11894253B2 (en) Method and apparatus for substrate alignment
JP2009218622A (en) Substrate processing apparatus, and substrate position deviation correction method in substrate processing apparatus
US20210035834A1 (en) Systems and methods for inspection stations
CN114342056A (en) Automated teaching of substrate handling for production and process control tools
JPH0737967A (en) Apparatus and method for aligning wafer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081113

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120227

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120522

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130221

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130528

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130516

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140507

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140515

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140801

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160325

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160621

R150 Certificate of patent or registration of utility model

Ref document number: 5959138

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250