JP5729546B2 - Semiconductor design support apparatus, timing constraint generation method, and program - Google Patents

Semiconductor design support apparatus, timing constraint generation method, and program Download PDF

Info

Publication number
JP5729546B2
JP5729546B2 JP2011028999A JP2011028999A JP5729546B2 JP 5729546 B2 JP5729546 B2 JP 5729546B2 JP 2011028999 A JP2011028999 A JP 2011028999A JP 2011028999 A JP2011028999 A JP 2011028999A JP 5729546 B2 JP5729546 B2 JP 5729546B2
Authority
JP
Japan
Prior art keywords
clock
information
asynchronous
cdc verification
cdc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011028999A
Other languages
Japanese (ja)
Other versions
JP2012168718A (en
Inventor
克尚 池内
克尚 池内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2011028999A priority Critical patent/JP5729546B2/en
Publication of JP2012168718A publication Critical patent/JP2012168718A/en
Application granted granted Critical
Publication of JP5729546B2 publication Critical patent/JP5729546B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、LSI開発時の上流工程で実施しているCDC(Clock Domain Crossing:非同期回路)検証結果を利用して、レイアウト後の下流工程で実施する論理合成/レイアウト設計(配置配線)/STA(Static Timing Analysis:タイミング検証)用のタイミング制約を抽出する機能を提供する半導体設計支援装置に関する。   The present invention uses a CDC (Clock Domain Crossing: Asynchronous circuit) verification result performed in an upstream process at the time of LSI development, and performs logic synthesis / layout design (place and route) / STA performed in a downstream process after layout. The present invention relates to a semiconductor design support apparatus that provides a function of extracting a timing constraint for (Static Timing Analysis).

昨今のLSI(Large Scale Integration)開発では、最先端デバイスの微細化(60nm→40nm→28nm)が進むにしたがい、1デバイスに搭載される機能が大規模化/複雑化している。LSIの大規模化/複雑化に伴いLSI内部で使用するクロックライン数が増加する傾向にある。また、今後の更なる微細化に伴いクロックライン数が更に増加すると考えられる。   In recent LSI (Large Scale Integration) development, as the miniaturization of advanced devices (60nm → 40nm → 28nm) progresses, the functions mounted on one device have become larger / complex. There is a tendency that the number of clock lines used in the LSI increases with the increase in scale / complexity of the LSI. In addition, it is considered that the number of clock lines further increases with further miniaturization in the future.

LSI開発時に行なわれるデジタル回路設計では、クロックライン数が増えることが様々な問題の要因となりえ、例えば、以下に示す問題が発生しやすくなる。
(1) メタ・スタビリティ状態が発生する頻度が増え、装置全体のMTBFが低下する。
これは、クロックライン数の増加により、異なるクロック間の伝送経路の組み合わせも増大し、結果として非同期回路の構成が増える。非同期回路は、メタ・スタビリティ状態を発生させる要因となり、メタ・スタビリティ状態が後段回路に伝播することでLSIが誤動作したりし、最終的には装置としてハードウェアの間欠障害を発生させる要因となりやすい。
(2) デバイスとしてのタイミング仕様を満たせず、処理能力が向上しない
これは、クロックライン数の増大により、レイアウト設計時に与えるタイミング制約に不備(クロック記載漏れ、クロック周期誤りなど)が発生しやすくなり、所望の処理速度、対向デバイスとのタイミング規定を満足することができなくなる要因となる。
In digital circuit design performed at the time of LSI development, an increase in the number of clock lines can be a cause of various problems. For example, the following problems are likely to occur.
(1) The frequency of occurrence of the meta-stability state increases, and the MTBF of the entire apparatus decreases.
This is because an increase in the number of clock lines increases the combination of transmission paths between different clocks, resulting in an increase in the number of asynchronous circuits. Asynchronous circuits cause a meta-stability condition, and the meta-stability condition propagates to the subsequent circuit, causing the LSI to malfunction and eventually causing intermittent hardware failures as a device. It is easy to become.
(2) The timing specifications as a device are not met and the processing capability does not improve.
This is because an increase in the number of clock lines tends to cause inadequate timing constraints (clock omission, clock cycle error, etc.) given during layout design, and the desired processing speed and timing specifications with the opposing device may be satisfied. It becomes a factor that becomes impossible.

上記それぞれの問題を回避するためには、以下の方法が取り得る。
(1)には、上流工程(仕様設計時)にて、クロック系統図やタイミング仕様を作成する。これらを参考に、非同期接続部にシンクロナイザ機能を挿入して、論理的に動作保証する。正しくシンクロナイザ機能が挿入されているかをCDC検証ツールにて確認する。
(2)には、下流工程(論理合成/レイアウト設計/STA時)にて、クロック系統図、タイミング仕様からクロック制約、入出力タイミング制約、内部遅延制約などを作成する。正しく漏れなく制約が反映されているかを各ツールにて確認する。
In order to avoid the above problems, the following methods can be taken.
In (1), a clock system diagram and timing specifications are created in an upstream process (specification design). With reference to these, a synchronizer function is inserted into the asynchronous connection portion to guarantee logical operation. Check if the synchronizer function is correctly inserted with the CDC verification tool.
In (2), in the downstream process (logic synthesis / layout design / STA), a clock constraint, an input / output timing constraint, an internal delay constraint, etc. are created from the clock system diagram and timing specifications. Check with each tool whether the constraints are correctly reflected without omission.

なお、LSI開発の設計支援にかかる技術としては、特許文献1に、RTL(Register Transfer Level)で記述された論理回路データからフォルスパスを抽出できる半導体設計支援装置が記載されている。   As a technique for LSI design support, Patent Document 1 describes a semiconductor design support apparatus that can extract a false path from logic circuit data described in RTL (Register Transfer Level).

特開2008−226069号公報JP 2008-226069 A

上記したように、LSIなどの電気回路の設計の大規模化に伴いクロック数が増加したことで回路構成が非常に複雑になり、設計回路に適切なタイミング制約を与えることの難しさが問題となる。換言すれば、適切なタイミング制約を、限られたユーザリソース内で、いかに適正かつ低リソースによって、効率よく行なえる開発フローを提供できるかが課題となる。本発明では、このことに対して、その解決を図る。   As described above, the increase in the number of clocks associated with the increase in the design of electrical circuits such as LSIs makes the circuit configuration very complex, and the difficulty of giving appropriate timing constraints to the design circuit is a problem. Become. In other words, the issue is how to provide a development flow in which appropriate timing constraints can be efficiently performed within limited user resources with appropriate and low resources. In the present invention, this is solved.

一般に、クロックライン数が増えることの問題を回避するためには、仕様策定と共にクロック系統図、タイミング仕様の作成が必要とされている。(1)および(2)として例示した手法でも、クロック系統図およびタイミング仕様の作成が不可欠である。   In general, in order to avoid the problem of an increase in the number of clock lines, it is necessary to create a clock system diagram and a timing specification along with the specification formulation. Even in the methods exemplified as (1) and (2), it is essential to create a clock system diagram and timing specifications.

しかしながら、上記(1)および(2)の手法では以下の問題が発生する。
クロック系統図は、設計途中で変更されることが多々ある。そして多くの場合、その変更内容はソースコードにはリアルタイムで反映されるのに対し、クロック系統図は後追いで修正が入るため、クロック系統図とソースコードの等価性が得られない期間がある。もしくは、クロック系統図すら作成せず、「ソースコード=仕様」という場合もある。
However, the following problems occur in the methods (1) and (2).
The clock system diagram is often changed during the design. In many cases, the contents of the change are reflected in the source code in real time, but the clock system diagram is corrected later, so there is a period in which the equivalence between the clock system diagram and the source code cannot be obtained. Alternatively, there is a case where “source code = specification” without creating a clock system diagram.

特に(2)の場合、下流工程にて、クロック系統図、タイミング仕様から各種ツールが使用するタイミング制約として、クロック制約、入出力タイミング制約、内部遅延制約などを作成する。設計に正しく漏れなく制約が反映されているかを各ツールにて確認する。   Particularly in the case of (2), in the downstream process, a clock constraint, an input / output timing constraint, an internal delay constraint, etc. are created as timing constraints used by various tools from the clock system diagram and timing specifications. Check with each tool whether the design is correctly reflected in the design without omissions.

この際、クロック系統図から各ツールのタイミング制約を作成する際に人手作業になるため、記述ミス、確認漏れが発生しやすくなり、下流工程で何度も配置配線、STAを実行するケースが出やすくなる。大規模開発になればなるほどこのリードタイムは増大するため、レイアウトFixまでに時間を要する。また、上流工程へのフィードバックを行なう場合にも多大なリソースを消費する。   In this case, manual timing is required when creating timing constraints for each tool from the clock system diagram, so it is easy for description errors and omissions to occur, and there are cases where placement and routing and STA are executed many times in the downstream process. It becomes easy. The lead time increases as the development becomes larger, so that it takes time until the layout Fix. In addition, a large amount of resources are consumed when feedback is provided to the upstream process.

本発明は、上記課題に新たなアプローチを試みて成されたものであり、設計者に依存することなく論理合成以後にあたる下流工程にて適切なタイミング制約を与えることを上流工程の処理により可能とする半導体設計支援装置を提供することを目的とする。   The present invention has been made by trying a new approach to the above problem, and it is possible to give an appropriate timing constraint in the downstream process after logic synthesis without depending on the designer by processing of the upstream process. An object of the present invention is to provide a semiconductor design support apparatus.

本発明に係る非同期検証を行なう半導体設計支援装置は、非同期回路を内在する電気回路の設計時に ソースコードに対して行われたCDC検証結果と 当該ソースコードのコーディングに用いられた仕様策定工程で定められた既知情報とから、前記ソースコードに含まれる全てのクロックについて明確化処理してCDC検証用設定ファイルとして収集取得する手段と、前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力する手段とを含み、前記明確化処理として、CDC検証用設定ファイルとして収集取得する手段は、前記CDC検証結果として得られる、クロックラインリスト、クロックに関わる入出力端子リスト、推定した未定義クロックリスト、及びメタ・スタビリティ対策の有無を含む非同期パスリストと、前記既知情報としてクロック系統図及びタイミング仕様を参照し、前記ソースコードに含まれる、全てのクロック、各クロックの周期、クロック間の相関関係、及び個々のクロックに関わる入出力端子を示す情報を、明確化してCDC検証用設定ファイルとして生成することを特徴とする。 The semiconductor design support apparatus for performing asynchronous verification according to the present invention is determined by the CDC verification result performed on the source code at the time of designing the electric circuit including the asynchronous circuit and the specification formulation process used for coding the source code. Means for clarifying all the clocks included in the source code from the known information obtained, and collecting and acquiring as a setting file for CDC verification, asynchronous file obtained from the CDC verification setting file and the CDC verification result A means for extracting and processing a predetermined information from the input / output path for each path so as to match a format readable by a design tool used in a downstream process, and generating and outputting a timing constraint file. The means for collecting and acquiring as a CDC verification setting file is obtained as the CDC verification result. , Clock line list, clock input / output terminal list, estimated undefined clock list, asynchronous path list including presence / absence of meta-stability measures, referring to the clock system diagram and timing specifications as the known information, It is characterized in that all clocks included in the source code, the period of each clock, the correlation between clocks, and information indicating the input / output terminals related to each clock are clarified and generated as a CDC verification setting file. To do.
本発明に係るプログラムは、非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、被検証対象のソースコードの、クロックラインリスト、クロックに関わる入出力端子リスト、推定した未定義クロックリスト、及びメタ・スタビリティ対策の有無を含む非同期パスリストを含むCDC検証結果と 当該ソースコードのクロック系統図及びタイミング仕様を含む既知情報とを参照し、前記ソースコードについて、含まれる全てのクロック、各クロックの周期、クロック間の相関関係、及び個々のクロックに関わる入出力端子を示す情報を抽出処理してCDC検証用設定ファイルとして収集取得する手段として動作させることを特徴とする。   The program according to the present invention includes a control unit of an information processing apparatus that performs asynchronous verification of an electric circuit including an asynchronous circuit, a clock line list of a verification target source code, an input / output terminal list related to a clock, an estimated Referring to the definition clock list and the CDC verification result including the asynchronous path list including the presence or absence of meta-stability measures and the known information including the clock system diagram and timing specifications of the source code, all of the source code included The clock is operated as means for extracting and collecting information indicating the clock cycle, the correlation between the clocks, and the input / output terminals related to the individual clocks as a setting file for CDC verification.
本発明に係るプログラムは、非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、被検証対象のソースコードに含まれる全てのクロックについて、該ソースコードの、クロックラインリスト、クロックに関わる入出力端子リスト、及び推定した未定義クロックリスト、メタ・スタビリティ対策の有無を含む非同期パスリストを含むCDC検証結果と 当該ソースコードのクロック系統図及びタイミング仕様を含む既知情報とを基に、前記ソースコードに含まれる全てのクロック、各クロックの周期、クロック間の相関関係、及び個々のクロックに関わる入出力端子が明確化された情報と CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるようにタイミング制約に関する所定の情報を抽出してタイミング制約ファイルを自動生成する手段として動作させることを特徴とする。   The program according to the present invention includes a control unit of an information processing device that performs asynchronous verification of an electrical circuit that includes an asynchronous circuit, and includes a clock line list of the source code for all clocks included in the source code to be verified, List of input / output terminals related to clock, estimated undefined clock list, CDC verification result including asynchronous path list including presence / absence of meta-stability countermeasure, and known information including clock system diagram and timing specification of source code concerned On the basis of all the clocks included in the source code, the period of each clock, the correlation between the clocks, and the information on the input / output terminals related to each clock, and the asynchronous path obtained from the CDC verification result To a format that can be read by design tools used in downstream processes. Extracts predetermined information on timing constraints to, characterized in that to operate as means for automatically generating a timing constraint file.
本発明に係る情報処理装置による半導体集積回路設計方法は、ソースコードに対してCDC検証を行なって、前記ソースコードから、クロックラインリストと、クロックに関わる入出力端子リストと、推定した未定義クロックリストと、メタ・スタビリティ対策の有無を含む非同期パスリストとをCDC検証情報として抽出処理し、CDC検証結果として抽出された前記CDC検証情報と、既知情報としてクロック系統図とタイミング仕様を参照し、前記ソースコードに含まれる全てのクロックと、各クロックの周期と、クロック間の相関関係と、個々のクロックに関わる入出力端子とを示す情報を、明確化してCDC検証用設定ファイルとして生成処理し、クロックの非同期によるメタ・スタビリティ状態の発生要因となる非同期パスの検出を実行し、要修正パスがあれば、前記ソースコードを 検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正すると共にCDC検証情報の抽出処理に戻り、前記CDC検証情報に含まれる非同期パスリストとから、非同期パス毎に送信クロックと受信クロックとFrom/toパスとを示した非同期パス情報を抽出処理すると共に、該非同期パス情報と前記CDC検証用設定ファイルとから、タイミング制約を示す情報として、下流工程で用いられる論理合成ツール、レイアウトツール、STAツールの少なくとも何れかで読み込み可能な形式に合わせて出力可能とすることを特徴とする。   A method of designing a semiconductor integrated circuit using an information processing apparatus according to the present invention performs CDC verification on a source code, and from the source code, a clock line list, an input / output terminal list related to a clock, and an estimated undefined clock The list and the asynchronous path list including the presence / absence of the meta-stability measure are extracted as CDC verification information, and the CDC verification information extracted as the CDC verification result, and the clock system diagram and the timing specification as the known information are referred to. , Clarification of information indicating all clocks included in the source code, the period of each clock, correlation between the clocks, and input / output terminals related to each clock, and generation processing as a setting file for CDC verification Asynchronous paths that cause metastability due to asynchronous clocks If there is a correction path that needs to be corrected, the source code is corrected so that a meta-stability measure is incorporated into the detected asynchronous path, and the process returns to the CDC verification information extraction process, and the asynchronous code included in the CDC verification information is returned. Asynchronous path information indicating a transmission clock, a reception clock, and a From / to path for each asynchronous path is extracted from the path list, and timing constraints are indicated from the asynchronous path information and the CDC verification setting file. The information can be output in a format that can be read by at least one of a logic synthesis tool, a layout tool, and a STA tool used in a downstream process.
本発明に係る情報処理装置によるタイミング制約生成方法は、非同期回路を内在する半導体集積回路の設計時に、仕様策定工程における決定した仕様に基づきコーディングされたソースコードに対して情報処理装置によってCDC検証を実行して、クロックラインリスト、クロックに関わる入出力端子リスト、推定した未定義クロックリスト、及びメタ・スタビリティ対策の有無を含む非同期パスリストをCDC検証結果として取得し、前記情報処理装置によって、取得した前記CDC検証結果と 前記ソースコードのクロック系統図とタイミング仕様を含む既知情報とを参照し、前記ソースコードについて、含まれる全てのクロック、各クロックの周期、クロック間の相関関係、個々のクロックに関わる入出力端子を示す情報を抽出処理してCDC検証用設定ファイルを生成し、前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力し、下流工程で用いられる設計ツールが前記情報処理装置によって生成されたタイミング制約ファイルを読み込み、各クロックのタイミング制約を識別して、非同期検証を行なうことを特徴とする。  In the timing constraint generation method by the information processing apparatus according to the present invention, the CDC verification is performed by the information processing apparatus on the source code coded based on the specification determined in the specification formulation process when designing the semiconductor integrated circuit including the asynchronous circuit. And an asynchronous path list including a clock line list, an input / output terminal list related to the clock, an estimated undefined clock list, and the presence / absence of meta-stability countermeasures as a CDC verification result, With reference to the obtained CDC verification result and known information including the clock system diagram and timing specifications of the source code, all the included clocks, the period of each clock, the correlation between clocks, Extract and process information indicating input / output terminals related to clock Generate a configuration file for CDC verification, and match the format that can be read by the design tool used in the downstream process from the configuration file for CDC verification and the input / output path for each asynchronous path obtained from the CDC verification result Extracts predetermined information and generates and outputs a timing constraint file. The design tool used in the downstream process reads the timing constraint file generated by the information processing device, identifies the timing constraint of each clock, and performs asynchronous verification. It is characterized by performing.

本発明によれば、設計者に依存することなく論理合成以後にあたる下流工程にて適切なタイミング制約を与えることを上流工程の処理により可能とする半導体設計支援装置を提供できる。   According to the present invention, it is possible to provide a semiconductor design support apparatus that can provide an appropriate timing constraint in a downstream process after logic synthesis without depending on a designer by processing in an upstream process.

実施の一形態にかかる半導体設計支援装置100の構成を示すブロック図である。1 is a block diagram showing a configuration of a semiconductor design support apparatus 100 according to an embodiment. 実施の一形態にかかる半導体設計支援装置100の動作を示すフローチャートである。It is a flowchart which shows operation | movement of the semiconductor design support apparatus 100 concerning one Embodiment. 人為的にタイミング制約を作り上げる工程例とその各工程で使用される情報の関連性を示す説明図である。It is explanatory drawing which shows the relevance of the example of a process which makes timing restrictions artificially, and the information used by each process. 自動的にタイミング制約を抽出生成するとその各工程で使用される情報の関連性を示す説明図である。It is explanatory drawing which shows the relevance of the information used at each process, if a timing constraint is extracted and generated automatically.

本発明の実施の一形態を図1ないし図4に基づいて説明する。なお、本発明と関係が薄い部分および自明な部分については説明を簡略化または省略する。   An embodiment of the present invention will be described with reference to FIGS. It should be noted that description of parts that are not related to the present invention and obvious parts is simplified or omitted.

図1は、実施の一形態にかかる半導体設計支援装置100の構成の一部を示すブロック図である。
図示するように、半導体設計支援装置100は、CDC検出ツール実行部110、クロック明確化処理部120、メタスタビリティ対策部130、データ形式変換部140、記憶部150を含んで成っている。図示する構成に既存の半導体設計支援装置と同様の構成を加えて運用することが望ましい。
FIG. 1 is a block diagram illustrating a part of the configuration of a semiconductor design support apparatus 100 according to an embodiment.
As illustrated, the semiconductor design support apparatus 100 includes a CDC detection tool execution unit 110, a clock clarification processing unit 120, a metastability countermeasure unit 130, a data format conversion unit 140, and a storage unit 150. It is desirable to operate by adding the same configuration as the existing semiconductor design support apparatus to the configuration shown in the figure.

CDC検証ツール実行部110は、記憶部150に記録されているソースコードに対してCDC検証を行なって、ソースコードから、クロックラインリストと、クロックに関わる入出力端子リストと、未定義クロックリストと、パスのメタ・スタビリティ対策の有無を示す情報を含む非同期パスリストとをCDC検証情報として抽出して記憶部150に記録するように構成されている。出力されるCDC検証情報は、1つのレポートファイルとしてもよいし、複数のレポートファイルに分けて出力するようにしてもよい。
なお、CDC検証ツールは、既存のCDC検証ツールを使用すればよく、例えば『Mentor Graphics社製0-In CDC』を用いればよい。
The CDC verification tool execution unit 110 performs CDC verification on the source code recorded in the storage unit 150, and from the source code, a clock line list, a clock input / output terminal list, an undefined clock list, The asynchronous path list including information indicating the presence or absence of the path meta-stability measure is extracted as CDC verification information and recorded in the storage unit 150. The output CDC verification information may be a single report file or may be divided into a plurality of report files and output.
As the CDC verification tool, an existing CDC verification tool may be used. For example, “0-In CDC manufactured by Mentor Graphics” may be used.

クロック明確化処理部120は、CDC検証ツール実行部110によって作成されたCDC検証情報と予め仕様策定として作成されているクロック系統図やタイミング仕様などの既知情報を参照し、CDC検証用設定ファイルとして、次の情報を明確化した後、記憶部150に記録するように構成されている。CDC検証用設定ファイルには、CDC検証情報を抽出したソースコードに含まれていた全てのクロック、それら各クロックの周期(周波数)、クロック間の相関関係、個々のクロックに関わる入出力端子を含める。これらの情報は、必要に応じてクロック系統図やタイミング仕様から各情報を抽出した後に、再度CDC検証ツールによるCDC検証情報の修正を行ないつつ、ソースコードに含まれる全てのクロックについて明確化処理する。
なお、クロック系統図とタイミング仕様は、上流工程で予め作成されており、検証を行なうソースコードがこれらに対応して作られている。
The clock clarification processing unit 120 refers to the CDC verification information created by the CDC verification tool execution unit 110 and known information such as a clock system diagram and timing specifications created in advance as a specification formulation, and serves as a CDC verification setting file. The following information is clarified and then recorded in the storage unit 150. The CDC verification setting file includes all clocks included in the source code from which the CDC verification information is extracted, the period (frequency) of each clock, the correlation between the clocks, and input / output terminals related to each clock. . These pieces of information are extracted from the clock system diagram and timing specifications as necessary, and then the CDC verification information is corrected again by the CDC verification tool and clarified for all clocks included in the source code. .
Note that the clock system diagram and timing specifications are created in advance in the upstream process, and source code for verification is created corresponding to these.

メタスタビリティ対策部130は、CDC検証ツール実行部110によって作成されたCDC検証情報からメタ・スタビリティ対策を要する非同期パスを検出すると共に、記憶部150に記録されているソースコードに対して、検出した非同期パスにメタ・スタビリティ対策が組み込まれるようにコードを修正するように構成されている。
なお、非同期パスに対策を講じないとすると、そのパスがクロックの非同期によるメタ・スタビリティ状態を発生する要因となり得る。
The metastability countermeasure unit 130 detects an asynchronous path that requires a metastability measure from the CDC verification information created by the CDC verification tool execution unit 110 and detects the source code recorded in the storage unit 150. The code is modified so that meta-stability measures are incorporated into the asynchronous path.
If no measures are taken for an asynchronous path, the path may cause a meta-stability state due to asynchronous clocks.

データ形式変換部140は、記憶部150に記録されているCDC検証用設定ファイルとCDC検証情報に含まれる非同期パスリストとから、非同期パス毎に送信クロックと受信クロックとFrom/toパスとを示した非同期パス情報を抽出すると共に、そのCDC検証用設定ファイルと非同期パス情報とから、タイミング制約を示す情報として、下流工程で用いられる各ツールで読み込み可能な形式に合わせて記憶部150に出力して保存するように構成されている。すなわち、タイミング制約を示す情報は、データ形式変換部140によって各ツールのフォーマットに合わせられてタイミング制約ファイルとされるので、そのまま 例えば『Synopsys社製Design Compiler』のような既存の論理合成ツールやレイアウトツール、『Synopsys社製PrimeTime』のようなSTAツールが使用できることとなる。   The data format conversion unit 140 indicates a transmission clock, a reception clock, and a From / to path for each asynchronous path from the CDC verification setting file recorded in the storage unit 150 and the asynchronous path list included in the CDC verification information. Asynchronous path information is extracted and output from the CDC verification configuration file and asynchronous path information to the storage unit 150 as information indicating timing constraints in a format that can be read by each tool used in the downstream process. Configured to save. In other words, the information indicating the timing constraint is adjusted to the format of each tool by the data format conversion unit 140 to be a timing constraint file, so that an existing logic synthesis tool or layout such as “Synopsys Design Compiler” is used as it is. STA tools such as the tool “Synopsys PrimeTime” can be used.

記憶部150は、上記各部で用いられるCDC検証ツールや、ソースコード、クロック系統図、タイミング仕様などを予め記憶保持すると共に、各部で抽出生成されたCDC検証情報や、CDC検証用設定ファイル、タイミング制約ファイルなどが記憶保持される。また、各種要求に応じてデータ形式変換部140が生成したタイミング制約を示す情報を提供する。   The storage unit 150 stores and holds in advance the CDC verification tool, source code, clock system diagram, timing specifications, and the like used in the above units, and also extracts and generates CDC verification information, CDC verification setting files, timings, etc. A constraint file is stored and held. In addition, information indicating timing constraints generated by the data format conversion unit 140 in response to various requests is provided.

このように構成することによって、設計者に依存することなく下流工程にて正しく漏れなくタイミング制約を与えることを可能とする半導体設計支援装置100を得られる。   By configuring in this way, it is possible to obtain the semiconductor design support apparatus 100 that can give timing constraints correctly and without omission in the downstream process without depending on the designer.

次に、実施の一形態である半導体設計支援装置100の動作を説明する。   Next, the operation of the semiconductor design support apparatus 100 according to the embodiment will be described.

図2は、半導体設計支援装置100の動作例を示すフローチャートである。
図2において、CDC検証結果から各部がタイミング制約を自動生成する処理を、より具体的にフローの項番1〜13として分割して説明する。
FIG. 2 is a flowchart showing an operation example of the semiconductor design support apparatus 100.
In FIG. 2, the process in which each unit automatically generates timing constraints from the CDC verification result will be described more specifically as item numbers 1 to 13 of the flow.

項番1:CDC検出ツール実行部110は、初めてCDC検証を実施する場合には、まずはソースコードのみを入力にCDC検証ツールを用いて解析を実施する。2回目以降の解析は、CDC検証用設定ファイルをも使用して解析する。CDC検出ツール実行部110は、解析として、ソースコード上の順序回路のクロックピンに接続されている信号を全て洗い出し、短絡接続されている信号同士を同一ラインとみなして、CDC検証情報として以下の3とのレポートを出力する。
・ レポートファイル(1):クロックラインリストと入出力端子リストの設定テンプレート
・ レポートファイル(2):未定義クロックリスト(ツール推定)
・ レポートファイル(3):非同期パスリストとメタ・スタビリティ対策の有無
Item 1: When performing CDC verification for the first time, the CDC detection tool execution unit 110 first performs analysis using the CDC verification tool with only source code as input. The second and subsequent analyzes are also performed using the CDC verification setting file. As an analysis, the CDC detection tool execution unit 110 identifies all signals connected to the clock pins of the sequential circuit on the source code, regards the short-circuited signals as the same line, and uses the following as CDC verification information: 3 report is output.
-Report file (1): Clock line list and I / O terminal list setting template
-Report file (2): Undefined clock list (tool estimation)
-Report file (3): Asynchronous path list and meta-stability measures

項番2:クロック明確化処理部120は、レポートファイル(1)のクロックラインリストの内容がCDC検証用設定ファイルに反映されている場合にのみ項番4に進む。よって、初めて項番1でのCDC検証が実施された場合には まだCDC検証用設定ファイルが作成されていないため、項番3に進む。すでにCDC検証用設定ファイルが存在する場合は、レポートファイル(1)のクロックラインリストの内容が反映されている場合にのみ項番4に進む。   Item number 2: The clock clarification processing unit 120 proceeds to item number 4 only when the contents of the clock line list of the report file (1) are reflected in the CDC verification setting file. Therefore, when the CDC verification is performed for item No. 1 for the first time, the CDC verification setting file has not yet been created, and the process proceeds to item No. 3. When the setting file for CDC verification already exists, the process proceeds to item number 4 only when the contents of the clock line list of the report file (1) are reflected.

項番3:クロック明確化処理部120は、レポートファイル(1)に記載されているクロックラインリストの設定テンプレートを参照して、仕様書内の既知情報であるクロック系統図と比較しながら、クロックリストをCDC検証用設定ファイルに転記して項番1に戻る。   No. 3: The clock clarification processing unit 120 refers to the clock line list setting template described in the report file (1) and compares it with the clock system diagram which is known information in the specification document. Transfer the list to the CDC verification setting file and return to item number 1.

項番4:クロック明確化処理部120は、レポートファイル(2)にツールが抽出した未定義クロックリストが記載されている場合は、項番5に進む。未定義クロックリストが記載されていない場合は項番6に進む。
なお、ここでの未定義クロックとは、内部生成クロックや、ゲーティッド/マルチプレクスされたクロックなどである。
Item number 4: The clock clarification processing unit 120 proceeds to item number 5 when the undefined clock list extracted by the tool is described in the report file (2). If the undefined clock list is not described, go to item 6.
Here, the undefined clock is an internally generated clock, a gated / multiplexed clock, or the like.

項番5:クロック明確化処理部120は、項番3の転記によって すでにCDC検証用設定ファイルに記載されているクロック設定のフォーマットに合わせて、レポートファイル(2)の未定義クロックをCDC検証用設定ファイルに追記して項番1に戻る。このとき、自動抽出によって明らかにされた未定義クロックについて、事後的に確認できるようにしてもよい。
このようにクロック明確化処理部120が、内部生成クロックなどの未定義クロックを予め定義されているクロックと同様にCDC検証用設定ファイルに明示的に定義することで、人為的に行なったタイミング制約の抽出時に省略されたり、忘却されたり、気づかれなかったりするクロックも正確に反映されることとなる。
No. 5: The clock clarification processing unit 120 uses the transfer of No. 3 to convert the undefined clock in the report file (2) for CDC verification according to the clock setting format already described in the CDC verification setting file. Add to the setting file and return to No. 1. At this time, the undefined clock revealed by the automatic extraction may be confirmed later.
In this way, the clock clarification processing unit 120 explicitly defines an undefined clock such as an internally generated clock in the CDC verification setting file in the same manner as a pre-defined clock. Clocks that are omitted, forgotten, or not noticed at the time of extraction are accurately reflected.

項番6:クロック明確化処理部120は、項番3と項番5で記載更新したCDC検証用設定ファイル内のクロック設定に、各クロックのクロック周期が記載されていない場合、またはクロック同士に周波数相関があり その関係を記載していない場合、項番7に進む。クロック設定に、上記が全て記載されている場合は、項番8に進む。   No. 6: The clock clarification processing unit 120, when the clock period of each clock is not described in the clock setting in the CDC verification setting file updated in No. 3 and No. 5, or between clocks If there is a frequency correlation and the relationship is not described, go to No. 7. If all of the above is written in the clock setting, go to item 8.

項番7:クロック明確化処理部120は、CDC検証用設定ファイルの記載フォーマットにしたがって、クロック系統図やタイミング仕様などの既知情報からクロック設定に周期(周波数)を追記する。また、以下に例示する回路構成などによりクロック同士に周波数相関がある場合、ソースクロックとディスティネーションクロックの相関関係(親子関係)をCDC検証用設定ファイルに追記する。
・ PLLや分周回路などによる内部生成クロック
・ ゲーティッドクロック、マルチプレクスドクロック
Item No. 7: The clock clarification processing unit 120 adds a period (frequency) to the clock setting from known information such as a clock system diagram and timing specifications according to the description format of the CDC verification setting file. Further, when there is a frequency correlation between clocks due to the circuit configuration exemplified below, the correlation (parent-child relationship) between the source clock and the destination clock is added to the CDC verification setting file.
・ Internally generated clock by PLL or frequency divider
・ Gated clock, multiplexed clock

項番8:クロック明確化処理部120は、項番3、項番5、項番7で記載更新したCDC検証用設定ファイル内に、入出力端子の設定がされていない場合、もしくは設定されていてもクロックとの同期関係が設定されていない場合、項番9に進む。上記が全て漏れなく設定されている場合は、項番10に進む。   No. 8: The clock clarification processing unit 120 is set or has not been set in the input / output terminal in the CDC verification setting file updated in No. 3, No. 5, and No. 7. However, if the synchronous relationship with the clock is not set, go to No. 9. If all of the above are set without omission, go to No. 10.

項番9:クロック明確化処理部120は、レポートファイル(1)に記載されている、入出力端子リストの設定テンプレートを参照して、タイミング仕様などと比較しながら、入出力端子リストをCDC検証用設定ファイルに転記する。その後、各入出力端子設定に対して、非同期信号なのかクロックに同期した信号なのかを明示するオプションを追記する。   Item 9: The clock clarification processing unit 120 refers to the input / output terminal list setting template described in the report file (1), compares the input / output terminal list with the timing specifications, etc., and performs CDC verification. Post to the configuration file. After that, for each input / output terminal setting, an option is added to clearly indicate whether the signal is an asynchronous signal or a signal synchronized with the clock.

項番10:メタスタビリティ対策部130は、レポートファイル(3)に記載されている非同期パスリストと、それに対してメタ・スタビリティ対策の有無との確認を行い、何れかの非同期パスに正しく対策が行われていない旨がレポートされている場合は、項番11に進む。非同期パスリストの記載がない場合、非同期パスが存在していてもシンクロナイザ挿入などで正しくメタ・スタビリティ対策が行われている旨のレポートが出力されている場合、あるいはレベル信号を引渡すような回路で後段にメタ・スタビリティ状態が伝播しないような構成の場合のように、ソースコードにメタ・スタビリティを行なう必要が無ければ項番12に進む。   No. 10: The metastability countermeasure unit 130 checks the asynchronous path list described in the report file (3) and the presence / absence of meta-stability countermeasures, and corrects any asynchronous path. If it has been reported that is not performed, the process proceeds to item number 11. If there is no description of the asynchronous path list, even if there is an asynchronous path, a report indicating that meta-stability measures are being taken correctly, such as by inserting a synchronizer, or a circuit that delivers a level signal If the source code does not need to be meta-stabilized as in the case where the meta-stability state does not propagate to the latter stage, the process proceeds to item 12.

項番11:メタスタビリティ対策部130は、正しくメタ・スタビリティ対策が行われていない非同期パスに対して、シンクロナイザ挿入などの回路修正を実施し、項番1に戻る。   Item No. 11: The metastability countermeasure unit 130 performs circuit correction such as synchronizer insertion on the asynchronous path for which the meta-stability countermeasure is not properly performed, and returns to item No. 1.

上記項番1から項番11のよる処理が終了した時点で、CDC検証は完了となる。   The CDC verification is completed at the time when the processing from No. 1 to No. 11 is completed.

項番12:データ形式変換部140は、Fix済みのCDC検証用設定ファイルと、最後に実施したCDC検証情報のレポートファイル(3)の結果から、以下の内容を中間ファイルとして抽出し、項番13に移行する。
・ CDC検証用設定ファイル:全情報
・ レポートファイル(3):非同期パス情報(送信クロック、受信クロック、from/toパス)
Item number 12: The data format conversion unit 140 extracts the following content as an intermediate file from the result of the fixed CDC verification setting file and the report file (3) of the CDC verification information performed last, and the item number Move to 13.
・ Configuration file for CDC verification: All information
-Report file (3): Asynchronous path information (send clock, receive clock, from / to path)

項番13:データ形式変換部140は、生成した中間ファイルの情報に対して、既知情報から個々のクロックの制約値をパラメータ化(変数化)した後、下流工程ツールの入力フォーマットに合わせた形で、タイミング制約ファイルを生成して出力可能とする。
タイミング制約ファイルの生成方法を例示すれば、データ形式変換部140は、CDC検証用設定ファイルがCDC検証ツール用のコマンドで記載されているため、あらかじめコマンド変換テーブルを作成しておき、使用先のツールで読み込む順にフォーマット変換を行う。このとき、レポートファイル(3)では、配列状にリスト化されているため、あらかじめコマンドテーブル、階層パス変換テーブルを作成しておき、クロックライン間で制約をつけるのか、from/toパス間で制約をつけるのかによって使用する配列を抜き出して、変換を行う。
ただし、タイミング制約で使用する具体的な数値は、クロック周期以外、上記自動生成した情報として表れない。これは、CDC検証ツールでは使用しないためである。そのため、タイミング仕様を元に制約値をパラメータ化(変数化)して入力する。
Item number 13: The data format conversion unit 140 converts the constraint values of individual clocks from the known information into parameters (variables) for the generated intermediate file information, and then matches the input format of the downstream process tool. Then, the timing constraint file can be generated and output.
As an example of a method for generating a timing constraint file, the data format conversion unit 140 creates a command conversion table in advance because the CDC verification setting file is described as a command for the CDC verification tool. Perform format conversion in the order of reading with the tool. At this time, since the report file (3) is listed in an array, create a command table and a hierarchical path conversion table in advance, and apply restrictions between clock lines or restrictions between from / to paths The sequence to be used is extracted according to whether or not it is attached.
However, specific numerical values used for timing constraints do not appear as the automatically generated information other than the clock cycle. This is because the CDC verification tool does not use it. Therefore, the constraint value is parameterized (variable) and input based on the timing specification.

また、下流工程ツールの種類によって、タイミング制約のコマンドフォーマットが異なる場合があるため、複数のツールを使用する場合には、複数の変換テーブルを用意することとしてもよいし、全ての複数のツールに対応した変換テーブルを用意するようにしてもよい。   Also, the timing constraint command format may differ depending on the type of downstream process tool.Therefore, when using multiple tools, it is possible to prepare multiple conversion tables, or to all multiple tools. A corresponding conversion table may be prepared.

上記処理によって、半導体設計支援装置100がCDC検証を行ない自動生成したタイミング制約ファイルを下流工程に提供できる。   With the above processing, the timing constraint file automatically generated by the semiconductor design support apparatus 100 by performing CDC verification can be provided to the downstream process.

次に、設計者は、それぞれの工程のタイミングで、生成されているタイミング制約ファイルを用いて、下流工程(論理合成/レイアウト/STA)ツールの入力ファイルとして利用する。   Next, the designer uses the generated timing constraint file at the timing of each process and uses it as an input file for the downstream process (logic synthesis / layout / STA) tool.

次に、人為的にタイミング制約を作り上げる工程例を図3に示し、自動的にタイミング制約を抽出生成する工程例を図4に示す。両図は、一般的な工程表とその各工程で生成や使用される各情報を限定的に示しており、また、各情報間の関連性を示している。   Next, FIG. 3 shows an example of a process for artificially creating timing constraints, and FIG. 4 shows an example of a process for automatically extracting and generating timing constraints. Both diagrams show a general process chart and each information generated and used in each process in a limited manner, and show the relationship between the information.

図3に示す人為的にタイミング制約を作り上げる工程例では、基本仕様/詳細仕様として作成されるクロック系統図やタイミング仕様を元に、CDC検証の設定ファイルやSTAのタイミング制約を設計者が作成することとなる。この際、仕様策定工程後にコーディングを行ったソースコードに対して、CDC検証ツールによってCDC検証を行ない、その結果として得られる非同期回路を参照しながらクロック系統図やタイミング仕様をフィードバックして修正する。その後、修正されたクロック系統図やタイミング仕様を参照しながらタイミング制約(ファイル)が設計者によって作られる。   In the example of the process for artificially creating timing constraints shown in FIG. 3, the designer creates a CDC verification setting file and STA timing constraints based on the clock system diagram and timing specifications created as basic specifications / detailed specifications. It will be. At this time, the source code coded after the specification formulation process is subjected to CDC verification by the CDC verification tool, and the clock system diagram and timing specifications are fed back and corrected while referring to the asynchronous circuit obtained as a result. Thereafter, a timing constraint (file) is created by the designer with reference to the corrected clock system diagram and timing specifications.

なお、既存のCDC検証ツールは、非同期回路を検出するために、ソースコードの全クロックラインの解析を行い、各クロックライン同士の関連性を、物理的な接続関係と、クロック周期の差分からメタ・スタビリティ状態に陥る可能性のある回路を抽出している。   The existing CDC verification tool analyzes all clock lines of the source code in order to detect an asynchronous circuit, and calculates the relationship between each clock line from the physical connection relationship and the difference between clock cycles. -Circuits that may fall into the stability state are extracted.

この手法では、CDC検証とSTAとで異なるEDA(electronic design automation)ツールを使用する場合がほとんどのため、双方に互換性を取ることができない。このため、CDC検証の設定ファイルとSTAのタイミング制約ファイルの両方を順次作成することとなる。   In this method, since EDA (electronic design automation) tools that are different for CDC verification and STA are mostly used, compatibility between the two cannot be achieved. For this reason, both the CDC verification setting file and the STA timing constraint file are sequentially created.

他方、上記したように本CDC検証方法では、既存のCDC検証を用いつつ、CDC検証の解析結果として、非同期回路の抽出だけではなく、クロックラインの一覧や各クロックライン間の相関関係をCDC検証情報(レポート)として出力させ、更に、CDC検証を行なうための情報(CDC検証用設定ファイル)に、入出力データ端子とクロック間に同期/非同期の設定を加えることで、入力端子から初段の順序回路、もしくは最終段の順序回路から出力端子までのパスを関連付ける。   On the other hand, as described above, in this CDC verification method, the existing CDC verification is used, and as a result of the CDC verification, not only asynchronous circuit extraction but also a list of clock lines and a correlation between clock lines are verified by CDC verification. By outputting synchronous (asynchronous) settings between the input / output data terminal and the clock to the information (CDC verification setting file) that is output as information (report) and further for CDC verification, the first order from the input terminal The path from the circuit or the sequential circuit at the final stage to the output terminal is associated.

これらの情報は、下流工程で使用するタイミング制約を作成する上で必要十分な情報とすることができ、この情報を用いてソフトウェア的にタイミング制約を自動生成させることで、既存のCDC検証に連動した形で、精度の高いタイミング制約ファイルを上流工程の段階で作成することが可能となる。   This information can be used as necessary and sufficient information for creating timing constraints to be used in downstream processes. By using this information to automatically generate timing constraints in software, it is linked to existing CDC verification. In this way, a highly accurate timing constraint file can be created at the upstream process stage.

したがって、人手作業による記述ミス、記載漏れをなくすだけでなく、下流工程からのフィードバックによるリードタイムも削減することが可能となる。すなわち、人的作業の領域を削減することで、限られたユーザリソースで既存の間違いが発生しなかった時の人的作業と同様の結果を即座に得られ、なおかつ上流工程と下流工程との連動性が高まり、効率のよい開発フローと成り得る。   Therefore, it is possible not only to eliminate description mistakes and omissions due to manual work, but also to reduce lead time due to feedback from downstream processes. In other words, by reducing the area of human work, the same result as human work when existing mistakes did not occur with limited user resources can be obtained immediately, and the upstream process and downstream process Linkage is enhanced and it can be an efficient development flow.

また、CDC検証の設定および結果とタイミング制約が情報として連動するため、開発フローとして、ソースコード→CDC検証(設定・結果)→タイミング制約までを一元管理することが容易となる。   Further, since the setting and result of CDC verification and timing constraints are linked as information, it is easy to centrally manage the source code → CDC verification (setting / result) → timing constraint as a development flow.

また、タイミング制約を人手作業による作成ではなく、変換テーブル等を使用して下流で用いられる各ツールに合わせて自動生成させるため、記述時のミス・漏れを防止するだけでなく、設計者スキルに依存せずに制約を生成させることも可能となる。また、新たなツールに対しても変換テーブルを置換する程度の作業で容易に設計者スキルに寄らないタイミング制約ファイルの生成に対応できる。   Also, timing constraints are not created manually, but are automatically generated according to each tool used downstream using a conversion table, etc., which not only prevents mistakes and omissions in writing, but also helps designer skills. It is also possible to generate constraints without depending on them. In addition, it is possible to easily generate a timing constraint file that does not depend on the designer's skill by the work of replacing the conversion table for a new tool.

更に、不具合による後戻りや精度を上げるための作業のフィードバックに関しても、そのほとんどの部分を上流工程であるCDC検証時に実施することとできるため、下流工程で要するリードタイムに比べて圧倒的に短時間(小リソース)で可能となり、トータルの開発TAT(turn around time)を短縮させることが可能である。   Furthermore, since most of the work can be performed at the time of CDC verification, which is an upstream process, with regard to backtracking due to malfunctions and work feedback for improving accuracy, it is overwhelmingly shorter than the lead time required in the downstream process. (Small resources) is possible, and total development TAT (turn around time) can be shortened.

尚、半導体設計支援装置の各部は、ハードウェアとソフトウェアの組み合わせを用いて実現すればよい。ハードウェアとソフトウェアとを組み合わせた形態では、RAMに半導体設計支援プログラムが展開され、該プログラムに基づいて制御部(CPU)等のハードウェアを動作させることによって、各部を各種手段として実現する。また、前記プログラムは、記憶媒体に記録されて頒布されても良い。当該記録媒体に記録されたプログラムは、有線、無線、又は記録媒体そのものを介して、メモリに読込まれ、制御部等を動作させる。尚、記録媒体を例示すれば、オプティカルディスクや磁気ディスク、半導体メモリ装置、ハードディスクなどが挙げられる。   Each part of the semiconductor design support apparatus may be realized by using a combination of hardware and software. In a form in which hardware and software are combined, a semiconductor design support program is expanded in the RAM, and each unit is realized as various means by operating hardware such as a control unit (CPU) based on the program. The program may be recorded on a storage medium and distributed. The program recorded on the recording medium is read into a memory via a wired, wireless, or recording medium itself, and operates a control unit or the like. Examples of the recording medium include an optical disk, a magnetic disk, a semiconductor memory device, and a hard disk.

上記実施の形態を別の表現で説明すれば、半導体設計支援装置として動作させる情報処理装置を、RAMに展開された半導体設計支援プログラムに基づき、CDC検証手段、クロック明確化手段、メタスタビリティ対策手段、データ形式変換手段などとして制御部を動作させることで実現することが可能である。また、半導体設計支援プログラムは、適宜分割して個々の手段を提供するようにしてもよい。   In other words, the information processing apparatus operating as the semiconductor design support apparatus is based on the semiconductor design support program developed in the RAM. The CDC verification means, the clock clarification means, the metastability countermeasure means It can be realized by operating the control unit as a data format conversion means or the like. Further, the semiconductor design support program may be divided as appropriate to provide individual means.

以上説明したように、本発明によれば、設計者に依存することなく論理合成以後にあたる下流工程にて適切なタイミング制約を与えることを上流工程の処理により可能とする半導体設計支援装置を提供できる。   As described above, according to the present invention, it is possible to provide a semiconductor design support apparatus that can provide an appropriate timing constraint in the downstream process after logic synthesis without depending on the designer by processing in the upstream process. .

また、本発明の具体的な構成は前述の実施の形態に限られるものではなく、この発明の要旨を逸脱しない範囲の変更があってもこの発明に含まれる。   In addition, the specific configuration of the present invention is not limited to the above-described embodiment, and changes within a range not departing from the gist of the present invention are included in the present invention.

また、上記の実施形態の一部又は全部は、以下のようにも記載されうる。尚、以下の付記は本発明をなんら限定するものではない。
[付記1]
非同期回路を内在する電気回路の設計時に ソースコードに対して行われたCDC検証結果と 当該ソースコードのコーディングに用いられた仕様策定工程で定められた既知情報とから、前記ソースコードに含まれる全てのクロックについて明確化処理してCDC検証用設定ファイルとして収集取得する手段と、
前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力する手段と
を含み成ることを特徴とする非同期検証を行なう半導体設計支援装置。
In addition, a part or all of the above-described embodiments can be described as follows. Note that the following supplementary notes do not limit the present invention.
[Appendix 1]
From the CDC verification results performed on the source code during the design of the electrical circuit that includes the asynchronous circuit and the known information determined in the specification development process used for coding the source code, everything contained in the source code Means for clarifying and collecting and acquiring as a CDC verification setting file,
Timing is determined by extracting predetermined information from the CDC verification setting file and the input / output path for each asynchronous path obtained from the CDC verification result so as to match the format readable by the design tool used in the downstream process. A semiconductor design support apparatus for performing asynchronous verification, comprising: means for generating and outputting a constraint file.

[付記2]
前記CDC検証結果に含まれる検証したソースコード中のメタ・スタビリティ状態の要因となり得る非同期パスに基づき、前記ソースコードを 検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正する手段を有し、
前記CDC検証用設定ファイルに、メタ・スタビリティ状態の発生要因に対して前記ソースコードを修正して対策を施した非同期パスを示す情報を反映させる
ことを特徴とする上記付記記載の半導体設計支援装置。
[Appendix 2]
Based on an asynchronous path that may cause a meta-stability state in the verified source code included in the CDC verification result, a means for correcting so that a meta-stability measure is incorporated in the asynchronous path that has detected the source code. Have
The semiconductor design support according to the above-mentioned appendix, wherein information indicating an asynchronous path in which the source code is modified and a countermeasure is taken against the cause of occurrence of meta-stability state is reflected in the CDC verification setting file apparatus.

[付記3]
ソースコードに対してCDC検証を行なって、前記ソースコードから、クロックラインリストと、クロックに関わる入出力端子リストと、推定した未定義クロックリストと、メタ・スタビリティ対策の有無を含む非同期パスリストとをCDC検証情報として抽出するCDC検証手段と、
CDC検証結果として前記CDC検証手段から得られた前記CDC検証情報と、前記既知情報としてクロック系統図とタイミング仕様を参照し、前記ソースコードに含まれる全てのクロックと、各クロックの周期と、クロック間の相関関係と、個々のクロックに関わる入出力端子とを示す情報を、明確化してCDC検証用設定ファイルとして生成するクロック明確化手段と、
クロックの非同期によるメタ・スタビリティ状態の発生要因となる非同期パスを検出すると共に、前記ソースコードを、検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正するメタスタビリティ対策手段と、
前記CDC検証情報に含まれる非同期パスリストとから、非同期パス毎に送信クロックと受信クロックとFrom/toパスとを示した非同期パス情報を抽出すると共に、該非同期パス情報と前記CDC検証用設定ファイルとから、タイミング制約を示す情報として、下流工程で用いられる論理合成ツール、レイアウトツール、STAツールの何れかで読み込み可能な形式に合わせて出力するデータ形式変換手段と
を有することを特徴とする上記付記記載の半導体設計支援装置。
[Appendix 3]
Performs CDC verification on the source code, and from the source code, an asynchronous path list including a clock line list, a list of input / output terminals related to clocks, an estimated undefined clock list, and presence or absence of meta-stability measures CDC verification means for extracting the above as CDC verification information;
The CDC verification information obtained from the CDC verification means as the CDC verification result, the clock system diagram and the timing specification as the known information, all the clocks included in the source code, the period of each clock, and the clock Clarifying means for clarifying information indicating the correlation between the I / O and the input / output terminals related to each clock and generating as a CDC verification setting file,
Metastability countermeasure means for detecting an asynchronous path that is a cause of occurrence of a metastability state due to clock asynchronousness, and correcting the source code so that a metastability countermeasure is incorporated in the detected asynchronous path;
Asynchronous path information indicating a transmission clock, a reception clock, and a From / to path for each asynchronous path is extracted from the asynchronous path list included in the CDC verification information, and the asynchronous path information and the CDC verification setting file are extracted. And a data format conversion means for outputting the information indicating the timing constraint in accordance with a format that can be read by any of the logic synthesis tool, layout tool, and STA tool used in the downstream process. Semiconductor design support device described in the supplementary notes.

[付記4]
前記データ形式変換手段は、前記非同期パス情報と前記CDC検証用設定ファイルとから、下流工程で用いられる複数のツール毎に それぞれの読み込み可能な形式に合わせて、クロック制約、入出力タイミング制約、および内部遅延制約として複数のタイミング制約を示す情報を出力する
ことを特徴とする上記付記記載の半導体設計支援装置。
[Appendix 4]
The data format conversion means includes a clock constraint, an input / output timing constraint, and a format that can be read from the asynchronous path information and the CDC verification setting file for each of a plurality of tools used in a downstream process. The semiconductor design support apparatus according to the above supplementary note, wherein information indicating a plurality of timing constraints is output as an internal delay constraint.

[付記5]
前記クロック明確化手段は、前記CDC検証手段によって未定義とされた半導体集積回路内で生成される他のクロックを基準として作り出されるクロックに対して、コーディングに用いられた前記既知情報から、個々のクロックの周期又はクロック間の相関関係を明確化できるまで、前記CDC検証手段と共に明確化処理を繰り返して前記CDC検証用設定ファイルを生成する
ことを特徴とする上記付記記載の半導体設計支援装置。
[Appendix 5]
The clock clarification unit is configured to generate, based on the known information used for coding, each of the clocks generated on the basis of another clock generated in the semiconductor integrated circuit undefined by the CDC verification unit. The semiconductor design support apparatus as described in the above supplementary note, wherein the CDC verification setting file is generated by repeating the clarification processing together with the CDC verification means until the clock cycle or the correlation between the clocks can be clarified.

[付記6]
前記CDC検証は、既存のCDC検証ツールを用いて行なうことを特徴とする上記付記記載の半導体設計支援装置。
[Appendix 6]
The CDC verification is performed by using an existing CDC verification tool.

[付記7]
前記下流工程で用いられる設計ツールは、既存の論理合成ツール、レイアウトツール、STAツールであることを特徴とする上記付記記載の半導体設計支援装置。
[Appendix 7]
The design tool used in the downstream process is an existing logic synthesis tool, layout tool, or STA tool.

[付記8]
非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、
ソースコードに対して行われたCDC検証結果と 当該ソースコードのコーディングに用いられた仕様策定工程で定められた既知情報とから、前記ソースコードに含まれる全てのクロックについて明確化してCDC検証用設定ファイルとして収集取得する手段
として動作させることを特徴とするプログラム。
[Appendix 8]
The control unit of the information processing device that performs asynchronous verification of the electrical circuit that contains the asynchronous circuit,
CDC verification settings by clarifying all clocks included in the source code from the results of CDC verification performed on the source code and the known information determined in the specification development process used for coding the source code A program characterized by operating as a means for collecting and acquiring as a file.

[付記9]
非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、
ソースコードに含まれる全てのクロックについて明確化された情報と CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるようにタイミング制約に関する所定の情報を抽出してタイミング制約ファイルを自動生成する手段
として動作させることを特徴とするプログラム。
[Appendix 9]
The control unit of the information processing device that performs asynchronous verification of the electrical circuit that contains the asynchronous circuit,
Timing constraints from the clarified information for all clocks included in the source code and the input / output paths for each asynchronous path obtained from the CDC verification results to match the format readable by the design tool used in the downstream process A program that operates as means for automatically generating a timing constraint file by extracting predetermined information relating to the timing.

[付記10]
非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、
ソースコードに対して行われたCDC検証結果と 当該ソースコードのコーディングに用いられた仕様策定工程で定められた既知情報とから、前記ソースコードに含まれる全てのクロックについて明確化処理してCDC検証用設定ファイルとして収集取得する手段と、
前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力する手段
として動作させることを特徴とするプログラム。
[Appendix 10]
The control unit of the information processing device that performs asynchronous verification of the electrical circuit that contains the asynchronous circuit,
CDC verification is performed by clarifying all clocks included in the source code from the result of CDC verification performed on the source code and the known information determined in the specification development process used for coding the source code. Means to collect and acquire as a configuration file for
Timing is determined by extracting predetermined information from the CDC verification setting file and the input / output path for each asynchronous path obtained from the CDC verification result so as to match the format readable by the design tool used in the downstream process. A program that operates as a means for generating and outputting a constraint file.

[付記11]
前記CDC検証結果に含まれる検証したソースコード中のメタ・スタビリティ状態の要因となり得る非同期パスに基づき、前記ソースコードを 検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正する手段を有し、
前記CDC検証用設定ファイルに、メタ・スタビリティ状態の発生要因に対して前記ソースコードを修正して対策を施した非同期パスを示す情報を反映させる
ことを特徴とする上記付記記載のプログラム。
[Appendix 11]
Based on an asynchronous path that may cause a meta-stability state in the verified source code included in the CDC verification result, a means for correcting so that a meta-stability measure is incorporated in the asynchronous path that has detected the source code. Have
The program according to the above-mentioned supplementary note, wherein the CDC verification setting file reflects information indicating an asynchronous path in which the source code is corrected and a countermeasure is taken against a cause of occurrence of a meta-stability state.

[付記12]
非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、
ソースコードに対してCDC検証を行なって、前記ソースコードから、クロックラインリストと、クロックに関わる入出力端子リストと、推定した未定義クロックリストと、メタ・スタビリティ対策の有無を含む非同期パスリストとをCDC検証情報として抽出するCDC検証手段と、
CDC検証結果として前記CDC検証手段から得られた前記CDC検証情報と、前記既知情報としてクロック系統図とタイミング仕様を参照し、前記ソースコードに含まれる全てのクロックと、各クロックの周期と、クロック間の相関関係と、個々のクロックに関わる入出力端子とを示す情報を、明確化してCDC検証用設定ファイルとして生成するクロック明確化手段と、
クロックの非同期によるメタ・スタビリティ状態の発生要因となる非同期パスを検出すると共に、前記ソースコードを、検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正するメタスタビリティ対策手段と、
前記CDC検証情報に含まれる非同期パスリストとから、非同期パス毎に送信クロックと受信クロックとFrom/toパスとを示した非同期パス情報を抽出すると共に、該非同期パス情報と前記CDC検証用設定ファイルとから、タイミング制約を示す情報として、下流工程で用いられる論理合成ツール、レイアウトツール、STAツールの何れかで読み込み可能な形式に合わせて出力するデータ形式変換手段
として動作させることを特徴とするプログラム。
[Appendix 12]
The control unit of the information processing device that performs asynchronous verification of the electrical circuit that contains the asynchronous circuit,
Performs CDC verification on the source code, and from the source code, an asynchronous path list including a clock line list, a list of input / output terminals related to clocks, an estimated undefined clock list, and presence or absence of meta-stability measures CDC verification means for extracting the above as CDC verification information;
The CDC verification information obtained from the CDC verification means as the CDC verification result, the clock system diagram and the timing specification as the known information, all the clocks included in the source code, the period of each clock, and the clock Clarifying means for clarifying information indicating the correlation between the I / O and the input / output terminals related to each clock and generating as a CDC verification setting file,
Metastability countermeasure means for detecting an asynchronous path that is a cause of occurrence of a metastability state due to clock asynchronousness, and correcting the source code so that a metastability countermeasure is incorporated in the detected asynchronous path;
Asynchronous path information indicating a transmission clock, a reception clock, and a From / to path for each asynchronous path is extracted from the asynchronous path list included in the CDC verification information, and the asynchronous path information and the CDC verification setting file are extracted. And, as information indicating timing constraints, a program that operates as a data format conversion unit that outputs in accordance with a format that can be read by any of a logic synthesis tool, a layout tool, and a STA tool used in a downstream process .

[付記13]
前記データ形式変換手段として、前記非同期パス情報と前記CDC検証用設定ファイルとから、下流工程で用いられる複数のツール毎に それぞれの読み込み可能な形式に合わせて、クロック制約、入出力タイミング制約、および内部遅延制約として複数のタイミング制約を示す情報を出力させる
ことを特徴とする上記付記記載のプログラム。
[Appendix 13]
As the data format conversion means, from the asynchronous path information and the setting file for CDC verification, a clock constraint, an input / output timing constraint, The program according to the above supplementary note, wherein information indicating a plurality of timing constraints is output as an internal delay constraint.

[付記14]
前記クロック明確化手段として、前記CDC検証手段によって未定義とされた半導体集積回路内で生成される他のクロックを基準として作り出されるクロックに対して、コーディングに用いられた前記既知情報から、個々のクロックの周期又はクロック間の相関関係を明確化できるまで、前記CDC検証手段と共に明確化処理を繰り返して前記CDC検証用設定ファイルを生成させる
ことを特徴とする上記付記記載のプログラム。
[Appendix 14]
As the clock clarification means, each of the known information used for coding is individually obtained from a clock generated based on another clock generated in the semiconductor integrated circuit undefined by the CDC verification means. The program according to the above supplementary note, wherein the CDC verification setting file is generated by repeating the clarification processing together with the CDC verification means until the clock period or the correlation between the clocks can be clarified.

[付記15]
前記CDC検証結果は、既存のCDC検証ツールを用いて行なわれた結果を用いることを特徴とする上記付記記載のプログラム。
[Appendix 15]
The program according to the above supplementary note, wherein the CDC verification result uses a result obtained by using an existing CDC verification tool.

[付記16]
前記下流工程で用いられる設計ツールとして、既存の論理合成ツール、レイアウトツール、STAツール用にタイミング制約を示す情報を出力させる
ことを特徴とする上記付記記載のプログラム。
[Appendix 16]
The program according to the above-mentioned supplementary note, wherein information indicating timing constraints is output for an existing logic synthesis tool, layout tool, and STA tool as a design tool used in the downstream process.

[付記17]
非同期回路を内在する電気回路の設計時に ソースコードに対して行われたCDC検証結果と 当該ソースコードのコーディングに用いられた仕様策定工程で定められた既知情報とから、前記ソースコードに含まれる全てのクロックについて明確化処理してCDC検証用設定ファイルとして収集取得し、
前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力する
ことを特徴とするタイミング制約生成方法。
[Appendix 17]
From the CDC verification results performed on the source code during the design of the electrical circuit that includes the asynchronous circuit and the known information determined in the specification development process used for coding the source code, everything contained in the source code Clarify the clock and collect and acquire it as a CDC verification configuration file.
Timing is determined by extracting predetermined information from the CDC verification setting file and the input / output path for each asynchronous path obtained from the CDC verification result so as to match the format readable by the design tool used in the downstream process. A timing constraint generation method characterized by generating and outputting a constraint file.

[付記18]
ソースコードに対してCDC検証を行なって、前記ソースコードから、クロックラインリストと、クロックに関わる入出力端子リストと、推定した未定義クロックリストと、メタ・スタビリティ対策の有無を含む非同期パスリストとをCDC検証情報として抽出処理し、
CDC検証結果として得られた前記CDC検証情報と、前記既知情報としてクロック系統図とタイミング仕様を参照し、前記ソースコードに含まれる全てのクロックと、各クロックの周期と、クロック間の相関関係と、個々のクロックに関わる入出力端子とを示す情報を、明確化してCDC検証用設定ファイルとして生成処理し、
クロックの非同期によるメタ・スタビリティ状態の発生要因となる非同期パスの検出を実行し、要修正パスがあれば、前記ソースコードを 検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正すると共にCDC検証情報の抽出処理に戻り、
前記CDC検証情報に含まれる非同期パスリストとから、非同期パス毎に送信クロックと受信クロックとFrom/toパスとを示した非同期パス情報を抽出すると共に、該非同期パス情報と前記CDC検証用設定ファイルとから、タイミング制約を示す情報として、下流工程で用いられる論理合成ツール、レイアウトツール、STAツールの少なくとも何れかで読み込み可能な形式に合わせて出力可能とする
ことを特徴とするタイミング制約生成方法。
[Appendix 18]
Performs CDC verification on the source code, and from the source code, an asynchronous path list including a clock line list, a list of input / output terminals related to clocks, an estimated undefined clock list, and presence or absence of meta-stability measures Are extracted as CDC verification information,
The CDC verification information obtained as a result of CDC verification, the clock system diagram and the timing specification as the known information, all the clocks included in the source code, the period of each clock, and the correlation between the clocks, , Clarification of information indicating input / output terminals related to individual clocks, and generation processing as a setting file for CDC verification,
Detects asynchronous paths that cause metastability status due to asynchronous clocks, and if there is a path that needs to be corrected, corrects so that metastability measures are incorporated into the asynchronous path that detected the source code. Together with the CDC verification information extraction process,
Asynchronous path information indicating a transmission clock, a reception clock, and a From / to path for each asynchronous path is extracted from the asynchronous path list included in the CDC verification information, and the asynchronous path information and the CDC verification setting file are extracted. The timing constraint generation method is characterized in that the timing constraint information can be output in a format that can be read by at least one of a logic synthesis tool, a layout tool, and a STA tool used in a downstream process.

[付記19]
非同期回路を内在する半導体集積回路の設計時に、仕様策定工程における決定した仕様に基づきコーディングされたソースコードに対して情報処理装置によってCDC検証を実行し、
前記情報処理装置によって、実行したCDC検証結果と 前記ソースコードのコーディングに用いられた仕様策定工程で定められた仕様に関する既知情報とから、前記ソースコードに含まれる全てのクロックについて明確化処理してCDC検証用設定ファイルを生成し、
前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力し、
下流工程で用いられる設計ツールが前記情報処理装置によって生成されたタイミング制約ファイルを読み込み、各クロックのタイミング制約を識別して、非同期検証を行なう
ことを特徴とする半導体集積回路設計方法。
[Appendix 19]
When designing a semiconductor integrated circuit including an asynchronous circuit, CDC verification is performed by an information processing device on a source code coded based on a specification determined in a specification development process,
The information processing device performs clarification processing on all clocks included in the source code from the CDC verification result that has been executed and the known information related to the specification determined in the specification formulation process used for coding the source code. Generate a configuration file for CDC verification,
Timing is determined by extracting predetermined information from the CDC verification setting file and the input / output path for each asynchronous path obtained from the CDC verification result so as to match the format readable by the design tool used in the downstream process. Generate and output constraint file,
A design method of a semiconductor integrated circuit, wherein a design tool used in a downstream process reads a timing constraint file generated by the information processing apparatus, identifies a timing constraint of each clock, and performs asynchronous verification.

本発明は、半導体集積回路開発時のデジタル回路設計フローで使用することできる。よって、ASIC/PLD/FPGA/ASSPなどのデバイス開発において本発明を適用することが可能である。   The present invention can be used in a digital circuit design flow when developing a semiconductor integrated circuit. Therefore, the present invention can be applied to device development such as ASIC / PLD / FPGA / ASSP.

100 半導体設計支援装置
110 CDC検出ツール実行部(CDC検証手段)
120 クロック明確化処理部(クロック明確化手段)
130 メタスタビリティ対策部(メタスタビリティ対策手段)
140 データ形式変換部(データ形式変換手段)
150 記憶部(記憶手段)
100 Semiconductor Design Support Device 110 CDC Detection Tool Execution Unit (CDC Verification Unit)
120 Clock clarification processing unit (clock clarification means)
130 Metastability Countermeasures Section (Metastability Countermeasures)
140 Data format converter (data format converter)
150 storage unit (storage means)

Claims (10)

非同期回路を内在する電気回路の設計時に ソースコードに対して行われたCDC(Clock Domain Crossing)検証結果と 当該ソースコードのコーディングに用いられた仕様策定工程で定められた既知情報とから、前記ソースコードに含まれる全てのクロックについて明確化処理してCDC検証用設定ファイルとして収集取得する手段と、
前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力する手段と
を含み、
前記明確化処理として、CDC検証用設定ファイルとして収集取得する手段は、前記CDC検証結果として得られる、クロックラインリスト、クロックに関わる入出力端子リスト、推定した未定義クロックリスト、及びメタ・スタビリティ対策の有無を含む非同期パスリストと、前記既知情報としてクロック系統図及びタイミング仕様を参照し、前記ソースコードに含まれる、全てのクロック、各クロックの周期、クロック間の相関関係、及び個々のクロックに関わる入出力端子を示す情報を、明確化してCDC検証用設定ファイルとして生成する
ことを特徴とする非同期検証を行なう半導体設計支援装置。
From the result of CDC (Clock Domain Crossing) verification performed on the source code at the time of designing the electrical circuit including the asynchronous circuit and the known information determined in the specification development process used for coding the source code, the source Means for clarifying all the clocks included in the code and collecting and acquiring them as a setting file for CDC verification;
Timing is determined by extracting predetermined information from the CDC verification setting file and the input / output path for each asynchronous path obtained from the CDC verification result so as to match the format readable by the design tool used in the downstream process. and means for generating and outputting a constraint file only contains,
As the clarification processing, the means for collecting and acquiring as a CDC verification setting file includes a clock line list, a clock input / output terminal list, an estimated undefined clock list, and meta stability obtained as the CDC verification result. Asynchronous path list including presence / absence of countermeasure, clock system diagram and timing specification as the known information, all clocks included in the source code, period of each clock, correlation between clocks, and individual clocks A semiconductor design support apparatus for performing asynchronous verification, characterized in that information indicating input / output terminals related to the data is clarified and generated as a CDC verification setting file .
前記CDC検証結果に含まれる検証したソースコード中のメタ・スタビリティ状態の要因となり得る非同期パスに基づき、前記ソースコードを 検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正する手段を有し、
前記CDC検証用設定ファイルに、メタ・スタビリティ状態の発生要因に対して前記ソースコードを修正して対策を施した非同期パスを示す情報を反映させる
ことを特徴とする請求項1記載の半導体設計支援装置。
Based on an asynchronous path that may cause a meta-stability state in the verified source code included in the CDC verification result, a means for correcting so that a meta-stability measure is incorporated in the asynchronous path that has detected the source code. Have
2. The semiconductor design according to claim 1, wherein the CDC verification setting file reflects information indicating an asynchronous path in which the source code is corrected and a countermeasure is taken against a cause of occurrence of a meta-stability state. Support device.
前記ソースコードに対してCDC検証を行なって、前記ソースコードから、クロックラインリストと、クロックに関わる入出力端子リストと、推定した未定義クロックリストと、メタ・スタビリティ対策の有無を含む非同期パスリストとを前記CDC検証情報として抽出するCDC検証手段と、
前記CDC検証手段から得られた前記CDC検証情報と、前記既知情報とを参照して、前記明確化処理を実行するクロック明確化手段と、
クロックの非同期によるメタ・スタビリティ状態の発生要因となる非同期パスを検出すると共に、前記ソースコードを、検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正するメタスタビリティ対策手段と、
前記CDC検証情報に含まれる非同期パスリストとから、非同期パス毎に送信クロックと受信クロックとFrom/toパスとを示した非同期パス情報を抽出すると共に、該非同期パス情報と前記CDC検証用設定ファイルとから、タイミング制約を示す情報として、下流工程で用いられる論理合成ツール、レイアウトツール、STA(Static Timing Analysis)ツールの何れかで読み込み可能な形式に合わせて出力するデータ形式変換手段と
を有することを特徴とする請求項2記載の半導体設計支援装置。
By performing CDC verification with respect to the source code, asynchronous path including from the source code, and a clock line list, and input-output terminal list relating to the clock, and an undefined clock list estimated, the presence of meta-stability measures and CDC verification means for extracting the list as the CDC verification information,
Clock clarification means for executing the clarification processing with reference to the CDC verification information obtained from the CDC verification means and the known information ;
Metastability countermeasure means for detecting an asynchronous path that is a cause of occurrence of a metastability state due to clock asynchronousness, and correcting the source code so that a metastability countermeasure is incorporated in the detected asynchronous path;
Asynchronous path information indicating a transmission clock, a reception clock, and a From / to path for each asynchronous path is extracted from the asynchronous path list included in the CDC verification information, and the asynchronous path information and the CDC verification setting file are extracted. Data format conversion means for outputting information in accordance with a format that can be read by any of the logic synthesis tool, layout tool, and STA (Static Timing Analysis) tool used in the downstream process as information indicating timing constraints. The semiconductor design support apparatus according to claim 2.
前記データ形式変換手段は、前記非同期パス情報と前記CDC検証用設定ファイルとから、下流工程で用いられる複数のツール毎に それぞれの読み込み可能な形式に合わせて、クロック制約、入出力タイミング制約、および内部遅延制約として複数のタイミング制約を示す情報を出力する
ことを特徴とする請求項3記載の半導体設計支援装置。
The data format conversion means includes a clock constraint, an input / output timing constraint, and a format that can be read from the asynchronous path information and the CDC verification setting file for each of a plurality of tools used in a downstream process. 4. The semiconductor design support apparatus according to claim 3, wherein information indicating a plurality of timing constraints is output as an internal delay constraint.
前記クロック明確化手段は、前記CDC検証手段によって未定義とされた半導体集積回路内で生成される他のクロックを基準として作り出されるクロックに対して、コーディングに用いられた前記既知情報から、個々のクロックの周期又はクロック間の相関関係を明確化できるまで、前記CDC検証手段と共に前記ソースコードに含まれる全てのクロックを明確化する処理を繰り返して前記CDC検証用設定ファイルを生成する
ことを特徴とする請求項3又は請求項4に記載の半導体設計支援装置。
The clock clarification unit is configured to generate, based on the known information used for coding, each of the clocks generated on the basis of another clock generated in the semiconductor integrated circuit undefined by the CDC verification unit. The CDC verification setting file is generated by repeating the process of clarifying all clocks included in the source code together with the CDC verification unit until the clock period or the correlation between clocks can be clarified. 5. The semiconductor design support apparatus according to claim 3 or 4, wherein:
前記CDC検証は、既存のCDC検証ツールを用いて行なうことを特徴とする請求項1ないし請求項5の何れか一項に記載の半導体設計支援装置。   The semiconductor design support apparatus according to claim 1, wherein the CDC verification is performed using an existing CDC verification tool. 非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、
被検証対象のソースコードの、クロックラインリスト、クロックに関わる入出力端子リスト、推定した未定義クロックリスト、及びメタ・スタビリティ対策の有無を含む非同期パスリストを含むCDC検証結果と 当該ソースコードのクロック系統図及びタイミング仕様を含む既知情報とを参照し、前記ソースコードについて、含まれる全てのクロック、各クロックの周期、クロック間の相関関係、及び個々のクロックに関わる入出力端子を示す情報を抽出処理してCDC検証用設定ファイルとして収集取得する手段
として動作させることを特徴とするプログラム。
The control unit of the information processing device that performs asynchronous verification of the electrical circuit that contains the asynchronous circuit,
The CDC verification result including the clock line list, the input / output terminal list related to the clock, the estimated undefined clock list, and the asynchronous path list including the presence / absence of the meta-stability countermeasure of the source code to be verified and the source code Referring to the known information including a clock system diagram and timing specifications, for the source code, all clock, the period of each clock included, correlation between clock, and information indicating the input and output terminals according to individual clock A program characterized by being operated as means for extracting and collecting and acquiring a CDC verification setting file.
非同期回路を内在する電気回路の非同期検証を行なう情報処理装置の制御部を、
被検証対象のソースコードに含まれる全てのクロックについて、該ソースコードの、クロックラインリスト、クロックに関わる入出力端子リスト、及び推定した未定義クロックリスト、メタ・スタビリティ対策の有無を含む非同期パスリストを含むCDC検証結果と 当該ソースコードのクロック系統図及びタイミング仕様を含む既知情報とを基に、前記ソースコードに含まれる全てのクロック、各クロックの周期、クロック間の相関関係、及び個々のクロックに関わる入出力端子が明確化された情報と CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるようにタイミング制約に関する所定の情報を抽出してタイミング制約ファイルを自動生成する手段
として動作させることを特徴とするプログラム。
The control unit of the information processing device that performs asynchronous verification of the electrical circuit that contains the asynchronous circuit,
Asynchronous path for all clocks included in the source code to be verified , including the clock line list, the list of input / output terminals related to the clock, the estimated undefined clock list, and the presence or absence of meta-stability measures Based on the CDC verification result including the list and the known information including the clock system diagram and timing specification of the source code, all the clocks included in the source code, the period of each clock, the correlation between the clocks, and the individual Predetermined timing constraints to match the format that can be read by the design tool used in the downstream process from the information that clarifies the input / output terminals related to the clock and the input / output paths for each asynchronous path obtained from the CDC verification results As a means to automatically extract timing information and generate timing constraint files Program characterized thereby.
ソースコードに対してCDC検証を行なって、前記ソースコードから、クロックラインリストと、クロックに関わる入出力端子リストと、推定した未定義クロックリストと、メタ・スタビリティ対策の有無を含む非同期パスリストとをCDC検証情報として抽出処理し、
CDC検証結果として抽出された前記CDC検証情報と既知情報としてクロック系統図とタイミング仕様を参照し、前記ソースコードに含まれる全てのクロックと、各クロックの周期と、クロック間の相関関係と、個々のクロックに関わる入出力端子とを示す情報を、明確化してCDC検証用設定ファイルとして生成処理し、
クロックの非同期によるメタ・スタビリティ状態の発生要因となる非同期パスの検出を実行し、要修正パスがあれば、前記ソースコードを 検出した非同期パスにメタ・スタビリティ対策が組み込まれるように修正すると共にCDC検証情報の抽出処理に戻り、
前記CDC検証情報に含まれる非同期パスリストとから、非同期パス毎に送信クロックと受信クロックとFrom/toパスとを示した非同期パス情報を抽出処理すると共に、該非同期パス情報と前記CDC検証用設定ファイルとから、タイミング制約を示す情報として、下流工程で用いられる論理合成ツール、レイアウトツール、STAツールの少なくとも何れかで読み込み可能な形式に合わせて出力可能とする
ことを特徴とする情報処理装置によるタイミング制約生成方法。
Performs CDC verification on the source code, and from the source code, an asynchronous path list including a clock line list, a list of input / output terminals related to clocks, an estimated undefined clock list, and presence or absence of meta-stability measures Are extracted as CDC verification information,
Said CDC verification information extracted as CDC verification results, referring to the clock system diagram and timing specifications as known information, all the clocks included in the source code, and the period of each clock, and the correlation between the clocks , Clarification of information indicating input / output terminals related to individual clocks, and generation processing as a setting file for CDC verification,
Detects asynchronous paths that cause metastability status due to asynchronous clocks, and if there is a path that needs to be corrected, corrects so that metastability measures are incorporated into the asynchronous path that detected the source code. Together with the CDC verification information extraction process,
From an asynchronous path list included in the CDC verification information, is extracted handles asynchronous path information showing a transmitting clock and the receiving clock and the From /-to-pass for each asynchronous path, setting the CDC and verification the asynchronous path information According to an information processing apparatus characterized in that information indicating timing constraints can be output from a file in a format that can be read by at least one of a logic synthesis tool, a layout tool, and a STA tool used in a downstream process. Timing constraint generation method.
非同期回路を内在する半導体集積回路の設計時に、仕様策定工程における決定した仕様に基づきコーディングされたソースコードに対して情報処理装置によってCDC検証を実行して、クロックラインリスト、クロックに関わる入出力端子リスト、推定した未定義クロックリスト、及びメタ・スタビリティ対策の有無を含む非同期パスリストをCDC検証結果として取得し、
前記情報処理装置によって、取得した前記CDC検証結果と 前記ソースコードのクロック系統図とタイミング仕様を含む既知情報とを参照し、前記ソースコードについて、含まれる全てのクロック、各クロックの周期、クロック間の相関関係、個々のクロックに関わる入出力端子を示す情報を抽出処理してCDC検証用設定ファイルを生成し、
前記CDC検証用設定ファイルと 前記CDC検証結果から得られた非同期パス毎の入出力パスと から、下流工程で用いられる設計ツールで読み込み可能な形式に合わせるように所定の情報を抽出処理してタイミング制約ファイルを生成出力し、
下流工程で用いられる設計ツールが前記情報処理装置によって生成されたタイミング制約ファイルを読み込み、各クロックのタイミング制約を識別して、非同期検証を行なう
ことを特徴とする情報処理装置による半導体集積回路設計方法。
When designing a semiconductor integrated circuit including an asynchronous circuit, the information processing device performs CDC verification on the source code coded based on the specification determined in the specification development process , and the clock line list and the input / output terminals related to the clock As a CDC verification result, a list, an estimated undefined clock list, and an asynchronous path list including presence / absence of meta-stability countermeasures are acquired .
By the information processing apparatus, reference the known information including the acquired CDC verification result and the clock system diagram and timing specification of the source code for the source code, all clock, the period of each clock included, inter-clock To generate a CDC verification setting file by extracting information indicating the correlation and the input / output terminals related to each clock ,
Timing is determined by extracting predetermined information from the CDC verification setting file and the input / output path for each asynchronous path obtained from the CDC verification result so as to match the format readable by the design tool used in the downstream process. Generate and output constraint file,
Reads the timing constraint file design tool is generated by said information processing apparatus used in the downstream process, identify the timing constraints of each clock, a semiconductor integrated circuit design method according to an information processing apparatus and performs asynchronous validation .
JP2011028999A 2011-02-14 2011-02-14 Semiconductor design support apparatus, timing constraint generation method, and program Active JP5729546B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011028999A JP5729546B2 (en) 2011-02-14 2011-02-14 Semiconductor design support apparatus, timing constraint generation method, and program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011028999A JP5729546B2 (en) 2011-02-14 2011-02-14 Semiconductor design support apparatus, timing constraint generation method, and program

Publications (2)

Publication Number Publication Date
JP2012168718A JP2012168718A (en) 2012-09-06
JP5729546B2 true JP5729546B2 (en) 2015-06-03

Family

ID=46972822

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011028999A Active JP5729546B2 (en) 2011-02-14 2011-02-14 Semiconductor design support apparatus, timing constraint generation method, and program

Country Status (1)

Country Link
JP (1) JP5729546B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6787045B2 (en) 2016-10-31 2020-11-18 富士通株式会社 Verification support program, verification support method, and information processing device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7523426B2 (en) * 2005-03-29 2009-04-21 Lsi Corporation Intelligent timing analysis and constraint generation GUI
JP2007102631A (en) * 2005-10-06 2007-04-19 Matsushita Electric Ind Co Ltd Logic circuit design support device and logic circuit design support method using it
WO2008062571A1 (en) * 2006-11-21 2008-05-29 Panasonic Corporation Circuit test apparatus for semiconductor integrated circuit
JP2008217413A (en) * 2007-03-05 2008-09-18 Fujitsu Ltd Static timing analysis method and program
JP2010277230A (en) * 2009-05-27 2010-12-09 Toshiba Corp Semiconductor integrated circuit design device
US8438517B2 (en) * 2010-12-13 2013-05-07 Ausdia, Inc. System and method for automatically managing clock relationships in integrated circuit designs

Also Published As

Publication number Publication date
JP2012168718A (en) 2012-09-06

Similar Documents

Publication Publication Date Title
US7818700B2 (en) System and method for verification and generation of timing exceptions
US9569575B2 (en) Digital circuit design method and associated computer program product
EP2875454A1 (en) Relative timing architecture
US7617469B2 (en) Assertion description conversion device, method and computer program product
JP2008511894A (en) Method and system for designing a structure level description of an electronic circuit
JP4147842B2 (en) Logic verification system and method, logic cone extraction apparatus and method, logic verification and logic cone extraction program
JP2006048525A (en) Simulation method
JP4554509B2 (en) Timing analysis apparatus and timing analysis method
US7254793B2 (en) Latch modeling technique for formal verification
EP2098969A1 (en) Logic circuit designing device for asynchronous logic circuit, logic circuit designing method, and logic circuit designing program
JP5040758B2 (en) Simulation apparatus, simulation method, and program
US20110295536A1 (en) Clock jitter analyzing method and apparatus
US20090070619A1 (en) Multi-cycle path information verification method and multi-cycle path information verification device
US10430535B2 (en) Verification support program medium, verification support method, and information processing device for verification of a circuit
JP5729546B2 (en) Semiconductor design support apparatus, timing constraint generation method, and program
US7930609B2 (en) Apparatus and method for verifying target circuit
Kebaili et al. Clock domain crossing formal verification: a meta-model
JP2008243092A (en) Multi-cycle path detection device, multi-cycle path detection method, and multi-cycle path detection program
JP5104356B2 (en) Verification support program, verification support apparatus, and verification support method
CN112131807A (en) Cross-clock domain verification method, device, equipment and medium
US20120253712A1 (en) Power consumption calculation method, power consumption calculation apparatus, and non-transitory computer-readble medium storing power consumption calculation program
Kebaili et al. Enabler-based synchronizer model for clock domain crossing static verification
JP7354815B2 (en) Verification support device, verification support method, and verification support program
JP2015127847A (en) Logic synthesizer, logic synthesis method, and method for manufacturing semiconductor integrated circuit
JP6303509B2 (en) Circuit verification method and circuit verification program

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140114

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140924

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150311

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150324

R150 Certificate of patent or registration of utility model

Ref document number: 5729546

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150