JP5445066B2 - Analysis support program, analysis support apparatus, and analysis support method - Google Patents

Analysis support program, analysis support apparatus, and analysis support method Download PDF

Info

Publication number
JP5445066B2
JP5445066B2 JP2009268121A JP2009268121A JP5445066B2 JP 5445066 B2 JP5445066 B2 JP 5445066B2 JP 2009268121 A JP2009268121 A JP 2009268121A JP 2009268121 A JP2009268121 A JP 2009268121A JP 5445066 B2 JP5445066 B2 JP 5445066B2
Authority
JP
Japan
Prior art keywords
delay
correlation
distribution
target circuit
standard deviation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009268121A
Other languages
Japanese (ja)
Other versions
JP2011113228A (en
Inventor
克己 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2009268121A priority Critical patent/JP5445066B2/en
Priority to US12/913,425 priority patent/US8380480B2/en
Publication of JP2011113228A publication Critical patent/JP2011113228A/en
Application granted granted Critical
Publication of JP5445066B2 publication Critical patent/JP5445066B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、対象回路の遅延とリーク電流の相関解析を支援する解析支援プログラム、解析支援装置、および解析支援方法に関する。   The present invention relates to an analysis support program, an analysis support apparatus, and an analysis support method that support correlation analysis between a delay of a target circuit and a leakage current.

近年、半導体集積回路の微細化にともなって、プロセスに起因する遅延やリーク電流のバラツキ(遅延バラツキ、リーク電流バラツキ)が増大している。遅延とは、回路内の素子または素子間における信号の入出力にかかる時間である。リーク電流とは、電子回路において本来流れるはずのない箇所で流れ出る電流である。   In recent years, with the miniaturization of semiconductor integrated circuits, delays caused by processes and variations in leak current (delay variations, leak current variations) are increasing. The delay is the time required for input / output of a signal between elements in a circuit or between elements. A leak current is a current that flows out at a location that should not flow in an electronic circuit.

これらバラツキを考慮して対象回路の遅延やリーク電流を見積もる手法として、統計的遅延解析(SSTA:Statistical Static Timing Analyzer)や統計的リーク解析がある。SSTAとは、対象回路内の各素子の遅延のバラツキを確率密度分布として与え、回路全体の遅延を統計的に扱うことで、タイミングの見積もりを適正化する手法である。   There are a statistical delay analysis (SSTA: Statistical Static Timing Analyzer) and a statistical leak analysis as a method for estimating the delay and leakage current of the target circuit in consideration of these variations. SSTA is a technique for optimizing timing estimation by giving a variation in delay of each element in a target circuit as a probability density distribution and statistically handling the delay of the entire circuit.

一方、遅延バラツキとリーク電流バラツキは、ともにプロセスに起因することから、互いに相関を持つことが知られている。たとえば、遅延とリーク電流は、遅延が小さくなるとリーク電流が大きくなるというトレードオフの関係にある。したがって、対象回路の正確な歩留解析を行うためには、遅延とリーク電流の相関を解析する必要がある。   On the other hand, it is known that the delay variation and the leakage current variation are correlated with each other because they are caused by the process. For example, the delay and the leakage current have a trade-off relationship that the leakage current increases as the delay decreases. Therefore, in order to perform accurate yield analysis of the target circuit, it is necessary to analyze the correlation between delay and leakage current.

従来、遅延とリーク電流の相関解析を行う手法として、遅延解析ツール(STA:Static Timing Analysis)とリーク解析ツールを反復実行させるモンテカルロシミュレーションがある。また、遅延とリーク電流の相関解析において、遅延分布を正規分布にモデル化して近似計算を行う手法がある(たとえば、下記非特許文献1参照。)。   Conventionally, there is a Monte Carlo simulation in which a delay analysis tool (STA: Static Timing Analysis) and a leak analysis tool are repeatedly executed as a method for performing a correlation analysis between a delay and a leak current. In addition, in the correlation analysis between the delay and the leakage current, there is a technique of modeling the delay distribution into a normal distribution and performing an approximate calculation (for example, see Non-Patent Document 1 below).

Ashish Srivastava,Saumil Shah,Kanak Agarwal,Dennis Sylvester,David Blaauw,Stephen Director、「Accurate and Efficient Gate−Level Parametric Yield Estimation Considering Correlated Variations in Leakage Power and Performance」、Proc.DAC2005、p.535−540Ashish Srivastava, Saumil Shah, Kanak Agarwal, Dennis Sylvester, David Blaauw, Stephen Director, "Accurate and Efficient Gate-Level Parametric Yield Estimation Considering Correlated Variations in Leakage Power and Performance", Proc. DAC 2005, p. 535-540

しかしながら、上述したモンテカルロシミュレーションによれば、正確な相関解析を行うためには、遅延解析ツールとリーク解析ツールを数千回程度反復実行させる必要がある。そのため、相関解析にかかる処理時間が増大化し、ひいては設計期間の長期化を招くという問題がある。   However, according to the Monte Carlo simulation described above, in order to perform accurate correlation analysis, it is necessary to repeatedly execute the delay analysis tool and the leak analysis tool about several thousand times. For this reason, there is a problem that the processing time required for the correlation analysis is increased, which leads to a prolonged design period.

また、上述した遅延分布を正規分布にモデル化する手法によれば、多数の並列に動作する部分回路を含む回路では、回路全体の遅延分布が非正規となる傾向があるため、解析精度が低下する場合がある。そのため、結果的に回路設計の手戻りが生じ、設計者の作業負担が増大するとともに、設計期間の長期化を招くという問題がある。   In addition, according to the method of modeling the delay distribution as described above into a normal distribution, in a circuit including a large number of partial circuits operating in parallel, the delay distribution of the entire circuit tends to be non-normal, resulting in a decrease in analysis accuracy. There is a case. As a result, there is a problem that the circuit design is reworked as a result, the work load on the designer is increased, and the design period is prolonged.

本発明は、上述した従来技術による問題点を解消するため、対象回路の遅延とリーク電流の相関解析にかかる処理時間の短縮化を図ることができる解析支援プログラム、解析支援装置、および解析支援方法を提供することを目的とする。   The present invention solves the above-described problems caused by the prior art, and provides an analysis support program, an analysis support apparatus, and an analysis support method capable of reducing the processing time required for the correlation analysis between the delay of the target circuit and the leakage current. The purpose is to provide.

上述した課題を解決し、目的を達成するため、開示の解析支援プログラム、解析支援装置、および解析支援方法は、対象回路内の並列な複数のパスのいずれかのパスに含まれる各素子で独立の遅延バラツキに基づく前記各素子の第一遅延分布の標準偏差を用いて、前記いずれかのパスを直列回路としてモデル化した場合の前記各素子で独立の遅延バラツキに基づく前記いずれかのパスの第一遅延分布の標準偏差を算出し、算出された前記いずれかのパスの第一遅延分布の標準偏差と、前記対象回路の統計的遅延解析から得られる前記いずれかのパスの第一遅延分布の標準偏差とを用いて、前記各素子の第一遅延分布の標準偏差を補正し、補正された補正後の前記各素子の第一遅延分布の標準偏差を用いて前記対象回路の遅延とリーク電流の相関解析を実行することにより、前記対象回路の遅延とリーク電流の相関を表す相関分布を取得し、取得された相関分布を出力することを要件とする。   In order to solve the above-described problems and achieve the object, the disclosed analysis support program, analysis support apparatus, and analysis support method are independent for each element included in any one of a plurality of parallel paths in the target circuit. The standard deviation of the first delay distribution of each element based on the delay variation of each of the above-described paths is modeled as a series circuit, and any one of the paths based on the delay variation independent of each element is modeled. The standard deviation of the first delay distribution is calculated, the calculated standard deviation of the first delay distribution of any one of the paths, and the first delay distribution of any one of the paths obtained from the statistical delay analysis of the target circuit The standard deviation of the first delay distribution of each element is corrected using the standard deviation of the target circuit, and the delay and leakage of the target circuit are corrected using the corrected standard deviation of the first delay distribution of each element. Current phase By performing the analysis, we obtain a correlation distribution representing the correlation between delay and leak current of the target circuit, and the requirements to output the acquired correlation distribution.

本解析支援プログラム、解析支援装置、および解析支援方法によれば、対象回路の遅延とリーク電流の相関解析にかかる処理時間の短縮化を図ることができるという効果を奏する。   According to the analysis support program, the analysis support apparatus, and the analysis support method, there is an effect that it is possible to shorten the processing time required for the correlation analysis between the delay of the target circuit and the leakage current.

本解析手法の概要の一例を示す説明図である。It is explanatory drawing which shows an example of the outline | summary of this analysis method. 対象回路の一例を示す回路図である。It is a circuit diagram which shows an example of an object circuit. 解析支援装置のハードウェア構成の一例を示すブロック図である。It is a block diagram which shows an example of the hardware constitutions of an analysis assistance apparatus. 解析支援装置の機能的構成を示すブロック図である。It is a block diagram which shows the functional structure of an analysis assistance apparatus. セル遅延バラツキテーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of a cell delay variation table. セルリークバラツキテーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of a cell leak variation table. 相関係数テーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of a correlation coefficient table. パス内セルテーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of the cell table in a path | pass. SSTA結果テーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of a SSTA result table. 補正後バラツキテーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of the variation table after correction | amendment. リーク・遅延相関テーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of a leak / delay correlation table. リーク・遅延相関分布の具体例を示す説明図である。It is explanatory drawing which shows the specific example of leak and delay correlation distribution. リーク・周波数相関テーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of a leak and frequency correlation table. 削除後のリーク・周波数相関テーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of the leak and frequency correlation table after deletion. 周波数歩留テーブルの記憶内容の一例を示す説明図である。It is explanatory drawing which shows an example of the memory content of a frequency yield table. リーク・周波数相関分布および周波数歩留分布の具体例を示す説明図である。It is explanatory drawing which shows the specific example of leak * frequency correlation distribution and frequency yield distribution. 解析支援装置の解析支援処理手順の一例を示すフローチャートである。It is a flowchart which shows an example of the analysis assistance process procedure of an analysis assistance apparatus. バラツキ補正処理の具体的処理手順の一例を示すフローチャートである。It is a flowchart which shows an example of the specific process sequence of a variation correction process. リーク・遅延相関分布取得処理の具体的処理手順の一例を示すフローチャート(その1)である。It is a flowchart (the 1) which shows an example of the specific process sequence of a leak and delay correlation distribution acquisition process. リーク・遅延相関分布取得処理の具体的処理手順の一例を示すフローチャート(その2)である。It is a flowchart (the 2) which shows an example of the specific process sequence of a leak and delay correlation distribution acquisition process. リーク・周波数相関分布算出処理の具体的処理手順の一例を示すフローチャートである。It is a flowchart which shows an example of the specific process sequence of a leak and frequency correlation distribution calculation process. 周波数歩留分布算出処理の具体的処理手順の一例を示すフローチャートである。It is a flowchart which shows an example of the specific process sequence of a frequency yield distribution calculation process.

以下に添付図面を参照して、この発明にかかる解析支援プログラム、解析支援装置、および解析支援方法の好適な実施の形態を詳細に説明する。   Exemplary embodiments of an analysis support program, an analysis support apparatus, and an analysis support method according to the present invention will be explained below in detail with reference to the accompanying drawings.

(本解析手法の概要)
まず、実施の形態にかかる本解析手法の概要の一例について説明する。本明細書において、対象回路とは、並列に動作する複数のパスを含む回路(たとえば、プロセッサ)である。パスとは、対象回路内の一のセルから他のセルに辿り着くまでの経路である。セルとは、対象回路に含まれるNOTゲート、ANDゲート、配線、バッファ、INV(インバータ)、FFなどの回路素子である。
(Outline of this analysis method)
First, an example of the outline of this analysis method according to the embodiment will be described. In this specification, the target circuit is a circuit (for example, a processor) including a plurality of paths operating in parallel. A path is a path from one cell in the target circuit to another cell. A cell is a circuit element such as a NOT gate, AND gate, wiring, buffer, INV (inverter), and FF included in the target circuit.

すなわち、パスは、たとえば、対象回路内の一のFF(フリップフロップ)から他のFFに辿り着くまでの経路である。また、パスは、対象回路内のデータ入力端子からFFに辿り着くまでの経路であってもよく、さらに、FFからあるデータ出力端子に辿り着くまでの経路であってもよい。   That is, the path is a path from one FF (flip-flop) in the target circuit to another FF, for example. The path may be a path from the data input terminal in the target circuit to the FF, or may be a path from the FF to a certain data output terminal.

図1は、本解析手法の概要の一例を示す説明図である。本解析手法では、対象回路の遅延とリーク電流の相関解析の解析精度を確保しつつ処理時間の短縮化を図る。ここで、対象回路の遅延とリーク電流に対するバラツキとして、対象回路内の各セル独立のバラツキと、対象回路内の全セル共通のバラツキがある。   FIG. 1 is an explanatory diagram showing an example of an outline of the present analysis method. In this analysis method, the processing time is shortened while ensuring the analysis accuracy of the correlation analysis between the delay of the target circuit and the leakage current. Here, there are variations for the delay and leakage current of the target circuit, which are independent for each cell in the target circuit, and for all cells in the target circuit.

具体的には、遅延のバラツキとして、各セル独立の第一遅延バラツキと、全セル共通の第二遅延バラツキがある。このため、セルの遅延バラツキは、これら第一/第二遅延バラツキを用いて表現することができる。具体的には、たとえば、セルの遅延バラツキは、下記式(1)を用いて表現することができる。   Specifically, the delay variation includes a first delay variation independent of each cell and a second delay variation common to all cells. Therefore, the delay variation of the cell can be expressed using these first / second delay variations. Specifically, for example, the delay variation of the cell can be expressed using the following formula (1).

ただし、dはセルの遅延バラツキ、αは第一遅延バラツキパラメータ、βは第二遅延バラツキパラメータである。また、mは第一遅延バラツキに基づくセルの第一遅延分布の平均、sは第一遅延分布の標準偏差である。また、ap、anは第二遅延バラツキに基づくセルの第二遅延分布の標準偏差である。 However, d C is a delay variation of the cell, α is a first delay variation parameter, and β is a second delay variation parameter. Further, m is the average of the first delay distribution of the cells based on the first delay variation, and s is the standard deviation of the first delay distribution. Ap and an are standard deviations of the second delay distribution of the cells based on the second delay variation.

=m+s×α+f(β)
f(β)=ap×β (β≧0)、f(β)=an×β (β<0)
・・・(1)
d C = m + s × α + f (β)
f (β) = ap × β (β ≧ 0), f (β) = an × β (β <0)
... (1)

また、リーク電流のバラツキとして、各セル独立の第一リーク電流バラツキと、全セル共通の第二リーク電流バラツキがある。このため、セルのリーク電流バラツキは、これら第一/第二リーク電流バラツキを用いて表現することができる。具体的には、たとえば、セルのリーク電流バラツキは、下記式(2)を用いて表現することができる。   In addition, variations in leakage current include a first leakage current variation independent of each cell and a second leakage current variation common to all cells. Therefore, the cell leakage current variation can be expressed using these first / second leakage current variations. Specifically, for example, cell leakage current variation can be expressed using the following equation (2).

ただし、lはセルのリーク電流バラツキ、α’は第一リーク電流バラツキパラメータ、β’は第二リーク電流バラツキパラメータである。また、a、b、cは各セル固有の係数である。 Here, l C is a cell leakage current variation, α ′ is a first leakage current variation parameter, and β ′ is a second leakage current variation parameter. Further, a, b, and c are coefficients specific to each cell.

=exp(a+b×α’+c×β’) ・・・(2) l C = exp (a + b × α ′ + c × β ′) (2)

ここで、上記α、α’、β、β’は、確率変数であり、たとえば、平均「0」、標準偏差「1」の標準正規分布である。また、上記α、α’は、相関係数ραの相関を持つ。この相関係数ραは、対象回路内の各セル固有である。また、上記β、β’は、相関係数ρの相関を持つ。この相関係数ρは、対象回路内の全セル共通である。 Here, α, α ′, β, and β ′ are random variables, for example, a standard normal distribution with an average of “0” and a standard deviation of “1”. Further, the alpha, alpha 'has a correlation of the correlation coefficient [rho alpha. The correlation coefficient [rho alpha is specific to each cell in the target circuit. Also, β and β ′ have a correlation coefficient ρ. This correlation coefficient ρ is common to all cells in the target circuit.

一般に、対象回路の遅延とリーク電流の相関解析では、セル間で相関があるため、各セルの第一遅延バラツキと第二遅延バラツキを考慮する必要がある。その一方で、対象回路内の論理回路では、セル間の結合が「直列」だけではなく、一つのセルに複数の信号が入力される「合流」も含まれるため、相関解析の処理内容が複雑なものとなってしまう(図1中(1−1))。   In general, in the correlation analysis between the delay of the target circuit and the leakage current, there is a correlation between cells, so it is necessary to consider the first delay variation and the second delay variation of each cell. On the other hand, the logic circuit in the target circuit includes not only "serial" coupling between cells but also "merging" in which multiple signals are input to one cell, so the processing of correlation analysis is complicated. (1-1 in FIG. 1).

そこで、本解析手法では、対象回路内の各パスを、パス内のセルが直列に接続された直列回路としてモデル化する(図1中(1−2))。具体的には、たとえば、本解析手法では、パスの第一遅延バラツキを、下記式(3)を用いて、パス内の各セルの第一遅延バラツキの足し算となるように構成する。   Therefore, in this analysis method, each path in the target circuit is modeled as a series circuit in which cells in the path are connected in series ((1-2) in FIG. 1). Specifically, for example, in the present analysis method, the first delay variation of the path is configured to be the addition of the first delay variation of each cell in the path using the following formula (3).

ただし、パスPiは対象回路内の任意のパス、dintraはパスPiの第一遅延バラツキ、MはパスPiの第一遅延分布の平均である。また、αはパスPi内の任意のセルCjの第一遅延バラツキパラメータ、sはセルCjの第一遅延分布の標準偏差である(j=1,2,…,n)。 However, the path Pi is an arbitrary path in the target circuit, d intra is the first delay variation of the path Pi, and M is the average of the first delay distribution of the path Pi. Α j is a first delay variation parameter of an arbitrary cell Cj in the path Pi, and s j is a standard deviation of the first delay distribution of the cell Cj (j = 1, 2,..., N).

intra=M+s×α+s×α+…+s×α+…+s×α・・・(3) d intra = M + s 1 × α 1 + s 2 × α 2 + ... + s j × α j + ... + s n × α n (3)

このため、パスPiの遅延バラツキは下記式(4)を用いて表現できる。ただし、diはパスPiの遅延バラツキ、M’はパスPiの第一遅延分布の平均である。また、f(β)はパスPiの第二遅延バラツキ、Ap、Anは第二遅延バラツキに基づくパスPiの第二遅延分布の標準偏差である。   For this reason, the delay variation of the path Pi can be expressed using the following equation (4). Here, di is the delay variation of the path Pi, and M ′ is the average of the first delay distribution of the path Pi. Further, f (β) is the second delay variation of the path Pi, and Ap and An are standard deviations of the second delay distribution of the path Pi based on the second delay variation.

di=M’+s×α+s×α+…+s×α+…+s×α+f(β)
f(β)=Ap×β (β≧0)、f(β)=An×β (β<0)
・・・(4)
di = M ′ + s 1 × α 1 + s 2 × α 2 +... + s j × α j +... + s n × α n + f (β)
f (β) = Ap × β (β ≧ 0), f (β) = An × β (β <0)
... (4)

このように、本解析手法では、パスPiの第一遅延バラツキをパスPi内の各セルCjの第一遅延バラツキの足し算となるように構成する。これにより、対象回路の遅延とリーク電流の相関解析の処理内容が簡単化され、相関解析にかかる処理時間を短縮できる。   Thus, in this analysis method, the first delay variation of the path Pi is configured to be the addition of the first delay variation of each cell Cj in the path Pi. As a result, the processing contents of the correlation analysis between the delay of the target circuit and the leakage current are simplified, and the processing time required for the correlation analysis can be shortened.

ただし、単純に対象回路内の各パスを直列回路としてモデル化すると、相関解析の精度が低下してしまう。そこで、本解析手法では、パスPiの第一遅延バラツキdintraを、既存のSSTA手法を用いて得られるパスPiの第一遅延分布の平均Mと標準偏差Sと一致するように再構成する。 However, if each path in the target circuit is simply modeled as a series circuit, the accuracy of the correlation analysis will be reduced. Therefore, in this analysis method, the first delay variation d intra of the path Pi is reconfigured so as to match the average M and the standard deviation S of the first delay distribution of the path Pi obtained using the existing SSTA method.

ここで、直列回路全体の第一遅延バラツキの平均と標準偏差は、数学的な一般論により、下記式(5)と(6)を用いて表される。下記式(6)では、パスPi内の各セルCjの第一遅延バラツキが互いに独立であるという性質を用いている。ただし、M’は直列回路全体の第一遅延分布の平均、S’は直列回路全体の第一遅延分布の標準偏差である。mはセルCjの第一遅延分布の平均である。 Here, the average and standard deviation of the first delay variation of the whole series circuit are expressed by the following general formulas (5) and (6). The following equation (6) uses the property that the first delay variation of each cell Cj in the path Pi is independent of each other. Where M ′ is the average of the first delay distribution of the entire series circuit, and S ′ is the standard deviation of the first delay distribution of the entire series circuit. m j is the average of the first delay distribution of the cell Cj.

M’=m+m+…+m ・・・(5) M ′ = m 1 + m 2 +... + Mn n (5)

S’=s +s +…+s ・・・(6) S ′ 2 = s 1 2 + s 2 2 +... + S n 2 (6)

本解析手法では、まず、SSTA手法を用いて、パスPiの第一遅延分布および第二遅延分布を計算する。そして、本解析手法では、上記式(3)のパスPiの第一遅延分布の平均M’を、上記式(5)を用いて計算されるM’の替わりに、SSTA手法で計算されたパスPiの第一遅延分布の平均Mとする。   In this analysis method, first, the first delay distribution and the second delay distribution of the path Pi are calculated using the SSTA method. In this analysis method, the average M ′ of the first delay distribution of the path Pi in the above equation (3) is replaced with the path calculated by the SSTA method instead of M ′ calculated using the above equation (5). Let M be the average of the first delay distribution of Pi.

また、本解析手法では、SSTAで計算されたパスPiの第一遅延分布の標準偏差Sと上記式(6)を用いて、セルCjの第一遅延分布の標準偏差sを補正する(図1中(1−3))。具体的には、たとえば、本解析手法では、下記式(7)を用いて、セルCの第一遅延分布の標準偏差sを補正する。ただし、pは補正後のセルCjの第一遅延分布の標準偏差である。 In this analysis method, the standard deviation Sj of the first delay distribution of the cell Cj is corrected using the standard deviation S of the first delay distribution of the path Pi calculated by SSTA and the above equation (6) (see FIG. 1 (1-3)). Specifically, for example, in this analysis method, using the following equation (7), to correct the standard deviation s j of the first delay distribution of cell C j. Here, p j is the standard deviation of the first delay distribution of the corrected cell Cj.

=(S/S’)×s ・・・(7) p j = (S / S ′) × s j (7)

この結果、パスPiの遅延バラツキは下記式(8)を用いて表現される。   As a result, the delay variation of the path Pi is expressed using the following equation (8).

di=M+p×α+p×α+…+p×α+…+p×α+f(β)
f(β)=Ap×β (β≧0)、f(β)=An×β (β<0)
・・・(8)
di = M + p 1 × α 1 + p 2 × α 2 +... + p j × α j +... + p n × α n + f (β)
f (β) = Ap × β (β ≧ 0), f (β) = An × β (β <0)
... (8)

このように、本解析手法では、パスPiを直列回路としてモデル化し、パスPiの第一遅延バラツキdintraを、SSTA手法で計算されたパスPiの第一遅延分布の平均M、標準偏差Sと一致するように再構成する。これにより、対象回路の遅延とリーク電流の相関解析にかかる処理時間を短縮化するとともに、相関解析の解析精度を確保することができる。 As described above, in this analysis method, the path Pi is modeled as a series circuit, and the first delay variation d intra of the path Pi is calculated as an average M and a standard deviation S of the first delay distribution of the path Pi calculated by the SSTA method. Reconfigure to match. As a result, the processing time required for the correlation analysis between the delay of the target circuit and the leakage current can be shortened, and the analysis accuracy of the correlation analysis can be ensured.

(対象回路の一例)
つぎに、対象回路の一例について説明する。図2は、対象回路の一例を示す回路図である。なお、図面では、対象回路の一部を抜粋して表示している。図2において、対象回路200は、パスPa〜Pcを含む構成である。本解析手法では、対象回路200内のパスPa〜Pbの少なくともいずれかを直列回路としてモデル化して、遅延とリーク電流の相関解析を実行する。
(Example of target circuit)
Next, an example of the target circuit will be described. FIG. 2 is a circuit diagram illustrating an example of the target circuit. In the drawing, a part of the target circuit is extracted and displayed. In FIG. 2, the target circuit 200 is configured to include paths Pa to Pc. In this analysis method, at least one of the paths Pa to Pb in the target circuit 200 is modeled as a series circuit, and a correlation analysis between delay and leakage current is performed.

ここで、対象回路200において、パスPaは、FF1⇒INV1⇒INV2⇒AND1⇒INV3⇒INV4⇒NOR1⇒FF2に至る経路である。パスPbは、FF1⇒INV1⇒INV2⇒AND1⇒INV5⇒INV6⇒NOR2⇒FF3に至る経路である。パスPcは、FF1⇒INV1⇒INV2⇒AND1⇒INV5⇒INV7⇒NOR3⇒FF4に至る経路である。   Here, in the target circuit 200, the path Pa is a path from FF1 → INV1 → INV2 → AND1 → INV3 → INV4 → NOR1 → FF2. The path Pb is a path from FF1 → INV1 → INV2 → AND1 → INV5 → INV6 → NOR2 → FF3. The path Pc is a path from FF1 → INV1 → INV2 → AND1 → INV5 → INV7 → NOR3 → FF4.

(解析支援装置のハードウェア構成)
つぎに、本実施の形態にかかる解析支援装置のハードウェア構成について説明する。図3は、解析支援装置のハードウェア構成の一例を示すブロック図である。図3において、解析支援装置300は、CPU(Central Processing Unit)301と、ROM(Read‐Only Memory)302と、RAM(Random Access Memory)303と、磁気ディスクドライブ304と、磁気ディスク305と、光ディスクドライブ306と、光ディスク307と、ディスプレイ308と、I/F(Interface)309と、キーボード310と、マウス311と、スキャナ312と、プリンタ313と、を備えている。また、各構成部はバス320によってそれぞれ接続されている。
(Hardware configuration of analysis support device)
Next, the hardware configuration of the analysis support apparatus according to the present embodiment will be described. FIG. 3 is a block diagram illustrating an example of a hardware configuration of the analysis support apparatus. In FIG. 3, an analysis support apparatus 300 includes a CPU (Central Processing Unit) 301, a ROM (Read-Only Memory) 302, a RAM (Random Access Memory) 303, a magnetic disk drive 304, a magnetic disk 305, and an optical disk. A drive 306, an optical disk 307, a display 308, an I / F (Interface) 309, a keyboard 310, a mouse 311, a scanner 312, and a printer 313 are provided. Each component is connected by a bus 320.

ここで、CPU301は、解析支援装置300の全体の制御を司る。ROM302は、ブートプログラムなどのプログラムを記憶している。RAM303は、CPU301のワークエリアとして使用される。磁気ディスクドライブ304は、CPU301の制御にしたがって磁気ディスク305に対するデータのリード/ライトを制御する。磁気ディスク305は、磁気ディスクドライブ304の制御で書き込まれたデータを記憶する。   Here, the CPU 301 governs overall control of the analysis support apparatus 300. The ROM 302 stores a program such as a boot program. The RAM 303 is used as a work area for the CPU 301. The magnetic disk drive 304 controls the reading / writing of the data with respect to the magnetic disk 305 according to control of CPU301. The magnetic disk 305 stores data written under the control of the magnetic disk drive 304.

光ディスクドライブ306は、CPU301の制御にしたがって光ディスク307に対するデータのリード/ライトを制御する。光ディスク307は、光ディスクドライブ306の制御で書き込まれたデータを記憶したり、光ディスク307に記憶されたデータをコンピュータに読み取らせたりする。   The optical disk drive 306 controls the reading / writing of the data with respect to the optical disk 307 according to control of CPU301. The optical disk 307 stores data written under the control of the optical disk drive 306, and causes the computer to read data stored on the optical disk 307.

ディスプレイ308は、カーソル、アイコンあるいはツールボックスをはじめ、文書、画像、機能情報などのデータを表示する。このディスプレイ308は、たとえば、CRT、TFT液晶ディスプレイ、プラズマディスプレイなどを採用することができる。   The display 308 displays data such as a document, an image, and function information as well as a cursor, an icon, or a tool box. As this display 308, for example, a CRT, a TFT liquid crystal display, a plasma display, or the like can be adopted.

I/F309は、通信回線を通じてLAN(Local Area Network)、WAN(Wide Area Network)、インターネットなどのネットワーク314に接続され、このネットワーク314を介して他の装置に接続される。そして、I/F309は、ネットワーク314と内部のインターフェースを司り、外部装置からのデータの入出力を制御する。I/F309には、たとえばモデムやLANアダプタなどを採用することができる。   The I / F 309 is connected to a network 314 such as a LAN (Local Area Network), a WAN (Wide Area Network), and the Internet through a communication line, and is connected to other devices via the network 314. The I / F 309 serves as an internal interface with the network 314 and controls data input / output from an external device. For example, a modem or a LAN adapter can be adopted as the I / F 309.

キーボード310は、文字、数字、各種指示などの入力のためのキーを備え、データの入力をおこなう。また、タッチパネル式の入力パッドやテンキーなどであってもよい。マウス311は、カーソルの移動や範囲選択、あるいはウィンドウの移動やサイズの変更などをおこなう。ポインティングデバイスとして同様に機能を備えるものであれば、トラックボールやジョイスティックなどであってもよい。   The keyboard 310 includes keys for inputting characters, numbers, various instructions, and the like, and inputs data. Moreover, a touch panel type input pad or a numeric keypad may be used. The mouse 311 performs cursor movement, range selection, window movement, size change, and the like. A trackball or a joystick may be used as long as they have the same function as a pointing device.

スキャナ312は、画像を光学的に読み取り、解析支援装置300内に画像データを取り込む。なお、スキャナ312は、OCR(Optical Character Reader)機能を持たせてもよい。また、プリンタ313は、画像データや文書データを印刷する。プリンタ313には、たとえば、レーザプリンタやインクジェットプリンタを採用することができる。   The scanner 312 optically reads an image and takes in the image data into the analysis support apparatus 300. The scanner 312 may have an OCR (Optical Character Reader) function. The printer 313 prints image data and document data. As the printer 313, for example, a laser printer or an ink jet printer can be employed.

(解析支援装置の機能的構成)
つぎに、解析支援装置300の機能的構成について説明する。図4は、解析支援装置の機能的構成を示すブロック図である。図4において、解析支援装置300は、入力部401と、取得部402と、算出部403と、補正部404と、作成部405と、出力部406と、を含む構成である。この制御部となる機能(入力部401〜出力部406)は、具体的には、たとえば、図3に示したROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されたプログラムをCPU301に実行させることにより、または、I/F309により、その機能を実現する。
(Functional configuration of analysis support device)
Next, a functional configuration of the analysis support apparatus 300 will be described. FIG. 4 is a block diagram illustrating a functional configuration of the analysis support apparatus. In FIG. 4, the analysis support apparatus 300 includes an input unit 401, an acquisition unit 402, a calculation unit 403, a correction unit 404, a creation unit 405, and an output unit 406. Specifically, the functions (input unit 401 to output unit 406) serving as the control unit are, for example, a program stored in a storage device such as the ROM 302, RAM 303, magnetic disk 305, and optical disk 307 shown in FIG. The function is realized by executing the function or by the I / F 309.

なお、以下の説明において、特に指定する場合を除いて、対象回路内のセルを「セルC1〜CN」と表記し、対象回路内のパスを「パスP1〜PL」と表記する。   In the following description, unless otherwise specified, cells in the target circuit are expressed as “cells C1 to CN”, and paths in the target circuit are expressed as “paths P1 to PL”.

入力部401は、対象回路に関する回路情報の入力を受け付ける機能を有する。回路情報とは、たとえば、対象回路に関するネットリスト、バラツキデータ(図5、図6参照)、相関データ(図7参照)およびパス内セルデータ(図8参照)などである。具体的には、たとえば、入力部401が、図3に示したキーボード310やマウス311を用いたユーザの操作により回路情報の入力を受け付ける。また、入力部401が、外部のコンピュータ装置からの受信、不図示のデータベースやライブラリからの抽出により回路情報を取得してもよい。   The input unit 401 has a function of receiving input of circuit information related to the target circuit. The circuit information includes, for example, a net list related to the target circuit, variation data (see FIGS. 5 and 6), correlation data (see FIG. 7), in-path cell data (see FIG. 8), and the like. Specifically, for example, the input unit 401 receives an input of circuit information by a user operation using the keyboard 310 and the mouse 311 shown in FIG. The input unit 401 may acquire circuit information by receiving from an external computer device or extracting from a database or library (not shown).

ここで、ネットリストとは、対象回路内のセル群および各セル間の接続関係を示す電子データである。また、バラツキデータとは、各セルC1〜CNの第一遅延バラツキおよび第二遅延バラツキに関するモデルデータである。バラツキデータは、たとえば、図5に示すセル遅延バラツキテーブル500および図6に示すセルリークバラツキテーブル600に記憶される。   Here, the net list is electronic data indicating a cell group in the target circuit and a connection relationship between the cells. The variation data is model data relating to the first delay variation and the second delay variation of the cells C1 to CN. The variation data is stored, for example, in a cell delay variation table 500 shown in FIG. 5 and a cell leak variation table 600 shown in FIG.

また、相関データとは、対象回路の遅延とリーク電流との相関を表す情報である。相関データは、たとえば、図7に示す相関係数テーブル700に記憶される。また、パス内セルデータとは、パスPi内のセルを特定するための情報である。パス内セルデータは、たとえば、図8に示すパス内セルテーブル800に記憶される。   The correlation data is information representing the correlation between the delay of the target circuit and the leakage current. The correlation data is stored, for example, in a correlation coefficient table 700 shown in FIG. The in-path cell data is information for specifying a cell in the path Pi. The intra-path cell data is stored, for example, in an intra-path cell table 800 shown in FIG.

図5は、セル遅延バラツキテーブルの記憶内容の一例を示す説明図である。図5において、セル遅延バラツキテーブル500は、セルID、m、s、apおよびanのフィールドを有する。各フィールドに情報を設定することで、各セルC1〜CNの遅延バラツキデータ500−1〜500−Nがレコードとして記憶されている。   FIG. 5 is an explanatory diagram showing an example of the contents stored in the cell delay variation table. In FIG. 5, a cell delay variation table 500 has fields of cell ID, m, s, ap, and an. By setting information in each field, delay variation data 500-1 to 500-N of each cell C1 to CN are stored as records.

セルIDとは、対象回路内のセルC1〜CNを識別する識別子である。mは、各セルC1〜CNの第一遅延分布の平均である。sは、各セルC1〜CNの第一遅延分布の標準偏差である。apおよびanは、各セルC1〜CNの第二遅延分布の標準偏差である。ただし、apは、第二遅延バラツキパラメータβが「β≧0」の場合の標準偏差であり、anは第二遅延バラツキパラメータβが「β<0」の場合の標準偏差である。   The cell ID is an identifier for identifying the cells C1 to CN in the target circuit. m is the average of the first delay distributions of the cells C1 to CN. s is the standard deviation of the first delay distribution of each cell C1 to CN. ap and an are standard deviations of the second delay distribution of the cells C1 to CN. Here, ap is a standard deviation when the second delay variation parameter β is “β ≧ 0”, and an is a standard deviation when the second delay variation parameter β is “β <0”.

各セルC1〜CNの遅延バラツキは、上記式(1)に各セルC1〜CNに対応する遅延バラツキデータ500−1〜500−Nを代入することで表現できる。たとえば、セルC1の遅延バラツキは『d=m(1)+s(1)×α+f(β)』となる(ただし、『β≧0』の場合『f(β)=ap(1)×β』、『β<0』の場合『f(β)=an(1)×β』)。 The delay variation of each cell C1 to CN can be expressed by substituting the delay variation data 500-1 to 500-N corresponding to each cell C1 to CN into the above equation (1). For example, the delay variation of the cell C1 is “d C = m (1) + s (1) × α + f (β)” (however, when “β ≧ 0”, “f (β) = ap (1) × β And “β <0”, “f (β) = an (1) × β”).

図6は、セルリークバラツキテーブルの記憶内容の一例を示す説明図である。図6において、セルリークバラツキテーブル600は、セルID、a、bおよびcのフィールドを有する。各フィールドに情報を設定することで、各セルC1〜CNのリークバラツキデータ600−1〜600−Nがレコードとして記憶されている。   FIG. 6 is an explanatory diagram showing an example of the contents stored in the cell leak variation table. In FIG. 6, the cell leak variation table 600 has fields of cell ID, a, b, and c. By setting information in each field, leak variation data 600-1 to 600-N of the cells C1 to CN are stored as records.

セルIDとは、対象回路内のセルC1〜CNを識別する識別子である。a、bおよびcは、リーク電流バラツキに関する各セルC1〜CN固有の係数である。各セルC1〜CNのリーク電流バラツキは、上記式(2)に各セルC1〜CNに対応するリークバラツキデータ600−1〜600−Nを代入することで表現できる。たとえば、セルC1のリーク電流バラツキは『l=exp(a(1)+b(1)×α’+c(1)×β’)』となる。 The cell ID is an identifier for identifying the cells C1 to CN in the target circuit. “a”, “b”, and “c” are coefficients specific to the cells C1 to CN regarding the leakage current variation. The leakage current variations of the cells C1 to CN can be expressed by substituting the leakage variation data 600-1 to 600-N corresponding to the cells C1 to CN into the above formula (2). For example, the leakage current variation of the cell C1 is “l C = exp (a (1) + b (1) × α ′ + c (1) × β ′)”.

図7は、相関係数テーブルの記憶内容の一例を示す説明図である。図7において、相関係数テーブル700は、セルID、第一バラツキ相関係数および第二バラツキ相関係数のフィールドを有する。各フィールドに情報を設定することで、各セルC1〜CNの相関データ700−1〜700−Nがレコードとして記憶されている。   FIG. 7 is an explanatory diagram showing an example of the contents stored in the correlation coefficient table. In FIG. 7, the correlation coefficient table 700 has fields for cell ID, first variation correlation coefficient, and second variation correlation coefficient. By setting information in each field, correlation data 700-1 to 700-N of each cell C1 to CN are stored as records.

セルIDとは、対象回路内のセルC1〜CNを識別する識別子である。第一バラツキ相関係数とは、第一遅延バラツキパラメータαと第一リーク電流バラツキパラメータα’との相関を表す相関係数である。第二バラツキ相関係数とは、第二遅延バラツキパラメータβと第二リーク電流バラツキパラメータβ’との相関を表す相関係数である。   The cell ID is an identifier for identifying the cells C1 to CN in the target circuit. The first variation correlation coefficient is a correlation coefficient representing the correlation between the first delay variation parameter α and the first leakage current variation parameter α ′. The second variation correlation coefficient is a correlation coefficient representing the correlation between the second delay variation parameter β and the second leakage current variation parameter β ′.

たとえば、セルC1の第一遅延バラツキパラメータαと第一リーク電流バラツキパラメータα’との相関を表す相関係数は『ρ(1)』である。なお、『ρ(1)=1』の場合、『α=α’』となる。また、セルC1の第二遅延バラツキパラメータβと第二リーク電流バラツキパラメータβ’との相関を表す相関係数は『ρ』である。   For example, the correlation coefficient representing the correlation between the first delay variation parameter α and the first leakage current variation parameter α ′ of the cell C1 is “ρ (1)”. In the case of “ρ (1) = 1”, “α = α ′”. Further, the correlation coefficient representing the correlation between the second delay variation parameter β and the second leakage current variation parameter β ′ of the cell C1 is “ρ”.

図8は、パス内セルテーブルの記憶内容の一例を示す説明図である。図8において、パス内セルテーブル800は、パスID、パス内セル数およびパス内セルID/セルIDのフィールドを有する。各フィールドに情報を設定することで、各パスP1〜PLのパス内セルデータ800−1〜800−Lがレコードとして記憶されている。   FIG. 8 is an explanatory diagram of an example of the contents stored in the intra-pass cell table. In FIG. 8, the intra-path cell table 800 includes fields of path ID, number of cells in path, and intra-path cell ID / cell ID. By setting information in each field, in-path cell data 800-1 to 800-L of each path P1 to PL is stored as a record.

パスIDとは、対象回路内のパスP1〜PLを識別する識別子である。パス内セル数とは、各パスP1〜PLに含まれるセルの総数である。パス内セルID/セルIDとは、パスP1〜PL内のセルを識別する識別子である。   The path ID is an identifier for identifying the paths P1 to PL in the target circuit. The number of cells in a path is the total number of cells included in each path P1 to PL. The in-path cell ID / cell ID is an identifier for identifying cells in the paths P1 to PL.

具体的には、パス内セルID(パスID、セル番号)とは、パス内のセルを特定するためのセル番号である。なお、セル番号は、たとえば、パスの先頭からのセルの順番である。また、セルIDとは、セルC1〜CNを識別する識別子である。たとえば、C(1,2)/C5は、パスP1の先頭から2番目のセルC5を表している。   Specifically, the in-path cell ID (path ID, cell number) is a cell number for specifying a cell in the path. The cell number is, for example, the order of cells from the beginning of the path. The cell ID is an identifier for identifying the cells C1 to CN. For example, C (1,2) / C5 represents the second cell C5 from the beginning of the path P1.

なお、図5〜図8に示した各種テーブルは、たとえば、図3に示したROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。また、ここではパス内セルデータ(図8参照)を解析支援装置300に入力することにしたがこれに限らない。たとえば、解析支援装置300において、対象回路に関するネットリストに基づいてパス内セルデータを作成することにしてもよい。   5 to 8 are stored in a storage device such as the ROM 302, the RAM 303, the magnetic disk 305, and the optical disk 307 shown in FIG. Here, the intra-path cell data (see FIG. 8) is input to the analysis support apparatus 300, but the present invention is not limited to this. For example, the analysis support apparatus 300 may create in-path cell data based on a net list related to the target circuit.

図4の説明に戻り、取得部402は、対象回路の統計的遅延解析を実行することにより、対象回路内の各パスPiの第一遅延分布および第二遅延分布を取得する機能を有する。具体的には、たとえば、取得部402が、対象回路の回路情報をシミュレータに与えてSSTAを実行する。そして、取得部402が、SSTA結果として各パスPiの第一遅延分布および第二遅延分布を取得する。   Returning to the description of FIG. 4, the acquisition unit 402 has a function of acquiring the first delay distribution and the second delay distribution of each path Pi in the target circuit by executing statistical delay analysis of the target circuit. Specifically, for example, the acquisition unit 402 gives the circuit information of the target circuit to the simulator and executes SSTA. Then, the acquisition unit 402 acquires the first delay distribution and the second delay distribution of each path Pi as the SSTA result.

なお、シミュレータは、解析支援装置300が備えていてもよく、また、外部のコンピュータ装置が備えていてもよい。ただし、外部のコンピュータ装置が備える場合、取得部402が、対象回路の回路情報を外部のコンピュータ装置に送信し、外部のコンピュータ装置から解析結果を取得することになる。取得されたSSTA結果は、たとえば、図9に示したSSTA結果テーブル900に記憶される。   The simulator may be included in the analysis support apparatus 300 or may be included in an external computer apparatus. However, when an external computer device is provided, the acquisition unit 402 transmits circuit information of the target circuit to the external computer device, and acquires an analysis result from the external computer device. The acquired SSTA result is stored in, for example, the SSTA result table 900 shown in FIG.

図9は、SSTA結果テーブルの記憶内容の一例を示す説明図である。図9において、SSTA結果テーブル900は、パスID、M、S、ApおよびAnのフィールドを有する。各フィールドに情報を設定することで、パスP1〜PLのSSTA結果900−1〜900−Lがレコードとして記憶されている。   FIG. 9 is an explanatory diagram of an example of the contents stored in the SSTA result table. In FIG. 9, the SSTA result table 900 has fields of path ID, M, S, Ap, and An. By setting information in each field, the SSTA results 900-1 to 900-L of the paths P1 to PL are stored as records.

パスIDとは、対象回路内のパスP1〜PLを識別する識別子である。Mは、パスPiの第一遅延分布の平均である。Sは、パスPiの第一遅延分布の標準偏差である。ApおよびAnは、パスPiの第二遅延分布の標準偏差である。ただし、Apは、第二遅延バラツキパラメータβが「β≧0」の場合の標準偏差であり、Anは第二遅延バラツキパラメータβが「β<0」の場合の標準偏差である。SSTA結果テーブル900は、たとえば、ROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。   The path ID is an identifier for identifying the paths P1 to PL in the target circuit. M is the average of the first delay distribution of the path Pi. S is the standard deviation of the first delay distribution of the path Pi. Ap and An are standard deviations of the second delay distribution of the path Pi. However, Ap is a standard deviation when the second delay variation parameter β is “β ≧ 0”, and An is a standard deviation when the second delay variation parameter β is “β <0”. The SSTA result table 900 is stored in a storage device such as the ROM 302, the RAM 303, the magnetic disk 305, and the optical disk 307, for example.

図4の説明に戻り、算出部403は、対象回路内のパスPiを直列回路としてモデル化した場合のパスPiの第一遅延分布の標準偏差S’(i)を算出する機能を有する。具体的には、たとえば、算出部403が、パスPi内のセルC(i,j)の第一遅延分布の標準偏差s(C(i,j))を用いて、パスPiの第一遅延分布の標準偏差S’(i)を算出する。なお、標準偏差s(C(i,j))は、パス内セルID『C(i,j)』に対応するセルC1〜CNの標準偏差である(j=1,2,…,n(i))。   Returning to the description of FIG. 4, the calculation unit 403 has a function of calculating the standard deviation S ′ (i) of the first delay distribution of the path Pi when the path Pi in the target circuit is modeled as a series circuit. Specifically, for example, the calculation unit 403 uses the standard deviation s (C (i, j)) of the first delay distribution of the cell C (i, j) in the path Pi to use the first delay of the path Pi. A standard deviation S ′ (i) of the distribution is calculated. The standard deviation s (C (i, j)) is the standard deviation of the cells C1 to CN corresponding to the intra-path cell ID “C (i, j)” (j = 1, 2,..., N ( i)).

より具体的には、たとえば、まず、算出部403が、パス内セルテーブル800を参照して、パスPiに含まれるセルC(i,1)〜C(i,n(i))を特定する。このあと、算出部403が、セル遅延バラツキテーブル500を参照して、セルC(i,1)〜C(i,n(i))の標準偏差s(C(i,1))〜s(C(i,n(i)))を特定する。   More specifically, for example, first, the calculation unit 403 refers to the intra-path cell table 800 and identifies the cells C (i, 1) to C (i, n (i)) included in the path Pi. . Thereafter, the calculation unit 403 refers to the cell delay variation table 500, and standard deviations s (C (i, 1)) to s (s) of the cells C (i, 1) to C (i, n (i)). C (i, n (i))) is specified.

そして、算出部403が、標準偏差s(C(i,1))〜s(C(i,n(i)))を下記式(9)に代入して、その平方根を求めることにより、パスPiの第一遅延分布の標準偏差S’(i)を算出する。なお、算出されたパスPiの第一遅延分布の標準偏差S’(i)は、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶される。   Then, the calculation unit 403 substitutes the standard deviations s (C (i, 1)) to s (C (i, n (i))) into the following equation (9), and obtains the square root, thereby obtaining a path. The standard deviation S ′ (i) of the first delay distribution of Pi is calculated. Note that the calculated standard deviation S ′ (i) of the first delay distribution of the path Pi is stored in a storage device such as the RAM 303, the magnetic disk 305, and the optical disk 307.

S(i)’=s(C(i,1))+s(C(i,2))+…+s(C(i,n(i))) ・・・(9) S (i) ′ 2 = s (C (i, 1)) 2 + s (C (i, 2)) 2 +... + S (C (i, n (i))) 2 (9)

補正部404は、算出されたパスPiの第一遅延分布の標準偏差S’(i)と、取得されたパスPiの第一遅延分布の標準偏差S(i)とを用いて、パスPi内のセルC(i,j)の第一遅延分布の標準偏差s(C(i,j))を補正する機能を有する。具体的には、たとえば、補正部404が、下記式(10)を用いて、セルC(i,j)の第一遅延分布の標準偏差s(C(i,j))を補正する。ただし、p(i,j)は補正後のセルC(i,j)の第一遅延分布の標準偏差である。   The correcting unit 404 uses the calculated standard deviation S ′ (i) of the first delay distribution of the path Pi and the acquired standard deviation S (i) of the first delay distribution of the path Pi to A function of correcting the standard deviation s (C (i, j)) of the first delay distribution of the cell C (i, j). Specifically, for example, the correction unit 404 corrects the standard deviation s (C (i, j)) of the first delay distribution of the cell C (i, j) using the following equation (10). Here, p (i, j) is the standard deviation of the first delay distribution of the corrected cell C (i, j).

p(i,j)=(S(i)/S’(i))×s(C(i,j)) ・・・(10)     p (i, j) = (S (i) / S ′ (i)) × s (C (i, j)) (10)

なお、補正された補正後のセルC(i,j)の第一遅延分布の標準偏差p(i,j)は、たとえば、図10に示す補正後バラツキテーブル1000に記憶される。   The corrected standard deviation p (i, j) of the first delay distribution of the corrected cell C (i, j) is stored in, for example, the corrected variation table 1000 shown in FIG.

図10は、補正後バラツキテーブルの記憶内容の一例を示す説明図である。図10において、補正後バラツキテーブル1000は、パスIDおよび第一遅延分布の標準偏差のフィールドを有する。各フィールドに情報を設定することで、各パスP1〜PLの補正後バラツキデータ1000−1〜1000−Lがレコードとして記憶されている。   FIG. 10 is an explanatory diagram showing an example of the stored contents of the corrected variation table. In FIG. 10, the post-correction variation table 1000 has fields for path ID and standard deviation of the first delay distribution. By setting information in each field, post-correction variation data 1000-1 to 1000-L of each path P1 to PL is stored as a record.

パスIDとは、対象回路内のパスP1〜PLを識別する識別子である。第一遅延分布の標準偏差とは、各パスPi内の補正後のセルC(i,j)の第一遅延分布の標準偏差p(i,j)である。補正後バラツキテーブル1000は、たとえば、ROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。   The path ID is an identifier for identifying the paths P1 to PL in the target circuit. The standard deviation of the first delay distribution is the standard deviation p (i, j) of the first delay distribution of the corrected cell C (i, j) in each path Pi. The corrected variation table 1000 is stored in a storage device such as the ROM 302, the RAM 303, the magnetic disk 305, and the optical disk 307, for example.

図4の説明に戻り、作成部405は、補正後のセルC(i,j)の第一遅延分布の標準偏差p(i,j)と、取得されたパスPiの第一遅延分布および第二遅延分布とを用いて、パスPiの遅延バラツキを表現する関数モデルを作成する機能を有する。具体的には、たとえば、作成部405が、下記式(11)を用いて、パスPiの遅延バラツキを表現する関数モデルを作成する。   Returning to the description of FIG. 4, the creation unit 405 generates the standard deviation p (i, j) of the first delay distribution of the corrected cell C (i, j), the first delay distribution and the first delay distribution of the acquired path Pi. It has a function of creating a function model that expresses the delay variation of the path Pi using the two delay distribution. Specifically, for example, the creation unit 405 creates a function model that expresses the delay variation of the path Pi using the following equation (11).

ただし、αはセルC(i,j)独立の第一遅延バラツキパラメータ、βは全セルC1〜CN共通の第二遅延バラツキパラメータである。また、M(i)はSSTAで計算されたパスPiの第一遅延分布の平均、Ap、AnはSSTAで計算されたパスPiの第二遅延分布の標準偏差である。 Here, α j is a first delay variation parameter independent of the cell C (i, j), and β is a second delay variation parameter common to all the cells C1 to CN. M (i) is an average of the first delay distribution of the path Pi calculated by SSTA, and Ap and An are standard deviations of the second delay distribution of the path Pi calculated by SSTA.

d(i)=M(i)+p(i,1)×α+p(i,2)×α+…+p(i,n(i))×αn(i)+f(β)
f(β)=Ap(1)×β (β≧0)、f(β)=An(1)×β (β<0)
・・・(11)
d (i) = M (i) + p (i, 1) × α 1 + p (i, 2) × α 2 +... + p (i, n (i)) × α n (i) + f (β)
f (β) = Ap (1) × β (β ≧ 0), f (β) = An (1) × β (β <0)
(11)

また、作成部405は、対象回路内の各セル独立のリーク電流バラツキと対象回路内の全セル共通のリーク電流バラツキとに基づいて、パスPiのリーク電流バラツキを表現する関数モデルを作成する機能を有する。具体的には、たとえば、作成部405が、下記式(12)を用いて、パスPiのリーク電流バラツキを表現する関数モデルを作成する。   The creation unit 405 also creates a function model that represents the leakage current variation of the path Pi based on the leakage current variation independent of each cell in the target circuit and the leakage current variation common to all cells in the target circuit. Have Specifically, for example, the creation unit 405 creates a function model that expresses the leakage current variation of the path Pi using the following equation (12).

ただし、α’はセルC(i,j)独立の第一リーク電流バラツキパラメータ、β’は全セルC1〜CN共通の第二リーク電流バラツキパラメータである。また、a(C(i,j))、b(C(i,j))およびc(C(i,j))は、リーク電流バラツキに関するセルC(i,j)固有の係数である。これら係数は、パス内セルテーブル800内のセルC(i,j)に対応するセルIDを用いて、セルリークバラツキテーブル600から特定される。 However, α ′ j is a first leakage current variation parameter independent of the cell C (i, j), and β ′ is a second leakage current variation parameter common to all the cells C1 to CN. Further, a (C (i, j)), b (C (i, j)), and c (C (i, j)) are coefficients specific to the cell C (i, j) regarding the leakage current variation. These coefficients are specified from the cell leak variation table 600 using the cell ID corresponding to the cell C (i, j) in the intra-path cell table 800.

l(i)=exp(a(C(i,1))+b(C(i,1))×α’+c(C(i,1))×β’)+exp(a(C(i,2))+b(C(i,2))×α’+c(C(i,2))×β’)+…+exp(a(C(i,n(i)))+b(C(i,n(i)))×α’n(i)+c(C(i,n(i)))×β’n(i)
・・・(12)
l (i) = exp (a (C (i, 1)) + b (C (i, 1)) × α ′ 1 + c (C (i, 1)) × β ′ 1 ) + exp (a (C (i , 2)) + b (C (i, 2)) × α ′ 2 + c (C (i, 2)) × β ′ 2 ) +... + Exp (a (C (i, n (i))) + b (C (I, n (i))) * [alpha] ' n (i) + c (C (i, n (i))) * [beta]' n (i) )
(12)

取得部402は、対象回路の遅延とリーク電流の相関分布を取得する機能を有する。具体的には、たとえば、取得部402が、対象回路に関する回路情報と、補正後バラツキデータ1000−1〜1000−Lと、作成された関数モデルとをシミュレータに与えて、モンテカルロシミュレーションを実行する。そして、取得部402が、シミュレーション結果として対象回路の遅延とリーク電流のリーク・遅延相関分布をシミュレータから取得する。   The acquisition unit 402 has a function of acquiring a correlation distribution between the delay of the target circuit and the leakage current. Specifically, for example, the acquisition unit 402 gives circuit information about the target circuit, corrected variation data 1000-1 to 1000-L, and the created function model to the simulator, and executes a Monte Carlo simulation. Then, the acquiring unit 402 acquires the delay of the target circuit and the leak / delay correlation distribution of the leak current as a simulation result from the simulator.

なお、対象回路の遅延とリーク電流の相関解析の具体的な処理内容については、図19−1および図19−2を用いて説明する。シミュレータは、解析支援装置300が備えていてもよく、また、外部のコンピュータ装置が備えていてもよい。なお、取得された相関分布は、たとえば、図11に示すリーク・遅延相関テーブル1100に記憶される。   The specific processing contents of the correlation analysis between the delay of the target circuit and the leakage current will be described with reference to FIGS. 19A and 19B. The simulator may be included in the analysis support apparatus 300, or may be included in an external computer apparatus. The acquired correlation distribution is stored in, for example, the leak / delay correlation table 1100 shown in FIG.

図11は、リーク・遅延相関テーブルの記憶内容の一例を示す説明図である。図11において、リーク・遅延相関テーブル1100は、相関ID、リーク電流および遅延のフィールドを有する。各フィールドに情報を設定することで、リーク・遅延相関データ1100−1〜1100−Kがレコードとして記憶されている。   FIG. 11 is an explanatory diagram of an example of the contents stored in the leak / delay correlation table. In FIG. 11, a leak / delay correlation table 1100 has fields of correlation ID, leak current, and delay. By setting information in each field, leak / delay correlation data 1100-1 to 1100-K are stored as records.

相関IDとは、k回目のモンテカルロシミュレーションによる解析結果を識別する識別子である(k=1,2,…,K)。なお、Kは、モンテカルロシミュレーションの反復回数である。リーク電流とは、対象回路のリーク電流を表す解析値(単位は、たとえば[mA])である。遅延とは、対象回路の遅延を表す解析値(単位は、たとえば[ps])である。リーク・遅延相関テーブル1100は、たとえば、ROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。   The correlation ID is an identifier for identifying an analysis result by the k-th Monte Carlo simulation (k = 1, 2,..., K). K is the number of iterations of Monte Carlo simulation. The leakage current is an analysis value (unit: [mA], for example) representing the leakage current of the target circuit. The delay is an analysis value (unit: [ps], for example) representing the delay of the target circuit. The leak / delay correlation table 1100 is stored in a storage device such as the ROM 302, the RAM 303, the magnetic disk 305, and the optical disk 307, for example.

出力部406は、取得された相関分布を出力する機能を有する。具体的には、たとえば、出力部406が、図11に示したリーク・遅延相関テーブル1100内のリーク・遅延相関データ1100−1〜1100−Kをグラフ化してディスプレイ308に表示することにしてもよい(図12参照)。   The output unit 406 has a function of outputting the acquired correlation distribution. Specifically, for example, the output unit 406 may graph the leak / delay correlation data 1100-1 to 1100-K in the leak / delay correlation table 1100 shown in FIG. Good (see FIG. 12).

なお、出力形式としては、たとえば、ディスプレイ308への表示、プリンタ313への印刷出力、I/F309による外部装置への送信がある。また、RAM303、磁気ディスク305、光ディスク307などの記憶領域に記憶することとしてもよい。   The output format includes, for example, display on the display 308, print output to the printer 313, and transmission to an external device via the I / F 309. Alternatively, the data may be stored in a storage area such as the RAM 303, the magnetic disk 305, and the optical disk 307.

図12は、リーク・遅延相関分布の具体例を示す説明図である。図12において、リーク・遅延相関分布1200は、対象回路の遅延とリーク電流の相関を表すグラフである。具体的には、リーク・遅延相関分布1200では、モンテカルロシミュレーションの反復回数分(K個)の点がプロットされている。このリーク・遅延相関分布1200によれば、たとえば、対象回路内で発生するリーク電流の変動にともなう対象回路の遅延の変動を判断することができる。   FIG. 12 is an explanatory diagram showing a specific example of the leak / delay correlation distribution. In FIG. 12, a leak / delay correlation distribution 1200 is a graph showing the correlation between the delay of the target circuit and the leak current. Specifically, in the leak / delay correlation distribution 1200, points corresponding to the number of iterations of Monte Carlo simulation (K) are plotted. According to this leak / delay correlation distribution 1200, for example, it is possible to determine the variation in delay of the target circuit due to the variation in the leak current generated in the target circuit.

図4の説明に戻り、算出部403は、取得された対象回路の遅延とリーク電流の相関を表す相関分布に基づいて、対象回路のリーク電流と周波数との相関を表す相関分布を算出する機能を有する。具体的には、たとえば、算出部403が、対象回路の遅延d(k)を下記式(13)に代入して、対象回路の周波数f(k)を算出することにより、リーク・周波数相関分布を算出する。   Returning to the description of FIG. 4, the calculation unit 403 calculates a correlation distribution that represents the correlation between the leak current and the frequency of the target circuit based on the acquired correlation distribution that represents the correlation between the delay of the target circuit and the leak current. Have Specifically, for example, the calculation unit 403 calculates the frequency f (k) of the target circuit by substituting the delay d (k) of the target circuit into the following equation (13), whereby the leak / frequency correlation distribution is calculated. Is calculated.

f(k)=1/d(k) ・・・(13)     f (k) = 1 / d (k) (13)

なお、算出されたリーク・周波数相関分布は、たとえば、図13に示すリーク・周波数相関テーブル1300に記憶される。   The calculated leak / frequency correlation distribution is stored in, for example, the leak / frequency correlation table 1300 shown in FIG.

図13は、リーク・周波数相関テーブルの記憶内容の一例を示す説明図である。図13において、リーク・周波数相関テーブル1300は、相関ID、リーク電流および周波数のフィールドを有する。各フィールドに情報を設定することで、リーク・周波数相関データ1300−1〜1300−Kがレコードとして記憶されている。   FIG. 13 is an explanatory diagram showing an example of the contents stored in the leak / frequency correlation table. In FIG. 13, a leak / frequency correlation table 1300 has fields of correlation ID, leak current, and frequency. By setting information in each field, leak / frequency correlation data 1300-1 to 1300-K are stored as records.

相関IDとは、k回目のモンテカルロシミュレーションによる解析結果を識別する識別子である。リーク電流とは、対象回路のリーク電流を表す解析値である。周波数とは、対象回路の周波数を表す算出値(単位は、たとえば[Hz])である。リーク・周波数相関テーブル1300は、たとえば、ROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。   The correlation ID is an identifier for identifying an analysis result by the k-th Monte Carlo simulation. The leak current is an analysis value representing the leak current of the target circuit. The frequency is a calculated value (unit: [Hz], for example) representing the frequency of the target circuit. The leak / frequency correlation table 1300 is stored in a storage device such as the ROM 302, the RAM 303, the magnetic disk 305, and the optical disk 307, for example.

出力部406は、算出された対象回路のリーク電流と周波数との相関を表す相関分布を出力する機能を有する。具体的には、たとえば、出力部406が、図13に示したリーク・周波数相関テーブル1300内のリーク・周波数相関データ1300−1〜1300−Kをグラフ化してディスプレイ308に表示することにしてもよい(図16参照)。   The output unit 406 has a function of outputting a correlation distribution representing the correlation between the calculated leakage current of the target circuit and the frequency. Specifically, for example, the output unit 406 may graph the leak / frequency correlation data 1300-1 to 1300-K in the leak / frequency correlation table 1300 shown in FIG. Good (see FIG. 16).

また、算出部403は、対象回路のリーク電流と周波数との相関を表す相関分布に基づいて、対象回路の周波数に関する歩留分布を算出する機能を有する。具体的には、たとえば、まず、算出部403が、リーク・周波数相関テーブル1300を参照して、対象回路の周波数f(1)〜f(K)を昇順にソートする。このあと、算出部403が、下記式(14)を用いて、対象回路の周波数に関する周波数歩留分布を算出することにしてもよい。ただし、Y(k)は、対象回路の周波数がf(k)以上となる歩留まりである。   The calculation unit 403 has a function of calculating a yield distribution related to the frequency of the target circuit based on a correlation distribution representing a correlation between the leakage current of the target circuit and the frequency. Specifically, for example, first, the calculation unit 403 refers to the leak / frequency correlation table 1300 to sort the frequencies f (1) to f (K) of the target circuit in ascending order. Thereafter, the calculation unit 403 may calculate a frequency yield distribution related to the frequency of the target circuit using the following formula (14). Y (k) is a yield at which the frequency of the target circuit is equal to or higher than f (k).

Y(k)=(K−k+1)/K ・・・(14)     Y (k) = (K−k + 1) / K (14)

また、算出部403は、リーク・周波数相関分布のうち、リーク電流が所定の閾値l未満となる対象回路の周波数に基づいて、対象回路の周波数に関する周波数歩留分布を算出することにしてもよい。具体的には、たとえば、まず、算出部403が、リーク・周波数相関テーブル1300の中から、リーク電流l(k)が閾値l以上となるリーク・周波数相関データを削除する。 Further, calculator 403, among the leak frequency correlation distribution, also make it a leakage current based on the frequency of the target circuit becomes less than the predetermined threshold l 0, it calculates the frequency yield distribution concerning frequency of the target circuit Good. Specifically, for example, first, the calculating unit 403, from the leak frequency correlation table 1300, delete the leak frequency correlation data leakage current l (k) is the threshold value l 0 or more.

なお、閾値lは、たとえば、対象回路の要求仕様などに基づいて任意に設定されてRAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。これにより、不具合なく製造されたとしても要求仕様を満たさないチップに関するリーク・周波数相関データを周波数歩留分布の算出対象から排除することができる。 Note that the threshold value 10 is arbitrarily set based on, for example, the required specifications of the target circuit and stored in a storage device such as the RAM 303, the magnetic disk 305, and the optical disk 307. As a result, leak / frequency correlation data relating to a chip that does not satisfy the required specifications even if manufactured without a defect can be excluded from the calculation target of the frequency yield distribution.

図14は、削除後のリーク・周波数相関テーブルの記憶内容の一例を示す説明図である。図14において、リーク・周波数相関テーブル1300には、リーク・周波数相関データ1400−1〜1400−K’が記憶されている。なお、K’は、削除後のリーク・周波数相関テーブル1300内のリーク・周波数相関データ数である。   FIG. 14 is an explanatory diagram of an example of the stored contents of the leak / frequency correlation table after deletion. In FIG. 14, the leak / frequency correlation table 1300 stores leak / frequency correlation data 1400-1 to 1400 -K ′. K ′ is the number of leak / frequency correlation data in the leak / frequency correlation table 1300 after deletion.

このあと、算出部403が、削除後のリーク・周波数相関テーブル1300を参照して、対象回路の周波数f(1)〜f(K’)を昇順にソートする。そして、算出部403が、下記式(15)を用いて、対象回路の周波数に関する周波数歩留分布を算出する。   Thereafter, the calculation unit 403 sorts the frequencies f (1) to f (K ′) of the target circuit in ascending order with reference to the leak / frequency correlation table 1300 after deletion. Then, the calculation unit 403 calculates a frequency yield distribution related to the frequency of the target circuit using the following equation (15).

Y(k)=(K’−k+1)/K’ ・・・(15)     Y (k) = (K′−k + 1) / K ′ (15)

なお、算出された対象回路の周波数歩留分布は、たとえば、図15に示す周波数歩留テーブル1500に記憶される。図15は、周波数歩留テーブルの記憶内容の一例を示す説明図である。図15において、周波数歩留テーブル1500は、周波数および歩留まりのフィールドを有する。各フィールドに情報を設定することで、対象回路の周波数に関する歩留データ1500−1〜1500−K’がレコードとして記憶されている。   Note that the calculated frequency yield distribution of the target circuit is stored in, for example, the frequency yield table 1500 shown in FIG. FIG. 15 is an explanatory diagram of an example of the contents stored in the frequency yield table. In FIG. 15, the frequency yield table 1500 has fields of frequency and yield. By setting information in each field, yield data 1500-1 to 1500-K ′ relating to the frequency of the target circuit is stored as a record.

周波数とは、対象回路の周波数f(k)である(k=1,2,…,K’)。歩留まりとは、対象回路の周波数がf(k)以上となる歩留まりである。周波数歩留テーブル1500は、たとえば、ROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。   The frequency is the frequency f (k) of the target circuit (k = 1, 2,..., K ′). The yield is a yield at which the frequency of the target circuit is f (k) or more. The frequency yield table 1500 is stored in a storage device such as the ROM 302, the RAM 303, the magnetic disk 305, and the optical disk 307, for example.

図4の説明に戻り、出力部406は、算出された対象回路の周波数に関する歩留分布を出力する機能を有する。具体的には、たとえば、出力部406が、図15に示した周波数歩留テーブル1500内の歩留データ1500−1〜1500−K’をグラフ化してディスプレイ308に表示することにしてもよい(図16参照)。   Returning to the description of FIG. 4, the output unit 406 has a function of outputting a yield distribution related to the calculated frequency of the target circuit. Specifically, for example, the output unit 406 may graph the yield data 1500-1 to 1500-K ′ in the frequency yield table 1500 shown in FIG. (See FIG. 16).

図16は、リーク・周波数相関分布および周波数歩留分布の具体例を示す説明図である。図16において、リーク・周波数相関分布1610は、対象回路の周波数とリーク電流の相関を表すグラフである。具体的には、リーク・周波数相関分布1610では、モンテカルロシミュレーションの反復回数分(K個)の点がプロットされている。   FIG. 16 is an explanatory diagram showing a specific example of the leak / frequency correlation distribution and the frequency yield distribution. In FIG. 16, a leak / frequency correlation distribution 1610 is a graph showing the correlation between the frequency of the target circuit and the leak current. Specifically, in the leak / frequency correlation distribution 1610, points corresponding to the number of iterations of Monte Carlo simulation (K) are plotted.

このリーク・周波数相関分布1610によれば、たとえば、対象回路内で発生するリーク電流の変動にともなう対象回路の周波数の変動を判断することができる。   According to the leak / frequency correlation distribution 1610, for example, it is possible to determine a change in the frequency of the target circuit due to a change in the leak current generated in the target circuit.

また、図16において、周波数歩留分布1620,1630は、対象回路の周波数に関する歩留まりを表すグラフである。具体的には、周波数歩留分布1620は、リーク・周波数相関データ1400−1〜1400−K’(図14参照)に基づく周波数歩留分布である。一方、周波数歩留分布1630は、リーク・周波数相関データ1300−1〜1300−K(図13参照)に基づく周波数歩留分布である。   In FIG. 16, frequency yield distributions 1620 and 1630 are graphs representing yields relating to the frequency of the target circuit. Specifically, the frequency yield distribution 1620 is a frequency yield distribution based on the leak / frequency correlation data 1400-1 to 1400-K ′ (see FIG. 14). On the other hand, the frequency yield distribution 1630 is a frequency yield distribution based on the leak / frequency correlation data 1300-1 to 1300-K (see FIG. 13).

この周波数歩留分布1620,1630によれば、たとえば、対象回路の周波数の変動にともなう対象回路の歩留まりの変動を判断することができる。また、周波数歩留分布1620によれば、不具合なく製造されたとしても要求仕様を満たさないチップに関するリーク・周波数相関データが算出対象から排除されているため、対象回路の周波数歩留分布をより正確に判断することができる。   According to the frequency yield distributions 1620 and 1630, for example, it is possible to determine the variation in the yield of the target circuit due to the variation in the frequency of the target circuit. Further, according to the frequency yield distribution 1620, leak / frequency correlation data regarding a chip that does not satisfy the required specifications even though it is manufactured without any problem is excluded from the calculation target, so that the frequency yield distribution of the target circuit can be more accurately determined. Can be judged.

(解析支援装置の解析支援処理手順)
つぎに、解析支援装置300の解析支援処理手順について説明する。図17は、解析支援装置の解析支援処理手順の一例を示すフローチャートである。図17のフローチャートにおいて、まず、入力部401により、対象回路に関する回路情報の入力を受け付けたか否かを判断する(ステップS1701)。
(Analysis support processing procedure of the analysis support device)
Next, the analysis support processing procedure of the analysis support apparatus 300 will be described. FIG. 17 is a flowchart illustrating an example of an analysis support processing procedure of the analysis support apparatus. In the flowchart of FIG. 17, first, the input unit 401 determines whether or not input of circuit information related to the target circuit has been received (step S1701).

ここで、回路情報の入力を待って(ステップS1701:No)、入力を受け付けた場合(ステップS1701:Yes)、取得部402により、対象回路のSSTAを実行することにより、対象回路内の各パスPiの第一遅延分布および第二遅延分布を取得する(ステップS1702)。   Here, after waiting for input of circuit information (step S1701: No) and receiving the input (step S1701: Yes), each path in the target circuit is obtained by executing SSTA of the target circuit by the acquisition unit 402. The first delay distribution and the second delay distribution of Pi are acquired (step S1702).

このあと、補正部404により、パスPi内のセルC(i,j)の第一遅延分布の標準偏差s(C(i,j))を補正するバラツキ補正処理を実行する(ステップS1703)。そして、取得部402により、対象回路の遅延とリーク電流の相関を表すリーク・遅延相関分布を取得するリーク・遅延相関分布取得処理を実行する(ステップS1704)。   Thereafter, the correction unit 404 executes a variation correction process for correcting the standard deviation s (C (i, j)) of the first delay distribution of the cell C (i, j) in the path Pi (step S1703). Then, the acquisition unit 402 executes a leak / delay correlation distribution acquisition process for acquiring a leak / delay correlation distribution representing the correlation between the delay of the target circuit and the leak current (step S1704).

つぎに、算出部403により、取得されたリーク・遅延相関分布に基づいて、対象回路のリーク・周波数相関分布を算出するリーク・周波数相関分布算出処理を実行する(ステップS1705)。そして、算出部403により、算出されたリーク・周波数相関分布に基づいて、対象回路の周波数歩留分布を算出する周波数歩留分布算出処理を実行する(ステップS1706)。   Next, the calculation unit 403 executes a leak / frequency correlation distribution calculation process for calculating the leak / frequency correlation distribution of the target circuit based on the acquired leak / delay correlation distribution (step S1705). Then, the calculation unit 403 executes frequency yield distribution calculation processing for calculating the frequency yield distribution of the target circuit based on the calculated leak / frequency correlation distribution (step S1706).

最後に、出力部406により、算出された対象回路の周波数歩留分布を出力して(ステップS1707)、本フローチャートによる一連の処理を終了する。これにより、対象回路の遅延とリーク電流の相関解析にかかる処理時間を短縮化するとともに、相関解析の解析精度を確保することができる。   Finally, the output unit 406 outputs the calculated frequency yield distribution of the target circuit (step S1707), and the series of processes according to this flowchart ends. As a result, the processing time required for the correlation analysis between the delay of the target circuit and the leakage current can be shortened, and the analysis accuracy of the correlation analysis can be ensured.

<バラツキ補正処理手順>
つぎに、図17に示したステップS1703のバラツキ補正処理の具体的処理手順について説明する。図18は、バラツキ補正処理の具体的処理手順の一例を示すフローチャートである。
<Dispersion correction processing procedure>
Next, a specific processing procedure of the variation correction processing in step S1703 shown in FIG. 17 will be described. FIG. 18 is a flowchart illustrating an example of a specific processing procedure of the variation correction processing.

図18のフローチャートにおいて、まず、算出部403により、パスPiの「i」を「i=1」とするとともに(ステップS1801)、パスPiの第一遅延分布の標準偏差S’(i)を「S’(i)=0」とする(ステップS1802)。   In the flowchart of FIG. 18, first, the calculation unit 403 sets “i” of the path Pi to “i = 1” (step S1801), and sets the standard deviation S ′ (i) of the first delay distribution of the path Pi to “ S ′ (i) = 0 ”is set (step S1802).

このあと、算出部403により、パスPi内のセルC(i,j)の「j」を「j=1」として(ステップS1803)、「S’(i)=S’(i)+s(C(i,j))」を算出する(ステップS1804)。そして、算出部403により、jをインクリメントして(ステップS1805)、「j」がパスPi内の総セル数「n(i)」より大きいか否かを判断する(ステップS1806)。 Thereafter, the calculation unit 403 sets “j = 1” in the cell C (i, j) in the path Pi to “j = 1” (step S1803), and “S ′ (i) = S ′ (i) + s (C (I, j)) 2 ”is calculated (step S1804). Then, the calculation unit 403 increments j (step S1805), and determines whether “j” is larger than the total number of cells “n (i)” in the path Pi (step S1806).

ここで、「j≦n(i)」の場合(ステップS1806:No)、ステップS1804に戻る。一方、「j>n(i)」の場合(ステップS1806:Yes)、算出部403により、「S’(i)=sqrt(S’(i))」を算出する(ステップS1807)。   If “j ≦ n (i)” (step S1806: NO), the process returns to step S1804. On the other hand, if “j> n (i)” (step S1806: Yes), the calculation unit 403 calculates “S ′ (i) = sqrt (S ′ (i))” (step S1807).

つぎに、算出部403により、セルC(i,j)の「j」を「j=1」として(ステップS1808)、「p(i,j)=(S(i)/S’(i))×s(C(i,j))」を算出する(ステップS1809)。そして、算出部403により、jをインクリメントして(ステップS1810)、「j」が「n(i)」より大きいか否かを判断する(ステップS1811)。   Next, the calculation unit 403 sets “j” of the cell C (i, j) to “j = 1” (step S1808), and “p (i, j) = (S (i) / S ′ (i)”. ) × s (C (i, j)) ”is calculated (step S1809). Then, the calculation unit 403 increments j (step S1810), and determines whether “j” is greater than “n (i)” (step S1811).

ここで、「j≦n(i)」の場合(ステップS1811:No)、ステップS1809に戻る。一方、「j>n(i)」の場合(ステップS1811:Yes)、算出部403により、「i」をインクリメントして(ステップS1812)、「i」が対象回路内の総パス数「L」より大きいか否かを判断する(ステップS1813)。   If “j ≦ n (i)” (step S1811: NO), the process returns to step S1809. On the other hand, when “j> n (i)” (step S1811: Yes), the calculation unit 403 increments “i” (step S1812), and “i” is the total number of paths “L” in the target circuit. It is determined whether it is larger (step S1813).

ここで、「i≦L」の場合(ステップS1813:No)、ステップS1802に戻る。一方、「i>L」の場合(ステップS1813:Yes)、図17に示したステップS1704に移行する。これにより、直列回路としてモデル化したパスPiの第一遅延バラツキを、セルC(i,j)の独立性を考慮して補正することができる。   Here, if “i ≦ L” (step S1813: NO), the process returns to step S1802. On the other hand, if “i> L” (step S1813: Yes), the process proceeds to step S1704 shown in FIG. Thereby, the first delay variation of the path Pi modeled as a series circuit can be corrected in consideration of the independence of the cell C (i, j).

<リーク・遅延相関分布取得処理手順>
つぎに、図17に示したステップS1704のリーク・遅延相関分布取得処理の具体的処理手順について説明する。図19−1および図19−2は、リーク・遅延相関分布取得処理の具体的処理手順の一例を示すフローチャートである。ここでは、解析支援装置300が備えるシミュレータによりリーク・遅延相関分布を算出する場合について説明する。
<Leak / delay correlation distribution acquisition procedure>
Next, a specific processing procedure of the leak / delay correlation distribution acquisition processing in step S1704 shown in FIG. 17 will be described. 19A and 19B are flowcharts illustrating an example of a specific processing procedure of the leak / delay correlation distribution acquisition processing. Here, a case where the leak / delay correlation distribution is calculated by a simulator provided in the analysis support apparatus 300 will be described.

図19−1のフローチャートにおいて、まず、取得部402により、モンテカルロシミュレーションの反復回数Kを設定する(ステップS1901)。なお、反復回数Kは、予め設定されてROM302、RAM303、磁気ディスク305、光ディスク307などの記憶装置に記憶されている。   In the flowchart of FIG. 19A, first, the acquisition unit 402 sets the number K of iterations of Monte Carlo simulation (step S1901). The number of repetitions K is set in advance and stored in a storage device such as the ROM 302, the RAM 303, the magnetic disk 305, and the optical disk 307.

シミュレータにより、反復回数「k」を「k=1」とする(ステップS1902)。そして、シミュレータにより、相関ρの標準正規乱数β、β’を生成する(ステップS1903)。なお、ρは相関係数テーブル700内の第二バラツキ相関係数であり、β、β’は第二遅延/リーク電流バラツキパラメータである。   The number of iterations “k” is set to “k = 1” by the simulator (step S1902). Then, standard normal random numbers β and β ′ of the correlation ρ are generated by the simulator (step S1903). Note that ρ is a second variation correlation coefficient in the correlation coefficient table 700, and β and β ′ are second delay / leakage current variation parameters.

そして、シミュレータにより、パスPiの「i」を「i=1」とする(ステップS1904)。つぎに、シミュレータにより、パスPiの遅延に関する変数「delay(i)」を「delay(i)=0」とするとともに(ステップS1905)、パスPiのリーク電流に関する変数「leak(i)を「leak(i)=0」とする(ステップS1906)。   Then, “i” of the path Pi is set to “i = 1” by the simulator (step S1904). Next, the variable “delay (i)” regarding the delay of the path Pi is set to “delay (i) = 0” by the simulator (step S1905), and the variable “leak (i) regarding the leakage current of the path Pi is set to“ leak ”. (I) = 0 ”(step S1906).

このあと、シミュレータにより、相関ρ(j)の標準正規乱数α、α’を生成する(ステップS1907)。なお、ρ(j)は相関係数テーブル700内のセルC(i,j)に対応するセルC1〜CNの第一バラツキ相関係数であり、α、α’は第一遅延/リーク電流バラツキパラメータである。   Thereafter, standard normal random numbers α and α ′ of the correlation ρ (j) are generated by the simulator (step S1907). Note that ρ (j) is the first variation correlation coefficient of the cells C1 to CN corresponding to the cell C (i, j) in the correlation coefficient table 700, and α and α ′ are the first delay / leakage current variation. It is a parameter.

つぎに、シミュレータにより、「delay(i)=delay(i)+p(i,j)×α」を算出する(ステップS1908)。なお、p(i,j)は、たとえば、補正後バラツキテーブル1000から特定される。   Next, “delay (i) = delay (i) + p (i, j) × α” is calculated by the simulator (step S1908). Note that p (i, j) is specified from the corrected variation table 1000, for example.

また、シミュレータにより、「leak(i)=leak(i)+exp(a(C(i,j))+b(C(i,j))×α’+c(C(i,j))×β’)」を算出する(ステップS1909)。なお、a(C(i,j))、b(C(i,j))およびc(C(i,j))は、たとえば、セルリークバラツキテーブル600から特定される。   In addition, by the simulator, “leak (i) = leak (i) + exp (a (C (i, j)) + b (C (i, j)) × α ′ + c (C (i, j))) × β ′ ) "Is calculated (step S1909). Note that a (C (i, j)), b (C (i, j)), and c (C (i, j)) are specified from the cell leak variation table 600, for example.

そして、シミュレータにより、「delay(i)=delay(i)+M(i)+f(β,i)」を算出する(ステップS1910)。なお、f(β,i)は、パスPiの第二遅延分布である(ただし、β≧0の場合f(β)=Ap(1)×β、β<0の場合f(β)=An(1)×β)。また、M(i)、ApおよびAnは、たとえば、SSTA結果テーブル900から特定される。   Then, “delay (i) = delay (i) + M (i) + f (β, i)” is calculated by the simulator (step S1910). Note that f (β, i) is the second delay distribution of the path Pi (provided that f (β) = Ap (1) × β when β ≧ 0, and f (β) = An when β <0. (1) × β). Further, M (i), Ap, and An are specified from the SSTA result table 900, for example.

このあと、シミュレータにより、「i」をインクリメントして(ステップS1911)、「i」が「L」より大きいか否かを判断する(ステップS1912)。ここで、「i≦L」の場合(ステップS1912:No)、ステップS1905に戻る。一方、「i>L」の場合(ステップS1912:Yes)、図19−2に示すステップS1913に移行する。   Thereafter, the simulator increments “i” (step S1911), and determines whether “i” is greater than “L” (step S1912). Here, if “i ≦ L” (step S1912: No), the process returns to step S1905. On the other hand, if “i> L” (step S1912: YES), the process proceeds to step S1913 shown in FIG.

図19−2のフローチャートにおいて、まず、シミュレータにより、「d(k)=max(delay(1),delay(2),…,delay(L))」を算出する(ステップS1913)。そして、取得部402により、算出されたd(k)をリーク・遅延相関テーブル1100に記憶する(ステップS1914)。   19-2, first, “d (k) = max (delay (1), delay (2),..., Delay (L))” is calculated by the simulator (step S1913). The obtaining unit 402 stores the calculated d (k) in the leak / delay correlation table 1100 (step S1914).

つぎに、シミュレータにより、「l(k)=leak(1)+leak(2)+…+leak(L)」を算出する(ステップS1915)。そして、取得部402により、算出されたl(k)をリーク・遅延相関テーブル1100に記憶する(ステップS1916)。   Next, “1 (k) = leak (1) + leak (2) +... + Leak (L)” is calculated by the simulator (step S1915). The obtaining unit 402 stores the calculated l (k) in the leak / delay correlation table 1100 (step S1916).

このあと、シミュレータにより、「k」をインクリメントして(ステップS1917)、「k」が「K」より大きいか否かを判断する(ステップS1918)。ここで、「k≦K」の場合(ステップS1918:No)、図19−1に示したステップS1903に戻る。一方、「k>K」の場合(ステップS1918:Yes)、図17に示したステップS1705に移行する。   Thereafter, the simulator increments “k” (step S1917), and determines whether “k” is greater than “K” (step S1918). If “k ≦ K” is satisfied (step S1918: NO), the process returns to step S1903 shown in FIG. On the other hand, if “k> K” (step S1918: Yes), the process proceeds to step S1705 shown in FIG.

これにより、対象回路の遅延とリーク電流の相関を表すリーク・遅延相関分布を取得することができる。また、遅延とリーク電流の相関解析が、数式ベースのモンテカルロシミュレーションとなるため、計算を高速化して、相関解析にかかる処理時間を短縮することができる。   As a result, a leak / delay correlation distribution representing the correlation between the delay of the target circuit and the leak current can be acquired. In addition, since the correlation analysis between the delay and the leakage current is a mathematical formula-based Monte Carlo simulation, the calculation speed can be increased and the processing time required for the correlation analysis can be shortened.

<リーク・周波数相関分布算出処理手順>
つぎに、図17に示したステップS1705のリーク・周波数相関分布算出処理の具体的処理手順について説明する。図20は、リーク・周波数相関分布算出処理の具体的処理手順の一例を示すフローチャートである。
<Leakage / frequency correlation distribution calculation procedure>
Next, a specific processing procedure of the leak / frequency correlation distribution calculation processing in step S1705 shown in FIG. 17 will be described. FIG. 20 is a flowchart illustrating an example of a specific processing procedure of the leak / frequency correlation distribution calculation processing.

図20のフローチャートにおいて、まず、算出部403により、相関IDを表す「k」を「k=1」として(ステップS2001)、リーク・遅延相関テーブル1100の中からd(k)を抽出する(ステップS2002)。このあと、算出部403により、「f(k)=1/d(k)」を算出して(ステップS2003)、f(k)をリーク・周波数相関テーブル1300に記憶する(ステップS2004)。   In the flowchart of FIG. 20, first, the calculation unit 403 sets “k” representing the correlation ID to “k = 1” (step S2001), and extracts d (k) from the leak / delay correlation table 1100 (step S2001). S2002). Thereafter, the calculation unit 403 calculates “f (k) = 1 / d (k)” (step S2003), and stores f (k) in the leak / frequency correlation table 1300 (step S2004).

そして、算出部403により、「k」をインクリメントして(ステップS2005)、「k」が「K」より大きいか否かを判断する(ステップS2006)。ここで、「k≦K」の場合(ステップS2006:No)、ステップS2002に戻る。一方、「k>K」の場合(ステップS2006:Yes)、図17に示したステップS1706に移行する。これにより、対象回路の周波数とリーク電流の相関を表すリーク・周波数相関分布を算出することができる。   Then, the calculation unit 403 increments “k” (step S2005), and determines whether “k” is greater than “K” (step S2006). If “k ≦ K” is satisfied (step S2006: No), the process returns to step S2002. On the other hand, if “k> K” (step S2006: Yes), the process proceeds to step S1706 shown in FIG. As a result, a leak / frequency correlation distribution representing the correlation between the frequency of the target circuit and the leak current can be calculated.

<周波数歩留分布算出処理手順>
つぎに、図17に示したステップS1706の周波数歩留分布算出処理の具体的処理手順について説明する。図21は、周波数歩留分布算出処理の具体的処理手順の一例を示すフローチャートである。
<Frequency yield distribution calculation processing procedure>
Next, a specific processing procedure of the frequency yield distribution calculation process in step S1706 shown in FIG. 17 will be described. FIG. 21 is a flowchart illustrating an example of a specific processing procedure of frequency yield distribution calculation processing.

図21のフローチャートにおいて、まず、算出部403により、リーク・周波数相関テーブル1300の中から、リーク電流l(k)が閾値l以上となるリーク・周波数相関データを削除する(ステップS2101)。 In the flowchart of FIG. 21, first, the calculating unit 403, from the leak frequency correlation table 1300, delete the leak frequency correlation data leakage current l (k) is the threshold value l 0 or more (step S2101).

このあと、算出部403により、削除後のリーク・周波数相関テーブル1300を参照して、対象回路の周波数f(1)〜f(K’)を昇順にソートする(ステップS2102)。そして、算出部403により、相関ID「k」を「k=1」として(ステップS2103)。「Y(k)=(K’−k+1)/K’」を算出する(ステップS2104)。   Thereafter, the calculation unit 403 sorts the frequencies f (1) to f (K ′) of the target circuit in ascending order with reference to the deleted leak / frequency correlation table 1300 (step S2102). Then, the calculation unit 403 sets the correlation ID “k” to “k = 1” (step S2103). “Y (k) = (K′−k + 1) / K ′” is calculated (step S2104).

つぎに、算出部403により、算出されたY(k)を周波数歩留テーブル1500に記憶する(ステップS2105)。そして、算出部403により、「k」をインクリメントして(ステップS2106)、「k」が「K’」より大きいか否かを判断する(ステップS2107)。   Next, the calculation unit 403 stores the calculated Y (k) in the frequency yield table 1500 (step S2105). Then, the calculation unit 403 increments “k” (step S2106), and determines whether “k” is greater than “K ′” (step S2107).

ここで、「k≦K」の場合(ステップS2107:No)、ステップS2104に戻る。一方、「k>K」の場合(ステップS2107:Yes)、図17に示したステップS1707に移行する。これにより、対象回路の周波数に関する歩留分布を算出することができる。   If “k ≦ K” (step S2107: NO), the process returns to step S2104. On the other hand, if “k> K” (step S2107: YES), the process proceeds to step S1707 shown in FIG. Thereby, the yield distribution relating to the frequency of the target circuit can be calculated.

以上説明したように、本実施の形態によれば、対象回路内のパスを直列回路としてモデル化するとともに、モデル化されたパスPiの第一遅延分布を、SSTAで計算されたパスPiの第一遅延分布を用いて補正することができる。これにより、対象回路の遅延とリーク電流の相関解析にかかる処理時間を短縮するとともに、解析精度を確保することができる。   As described above, according to the present embodiment, the path in the target circuit is modeled as a series circuit, and the first delay distribution of the modeled path Pi is the first delay distribution of the path Pi calculated by SSTA. Correction can be made using one delay distribution. As a result, the processing time required for the correlation analysis between the delay of the target circuit and the leakage current can be shortened, and the analysis accuracy can be ensured.

また、本実施の形態によれば、対象回路の遅延とリーク電流の相関を表すリーク・遅延相関分布に基づいて、対象回路の周波数とリーク電流の相関を表すリーク・周波数相関分布を算出することができる。これにより、対象回路内で発生するリーク電流の変動にともなう対象回路の遅延の変動を判断することができる。   Further, according to the present embodiment, a leak / frequency correlation distribution that represents the correlation between the frequency of the target circuit and the leak current is calculated based on the leak / delay correlation distribution that represents the correlation between the delay of the target circuit and the leak current. Can do. As a result, it is possible to determine a variation in delay of the target circuit due to a variation in leak current generated in the target circuit.

また、本実施の形態によれば、対象回路のリーク電流と周波数との相関を表すリーク・周波数相関分布に基づいて、対象回路の周波数に関する歩留分布を算出することができる。これにより、対象回路内で発生するリーク電流の変動にともなう対象回路の周波数の変動を判断することができる。   Further, according to the present embodiment, it is possible to calculate a yield distribution related to the frequency of the target circuit based on the leak / frequency correlation distribution that represents the correlation between the leak current and the frequency of the target circuit. As a result, it is possible to determine a change in the frequency of the target circuit due to a change in the leakage current generated in the target circuit.

また、本実施の形態によれば、リーク・周波数相関分布のうち、対象回路のリーク電流が閾値未満lとなる対象回路の周波数に基づいて、対象回路の周波数歩留分布を算出することができる。これにより、不具合なく製造されたとしても要求仕様を満たさないチップに関するデータを排除して、対象回路の周波数歩留分布をより正確に算出することができる。 Further, according to the embodiment, in the leakage-frequency correlation distribution, based on the frequency of the target circuit leakage current of the target circuit is a threshold less than l 0, it is possible to calculate the frequency yield distribution of the circuit it can. As a result, even if the chip is manufactured without any defects, data regarding chips that do not satisfy the required specifications can be excluded, and the frequency yield distribution of the target circuit can be calculated more accurately.

なお、本実施の形態で説明した解析支援方法は、予め用意されたプログラムをパーソナル・コンピュータやワークステーション等のコンピュータで実行することにより実現することができる。本解析支援プログラムは、ハードディスク、フレキシブルディスク、CD−ROM、MO、DVD等のコンピュータで読み取り可能な記録媒体に記録され、コンピュータによって記録媒体から読み出されることによって実行される。また本解析支援プログラムは、インターネット等のネットワークを介して配布してもよい。   The analysis support method described in this embodiment can be realized by executing a program prepared in advance on a computer such as a personal computer or a workstation. The analysis support program is recorded on a computer-readable recording medium such as a hard disk, a flexible disk, a CD-ROM, an MO, and a DVD, and is executed by being read from the recording medium by the computer. The analysis support program may be distributed through a network such as the Internet.

また、本実施の形態で説明した解析支援装置300は、スタンダードセルやストラクチャードASIC(Application Specific Integrated Circuit)などの特定用途向けIC(以下、単に「ASIC」と称す。)やFPGAなどのPLD(Programmable Logic Device)によっても実現することができる。具体的には、たとえば、上述した解析支援装置300の機能(入力部401〜出力部406)をHDL記述によって機能定義し、そのHDL記述を論理合成してASICやPLDに与えることにより、解析支援装置300を製造することができる。   In addition, the analysis support apparatus 300 described in the present embodiment includes an IC for a specific application such as a standard cell or a structured specific integrated circuit (ASIC) (hereinafter simply referred to as “ASIC”) or a PLD (Programmable) such as an FPGA. It can also be realized by Logic Device). Specifically, for example, the function (input unit 401 to output unit 406) of the analysis support apparatus 300 described above is defined by HDL description, and the HDL description is logically synthesized and given to the ASIC or PLD to support analysis. The apparatus 300 can be manufactured.

300 解析支援装置
401 入力部
402 取得部
403 算出部
404 補正部
405 作成部
406 出力部
500 セル遅延バラツキテーブル
600 セルリークバラツキテーブル
700 相関係数テーブル
800 パス内セルテーブル
900 SSTA結果テーブル
1000 補正後バラツキテーブル
1100 リーク・遅延相関テーブル
1300 リーク・周波数相関テーブル
1500 周波数歩留テーブル
300 Analysis Support Device 401 Input Unit 402 Acquisition Unit 403 Calculation Unit 404 Correction Unit 405 Creation Unit 406 Output Unit 500 Cell Delay Variation Table 600 Cell Leakage Variation Table 700 Correlation Coefficient Table 800 Cell Cell in Path 900 SSTA Result Table 1000 Variation after Correction Table 1100 Leak / delay correlation table 1300 Leak / frequency correlation table 1500 Frequency yield table

Claims (7)

コンピュータに、
対象回路内の並列な複数のパスのいずれかのパスに含まれる各素子で独立の遅延バラツキに基づく前記各素子の第一遅延分布の標準偏差を用いて、前記いずれかのパスを直列回路としてモデル化した場合の前記各素子で独立の遅延バラツキに基づく前記いずれかのパスの第一遅延分布の標準偏差を算出する算出手順と、
前記算出手順によって算出された前記いずれかのパスの第一遅延分布の標準偏差と、前記対象回路の統計的遅延解析から得られる前記いずれかのパスの第一遅延分布の標準偏差とを用いて、前記各素子の第一遅延分布の標準偏差を補正する補正手順と、
前記補正手順によって補正された補正後の前記各素子の第一遅延分布の標準偏差を用いて前記対象回路の遅延とリーク電流の相関解析を実行することにより、前記対象回路の遅延とリーク電流の相関を表す相関分布を取得する取得手順と、
前記取得手順によって取得された相関分布を出力する出力手順と、
を実行させることを特徴とする解析支援プログラム。
On the computer,
Using any one of the plurality of parallel paths in the target circuit as a series circuit using the standard deviation of the first delay distribution of each element based on the independent delay variation in each element included in the path A calculation procedure for calculating a standard deviation of the first delay distribution of any one of the paths based on an independent delay variation in each element when modeled;
Using the standard deviation of the first delay distribution of any of the paths calculated by the calculation procedure, and the standard deviation of the first delay distribution of any of the paths obtained from the statistical delay analysis of the target circuit A correction procedure for correcting the standard deviation of the first delay distribution of each element;
By performing a correlation analysis of the delay of the target circuit and the leakage current using the standard deviation of the first delay distribution of each element after correction corrected by the correction procedure, the delay of the target circuit and the leakage current An acquisition procedure for acquiring a correlation distribution representing the correlation;
An output procedure for outputting the correlation distribution acquired by the acquisition procedure;
An analysis support program characterized by causing
前記コンピュータに、
前記補正後の前記各素子の第一遅延分布の標準偏差と、前記対象回路の統計的遅延解析から得られる前記対象回路内の全素子で共通の遅延バラツキに基づく前記いずれかのパスの第二遅延分布の標準偏差とを用いて、前記いずれかのパスの遅延バラツキを表現する関数モデルを作成する第1の作成手順と、
前記各素子で独立のリーク電流バラツキと前記全素子で共通のリーク電流バラツキとに基づいて、前記いずれかのパスのリーク電流バラツキを表現する関数モデルを作成する第2の作成手順と、を実行させ、
前記取得手順は、
前記第1および第2の作成手順によって作成された関数モデルと、前記各素子の遅延バラツキと前記各素子のリーク電流バラツキとの相関を表す相関係数とを用いて、前記相関解析を実行することにより、前記相関分布を取得することを特徴とする請求項1に記載の解析支援プログラム。
In the computer,
The second deviation of any one of the paths based on the standard deviation of the first delay distribution of each element after the correction and the delay variation common to all elements in the target circuit obtained from the statistical delay analysis of the target circuit. Using a standard deviation of a delay distribution, a first creation procedure for creating a function model that expresses delay variation of any of the paths;
A second creation procedure for creating a function model expressing the leakage current variation of any of the paths based on the leakage current variation independent of each element and the leakage current variation common to all the elements; Let
The acquisition procedure is as follows:
The correlation analysis is executed using the function model created by the first and second creation procedures and a correlation coefficient representing the correlation between the delay variation of each element and the leakage current variation of each element. The analysis support program according to claim 1, wherein the correlation distribution is acquired.
前記コンピュータに
前記取得手順によって取得された前記対象回路の遅延とリーク電流の相関を表す相関分布に基づいて、前記対象回路のリーク電流と周波数との相関を表す相関分布を算出する第2算出手順を実行させ
前記出力手順は、
前記第2算出手順によって算出された前記対象回路のリーク電流と周波数との相関を表す相関分布を出力することを特徴とする請求項1または2に記載の解析支援プログラム。
In the computer ,
Based on the correlation distribution representing the correlation between the delay of the target circuit and the leak current acquired by the acquisition procedure, the second calculation procedure for calculating the correlation distribution representing the correlation between the leak current and the frequency of the target circuit is executed. ,
The output procedure is as follows:
The analysis support program according to claim 1 or 2, wherein a correlation distribution representing a correlation between a leakage current and a frequency of the target circuit calculated by the second calculation procedure is output.
前記コンピュータに
前記第2算出手順によって算出された前記対象回路のリーク電流と周波数との相関を表す相関分布に基づいて、前記対象回路の周波数に関する歩留分布を算出する第3算出手順を実行させ
前記出力手順は、
前記第3算出手順によって算出された前記対象回路の周波数に関する歩留分布を出力することを特徴とする請求項3に記載の解析支援プログラム。
In the computer ,
Based on the correlation distribution representing the correlation between the leakage current and the frequency of the target circuit calculated by the second calculation procedure, to execute the third calculation step of calculating the yield distribution concerning frequency of the target circuit,
The output procedure is as follows:
4. The analysis support program according to claim 3, wherein a yield distribution relating to the frequency of the target circuit calculated by the third calculation procedure is output.
前記第3算出手順は、
前記対象回路のリーク電流と周波数との相関を表す相関分布のうち、前記対象回路のリーク電流が所定の閾値未満となる前記対象回路の周波数に基づいて、前記対象回路の周波数に関する歩留分布を算出することを特徴とする請求項4に記載の解析支援プログラム。
The third calculation procedure includes:
Of the correlation distribution representing the correlation between the leak current and the frequency of the target circuit, the yield distribution related to the frequency of the target circuit is calculated based on the frequency of the target circuit at which the leak current of the target circuit is less than a predetermined threshold. 5. The analysis support program according to claim 4, wherein the analysis support program is calculated.
対象回路内の並列な複数のパスのいずれかのパスに含まれる各素子で独立の遅延バラツキに基づく前記各素子の第一遅延分布の標準偏差を用いて、前記いずれかのパスを直列回路としてモデル化した場合の前記各素子で独立の遅延バラツキに基づく前記いずれかのパスの第一遅延分布の標準偏差を算出する算出手段と、
前記算出手段によって算出された前記いずれかのパスの第一遅延分布の標準偏差と、前記対象回路の統計的遅延解析から得られる前記いずれかのパスの第一遅延分布の標準偏差とを用いて、前記各素子の第一遅延分布の標準偏差を補正する補正手段と、
前記補正手段によって補正された補正後の前記各素子の第一遅延分布の標準偏差を用いて前記対象回路の遅延とリーク電流の相関解析を実行することにより、前記対象回路の遅延とリーク電流の相関を表す相関分布を取得する取得手段と、
前記取得手段によって取得された相関分布を出力する出力手段と、
を備えることを特徴とする解析支援装置。
Using any one of the plurality of parallel paths in the target circuit as a series circuit using the standard deviation of the first delay distribution of each element based on the independent delay variation in each element included in the path Calculating means for calculating a standard deviation of the first delay distribution of any one of the paths based on an independent delay variation in each element when modeled;
Using the standard deviation of the first delay distribution of any of the paths calculated by the calculating means and the standard deviation of the first delay distribution of any of the paths obtained from the statistical delay analysis of the target circuit Correcting means for correcting the standard deviation of the first delay distribution of each element;
By performing a correlation analysis between the delay of the target circuit and the leak current using the standard deviation of the first delay distribution of each element after correction corrected by the correction means, the delay of the target circuit and the leak current An acquisition means for acquiring a correlation distribution representing the correlation;
Output means for outputting the correlation distribution acquired by the acquisition means;
An analysis support apparatus comprising:
コンピュータが、
対象回路内の並列な複数のパスのいずれかのパスに含まれる各素子で独立の遅延バラツキに基づく前記各素子の第一遅延分布の標準偏差を用いて、前記いずれかのパスを直列回路としてモデル化した場合の前記各素子で独立の遅延バラツキに基づく前記いずれかのパスの第一遅延分布の標準偏差を算出する算出工程と、
前記算出工程によって算出された前記いずれかのパスの第一遅延分布の標準偏差と、前記対象回路の統計的遅延解析から得られる前記いずれかのパスの第一遅延分布の標準偏差とを用いて、前記各素子の第一遅延分布の標準偏差を補正する補正工程と、
前記補正工程によって補正された補正後の前記各素子の第一遅延分布の標準偏差を用いて前記対象回路の遅延とリーク電流の相関解析を実行することにより、前記対象回路の遅延とリーク電流の相関を表す相関分布を取得する取得工程と、
前記取得工程によって取得された相関分布を出力する出力工程と、
を実行することを特徴とする解析支援方法。
Computer
Using any one of the plurality of parallel paths in the target circuit as a series circuit using the standard deviation of the first delay distribution of each element based on the independent delay variation in each element included in the path A calculation step of calculating a standard deviation of the first delay distribution of any one of the paths based on an independent delay variation in each element when modeled;
Using the standard deviation of the first delay distribution of any path calculated by the calculating step and the standard deviation of the first delay distribution of any path obtained from the statistical delay analysis of the target circuit A correction step of correcting the standard deviation of the first delay distribution of each element;
By performing a correlation analysis between the delay of the target circuit and the leakage current using the standard deviation of the first delay distribution of each element after correction corrected by the correction step, the delay of the target circuit and the leakage current An acquisition step of acquiring a correlation distribution representing the correlation;
An output step of outputting the correlation distribution acquired by the acquisition step;
The analysis support method characterized by performing this.
JP2009268121A 2009-11-25 2009-11-25 Analysis support program, analysis support apparatus, and analysis support method Expired - Fee Related JP5445066B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009268121A JP5445066B2 (en) 2009-11-25 2009-11-25 Analysis support program, analysis support apparatus, and analysis support method
US12/913,425 US8380480B2 (en) 2009-11-25 2010-10-27 Computer product, analysis support apparatus, and analysis support method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009268121A JP5445066B2 (en) 2009-11-25 2009-11-25 Analysis support program, analysis support apparatus, and analysis support method

Publications (2)

Publication Number Publication Date
JP2011113228A JP2011113228A (en) 2011-06-09
JP5445066B2 true JP5445066B2 (en) 2014-03-19

Family

ID=44062723

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009268121A Expired - Fee Related JP5445066B2 (en) 2009-11-25 2009-11-25 Analysis support program, analysis support apparatus, and analysis support method

Country Status (2)

Country Link
US (1) US8380480B2 (en)
JP (1) JP5445066B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5104814B2 (en) * 2009-05-18 2012-12-19 富士通株式会社 Design support program, design support apparatus, and design support method
JP5664274B2 (en) * 2011-01-24 2015-02-04 富士通株式会社 Analysis support program, analysis support apparatus, and analysis support method
US8555220B2 (en) 2012-02-29 2013-10-08 Umm Al-Qura University Timing verification method for deterministic and stochastic networks and circuits
US9454454B2 (en) * 2014-09-09 2016-09-27 Microsoft Technology Licensing, Llc Memory leak analysis by usage trends correlation

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003060776A1 (en) * 2002-01-11 2003-07-24 Fujitsu Limited Method for calculating delay time of semiconductor integrated circuit and delay time calculating system
JP2005092278A (en) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd Performance simulation method for integrated circuit device
JP2005352787A (en) * 2004-06-10 2005-12-22 Matsushita Electric Ind Co Ltd Method and apparatus for timing analysis
US7441211B1 (en) * 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US7890904B2 (en) * 2005-06-06 2011-02-15 Fujitsu Limited Estimating jitter in a clock tree of a circuit and synthesizing a jitter-aware and skew-aware clock tree
JP4774294B2 (en) * 2005-12-26 2011-09-14 富士通株式会社 Integrated circuit layout apparatus, method and program
US7650580B2 (en) * 2006-01-03 2010-01-19 Synopsys, Inc. Method and apparatus for determining the performance of an integrated circuit
GB0624846D0 (en) * 2006-12-13 2007-01-24 Imec Inter Uni Micro Electr Application level estimation techniques for parametric yield in embedded systems under static real-time constraints
JP2008140363A (en) * 2006-09-07 2008-06-19 Matsushita Electric Ind Co Ltd Circuit analytical method
EP2006784A1 (en) * 2007-06-22 2008-12-24 Interuniversitair Microelektronica Centrum vzw Methods for characterization of electronic circuits under process variability effects
JP5056478B2 (en) * 2008-02-28 2012-10-24 富士通株式会社 Leak current analysis program, recording medium recording the program, leak current analysis apparatus, and leak current analysis method
US7917451B2 (en) * 2008-03-11 2011-03-29 International Business Machines Corporation Methods, apparatus, and program products to optimize semiconductor product yield prediction for performance and leakage screens

Also Published As

Publication number Publication date
JP2011113228A (en) 2011-06-09
US20110125480A1 (en) 2011-05-26
US8380480B2 (en) 2013-02-19

Similar Documents

Publication Publication Date Title
US8005660B2 (en) Hierarchical stochastic analysis process optimization for integrated circuit design and manufacture
JP4217220B2 (en) Verification support program and verification support apparatus
US7761275B2 (en) Synthesizing current source driver model for analysis of cell characteristics
US9589096B1 (en) Method and apparatus for integrating spice-based timing using sign-off path-based analysis
US8504978B1 (en) User interface for timing budget analysis of integrated circuit designs
US6278964B1 (en) Hot carrier effect simulation for integrated circuits
JP2007183932A (en) Timing analysis method and device
US7958473B2 (en) Method and computer program for configuring an integrated circuit design for static timing analysis
US10789406B1 (en) Characterizing electronic component parameters including on-chip variations and moments
JP5445066B2 (en) Analysis support program, analysis support apparatus, and analysis support method
US7493578B1 (en) Correlation of data from design analysis tools with design blocks in a high-level modeling system
US11636246B2 (en) Systems and methods for predicting and managing power and energy use of semiconductor devices
Chowdhary et al. How accurately can we model timing in a placement engine?
US20020046015A1 (en) Method and system for creating electronic circuitry
US20100131249A1 (en) Method and apparatus for supporting verification of leakage current distribution
JP5785725B2 (en) Power estimation apparatus, power estimation method and program
US20160025810A1 (en) Diagnosis and debug with truncated simulation
Mangassarian et al. Maximum circuit activity estimation using pseudo-boolean satisfiability
JP5370256B2 (en) Analysis support program, analysis support apparatus, and analysis support method
US10540464B1 (en) Critical path aware voltage drop analysis of an integrated circuit
US8615692B1 (en) Method and system for analyzing test vectors to determine toggle counts
JP5374937B2 (en) Power index calculation program, power index calculation device, and power index calculation method
US11068632B2 (en) Simulation apparatus, description conversion method and simulation method
JP4924130B2 (en) Power consumption analysis support program, recording medium storing the program, and power consumption analysis support device
JP2014186702A (en) Physical failure analysis program, physical failure analysis method, and physical failure analysis device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120815

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130716

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130726

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131209

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees