JP5381591B2 - Delay analysis apparatus, delay analysis method, and delay analysis program - Google Patents

Delay analysis apparatus, delay analysis method, and delay analysis program Download PDF

Info

Publication number
JP5381591B2
JP5381591B2 JP2009231723A JP2009231723A JP5381591B2 JP 5381591 B2 JP5381591 B2 JP 5381591B2 JP 2009231723 A JP2009231723 A JP 2009231723A JP 2009231723 A JP2009231723 A JP 2009231723A JP 5381591 B2 JP5381591 B2 JP 5381591B2
Authority
JP
Japan
Prior art keywords
point latch
path
delay
latch
end point
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009231723A
Other languages
Japanese (ja)
Other versions
JP2011081507A (en
Inventor
則之 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2009231723A priority Critical patent/JP5381591B2/en
Publication of JP2011081507A publication Critical patent/JP2011081507A/en
Application granted granted Critical
Publication of JP5381591B2 publication Critical patent/JP5381591B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、ディレイ解析装置、ディレイ解析方法及びディレイ解析プログラムに関する。   The present invention relates to a delay analysis apparatus, a delay analysis method, and a delay analysis program.

集積回路の遅延(ディレイ)に関する解析を行う際、タイミング解析を用いる手法が知られている。   A technique using timing analysis is known when performing analysis on delay of an integrated circuit.

タイミング解析は、設計の段階でCADツールによるチップの動作周波数を評価し、目標とする動作周波数を実現しているかを確認する解析手法である。たとえば、動作周波数2.5GHzを目標に設計する場合、すべての記憶素子間で、信号が2.5GHzの逆数である400ps以下の時間で到達するかを解析する。   Timing analysis is an analysis method for evaluating the operating frequency of a chip using a CAD tool at the design stage and confirming whether the target operating frequency is achieved. For example, in the case of designing with an operation frequency of 2.5 GHz as a target, it is analyzed whether a signal arrives at a time of 400 ps or less, which is the reciprocal of 2.5 GHz, between all the memory elements.

タイミング解析は、一般的に、静的タイミング解析、動的タイミング解析に分類される。また静的タイミング解析は、従来から使用されている静的タイミング解析(以下、従来から使用されている静的タイミング解析をSTA(Static Timing Analysis)と称す)、および、近年提唱されるようになった解析手法である統計的タイミング解析(以下、SSTA(Statistical Static Timing Analysis))の2つに分類される。   Timing analysis is generally classified into static timing analysis and dynamic timing analysis. In addition, static timing analysis has been proposed for static timing analysis that has been used in the past (hereinafter, static timing analysis that has been conventionally used is referred to as STA (Static Timing Analysis)). Statistical analysis (hereinafter, SSTA (Statistical Static Timing Analysis)) which is an analysis method.

STAには、Deterministic静的タイミング解析、パスベースSTA、ブロックベースSTAが知られており、SSTAには、パスベースSSTA、ブロックベースSSTAが知られている。   Deterministic static timing analysis, path-based STA, and block-based STA are known for STA, and path-based SSTA and block-based SSTA are known for SSTA.

ここで、STA、SSTA、ブロックベースSSTAについて、図8を参照しつつ説明する。   Here, STA, SSTA, and block-based SSTA will be described with reference to FIG.

STAでは、パスのディレイを計算するとき、パスを構成するゲート素子や配線の各要素のディレイ値を後段に向けて累積計算する。このときのディレイ値は、一つの確定した数値である。累積計算する際に、回路の深さを優先して処理する方法がパスベースSTAであり、回路の幅優先で処理する方法がブロックベースSTAである。図8の例では、パスベースSTAの場合、ラッチAからラッチCへのパス、ラッチBからラッチCへのパス、ラッチBからラッチDへのパスの順で処理される。ブロックベースSTAの場合には、ラッチAおよびラッチBから出力側に1ゲートずつ同時にディレイ値が累積される。ゲートpでは入力が2つあるため、ラッチAからゲートpまでと、ラッチBからゲートpまでの2つのパスの累積が完了した時点で、ゲートpのディレイの累積処理を行う。最大ディレイを求める処理の場合には、ゲートpの2つのパスの累積ディレイのうち、大きい方のディレイにゲートpのディレイを累積して、処理を先に進める。このように1つのゲートに対して入力が複数ある場合、一番大きいディレイを選択する操作をMAX演算と呼ぶ。   In the STA, when calculating the delay of the path, the delay value of each element of the gate element and the wiring constituting the path is cumulatively calculated toward the subsequent stage. The delay value at this time is one fixed numerical value. In the cumulative calculation, a method of processing with priority on the circuit depth is a path-based STA, and a method of processing with priority on the circuit width is a block-based STA. In the example of FIG. 8, in the case of the path-based STA, processing is performed in the order of the path from the latch A to the latch C, the path from the latch B to the latch C, and the path from the latch B to the latch D. In the case of the block-based STA, delay values are accumulated simultaneously from the latch A and the latch B to the output side one gate at a time. Since the gate p has two inputs, the accumulation processing of the delay of the gate p is performed when the accumulation of the two paths from the latch A to the gate p and from the latch B to the gate p is completed. In the process of obtaining the maximum delay, the delay of the gate p is accumulated in the larger delay of the accumulated delays of the two paths of the gate p, and the process proceeds. In this way, when there are a plurality of inputs for one gate, an operation for selecting the largest delay is called a MAX operation.

上記のSTAに対して、SSTAは、パスを構成するゲートや配線の各要素のディレイ値を一つの確定した数値ではなく、横軸がディレイ値で縦軸が確率密度である確率密度関数で表す。また、パスのディレイの累積に関しても、STAでは単なる数値の加算であるが、SSTAでは確率密度関数の統計的加算の処理となる。また、STAでのMAX演算は単なる大きい数値を残す数値演算であるが、SSTAでは2つの確率密度関数の統計的MAXと呼ばれる統計演算が行われる。このSSTAの処理において、ブロックベースSSTAは、ブロックベースSTAの説明で記載したように幅優先で処理する手法である。   In contrast to the above STA, SSTA represents the delay value of each element of the gate and wiring constituting the path as a probability density function in which the horizontal axis is the delay value and the vertical axis is the probability density. . Further, regarding the accumulation of path delays, STA simply adds numerical values, but SSTA performs statistical addition of probability density functions. In addition, the MAX operation in the STA is a numerical operation that simply leaves a large numerical value, but in the SSTA, a statistical operation called statistical MAX of two probability density functions is performed. In this SSTA processing, the block-based SSTA is a method of processing with priority in width as described in the description of the block-based STA.

また、以下の技術が知られている。   In addition, the following techniques are known.

特開2008−102837号公報JP 2008-102837 A 特開平8−6988号公報Japanese Patent Laid-Open No. 8-6988 特開2000−222452号公報JP 2000-222452 A 特開2001−67383号公報JP 2001-67383 A

Jing-Jia Liou他著、「False-Path-Aware Statistical Timing Analysis and Efficient Path Selection for Delay Testing and Timing Validation」、International Conference on Computer Aided Design、2002、pp 566-569Jing-Jia Liou et al., `` False-Path-Aware Statistical Timing Analysis and Efficient Path Selection for Delay Testing and Timing Validation '', International Conference on Computer Aided Design, 2002, pp 566-569 Shuji Tsukiyama他著、「Techniques to Remove False Paths in Statistical Static Timing Analysis」、ASICON、2001、pp 39-44Shuji Tsukiyama et al., "Techniques to Remove False Paths in Statistical Static Timing Analysis", ASICON, 2001, pp 39-44 Rajesh Garg他著、「On the Improvement of Statistical Timing Analysis」、ICCD、2006Rajesh Garg et al., "On the Improvement of Statistical Timing Analysis", ICCD, 2006 Vikram Iyengar他著、「Variation-Aware Performance Verification Using At-Speed Structural Test And Statistical Timing」、International Conference on Computer Aided Design、2007、pp 405-412Vikram Iyengar et al., "Variation-Aware Performance Verification Using At-Speed Structural Test And Statistical Timing", International Conference on Computer Aided Design, 2007, pp 405-412

SSTAを用いて集積回路を解析する際、選択されるパスは、実際に論理的に開く必要がある。論理的に開かない場合、すなわち論理的に当該パスを通過する可能性がない場合には、そのパスがタイミング歩留まりに影響は与えないためである。SSTAにおいて解決が難しい問題として、このように論理的に開かないフォールスパスの排除がある。フォールスパスは、実際の集積回路のタイミング歩留まり分布には全く影響しないため、排除しない場合は解析結果が本来の数値よりも少なく見積もられることとなる。   When analyzing an integrated circuit using SSTA, the path chosen must actually open logically. This is because when the path is not logically opened, that is, when there is no possibility of logically passing through the path, the path does not affect the timing yield. A problem that is difficult to solve in SSTA is the elimination of false paths that do not open logically. Since the false path does not affect the timing yield distribution of the actual integrated circuit at all, if it is not excluded, the analysis result is estimated to be smaller than the original numerical value.

本発明は上述した問題点を解決するためになされたものであり、ブロックベースSSTAにおいて、フォールスパスの排除が可能となるディレイ解析装置、ディレイ解析方法及びディレイ解析プログラムを提供することを目的とする。   The present invention has been made to solve the above-described problems, and it is an object of the present invention to provide a delay analysis apparatus, a delay analysis method, and a delay analysis program capable of eliminating a false path in a block-based SSTA. .

ディレイ解析装置は、集積回路上で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチに関するデータを取得する取得部と、始点ラッチから終点ラッチまでの間のピンごとに仮定故障を設定し、始点ラッチで発生した信号変化が終点ラッチまで伝播できるか否かの判定を、設定ごとに行う判定部と、パスを構成する要素それぞれの遅延を確率密度関数で表し、確率密度関数で表された分布を始点ラッチから終点ラッチに向けて累積計算することで、パスのディレイ分布を算出する統計的タイミング解析を、判定部によって信号変化が伝播できないと判定された場合のピンでは分布を後段に累積計算しないように行う解析部と、を有する。   The delay analyzer sets an assumed fault for each pin between the start point latch and the end point latch, an acquisition unit that acquires data related to the start point latch and end point latch of the path that can be a path in which signal propagation is delayed on the integrated circuit. The determination unit that determines whether or not the signal change generated in the start point latch can propagate to the end point latch is expressed for each setting and the delay of each element constituting the path is expressed as a probability density function, and expressed as a probability density function. Statistical timing analysis to calculate the delay distribution of the path by cumulatively calculating the distribution from the start point latch to the end point latch. An analysis unit that performs the cumulative calculation.

論理的に開かないフォールスパスを削除した解析を行うことができ、現実と相関が取れた解析結果を得ることができる。   It is possible to perform analysis by deleting false paths that are not logically opened, and to obtain an analysis result correlated with reality.

本実施の形態に係るディレイ解析装置の機能ブロックの一例を示す図である。It is a figure which shows an example of the functional block of the delay analyzer based on this Embodiment. 本実施の形態に係るディレイ解析装置の動作の一例を示すフローチャートである。It is a flowchart which shows an example of operation | movement of the delay analyzer based on this Embodiment. 従来のSSTAの適用範囲、および本実施の形態に係るSSTAの適用範囲を示す図である。It is a figure which shows the application range of the conventional SSTA, and the application range of SSTA which concerns on this Embodiment. 本実施の形態に係る、「パスが開く」ことについての説明に用いられる回路の模式図の一例である。It is an example of the schematic diagram of the circuit used for description about "opening a path" based on this Embodiment. 本実施の形態に係る、再度SSTAを実行するときの状況を示す模式図である。It is a schematic diagram which shows the condition when performing SSTA again based on this Embodiment. 本実施の形態に係るディレイ解析装置に適用可能なコンピュータシステムのハードウェア構成の一例を示す図である。It is a figure which shows an example of the hardware constitutions of the computer system applicable to the delay analysis apparatus which concerns on this Embodiment. 本実施の形態に係るディレイ解析装置に適用可能なコンピュータシステムにおける本体部のハードウェア構成の一例を示す図である。It is a figure which shows an example of the hardware constitutions of the main-body part in the computer system applicable to the delay analysis apparatus which concerns on this Embodiment. STA、SSTAの説明に用いられる回路の模式図の一例である。It is an example of the schematic diagram of the circuit used for description of STA and SSTA.

以下に、本実施の形態を説明する。尚、フォールスパスは3種類に分類することができる。1つ目は、functional false pathであり、論理的には開くパスであるが、現実の計算機においてはどのような命令の実行順序でも実際には開かないパスである。2つ目は、boolean untrue pathであり、どのような入力値の組み合わせに対しても開かないパスである。3つ目は、delay dependent false pathであり、boolean的にはtrue pathであるが、タイミング上のディレイに依存して開かないパスである。本実施の形態では、boolean untrue pathに分類されるフォールスパスを排除するのに適した手法を示す。また以下の説明では、解析対象の集積回路はプロセッサであるものとして記載するが、本実施の形態は、集積回路であればいかなるものでも適用可能である。   This embodiment will be described below. The false path can be classified into three types. The first is a functional false path, which is a logically open path, but in an actual computer, it is a path that is not actually opened in any instruction execution order. The second is a boolean untrue path, a path that does not open for any combination of input values. The third is a delay dependent false path, which is a boolean true path but does not open depending on the timing delay. In the present embodiment, a technique suitable for eliminating a false path classified as a boolean untrue path will be described. In the following description, the integrated circuit to be analyzed is described as a processor, but this embodiment can be applied to any integrated circuit.

図1に、本実施の形態に係るディレイ解析装置を示す。ディレイ解析装置100は、従前より用いられているセルライブラリ51を取得するセルライブラリ入力部1、および従前より用いられているプロセッサ設計データ52を取得する設計データ入力部2を有し、これら取得されたセルライブラリ51、プロセッサ設計データ52をメモリ61上に記憶させる記憶部3を有する。   FIG. 1 shows a delay analysis apparatus according to the present embodiment. The delay analysis apparatus 100 includes a cell library input unit 1 that acquires a cell library 51 that has been used conventionally, and a design data input unit 2 that acquires processor design data 52 that has been used. The cell library 51 and the processor design data 52 are stored in the memory 61.

またディレイ解析装置100は、メモリ61に記憶されているセルライブラリ51、プロセッサ設計データ52を取得するメモリデータ入力部4を有する。ディレイ解析装置100は、セルライブラリ51、プロセッサ設計データ52を用いてSTAを行い、プロセッサ内で信号伝播が遅延するためプロセッサが設計上の動作周波数で動作し得ないパスとなり得るパスである複数のクリティカルパスを、STAで行える範囲で特定する静的タイミング解析部5を有する。ディレイ解析装置100は、静的タイミング解析部5で特定されたクリティカルパスに関する情報であるクリティカルパス情報53を出力するクリティカルパス出力部6を有する。   The delay analysis apparatus 100 also has a memory data input unit 4 that acquires a cell library 51 and processor design data 52 stored in the memory 61. The delay analysis apparatus 100 performs STA using the cell library 51 and the processor design data 52, and signal propagation is delayed in the processor, so that the processor cannot operate at the design operating frequency. It has a static timing analysis unit 5 that identifies a critical path within a range that can be performed by the STA. The delay analysis apparatus 100 includes a critical path output unit 6 that outputs critical path information 53 that is information related to the critical path specified by the static timing analysis unit 5.

また、ディレイ解析装置100は、クリティカルパス情報53と、メモリ61に記憶されているセルライブラリ51と、プロセッサ設計データ52とを用いて、プロセッサ内で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチとのペアを必要な数だけ選択するクリティカルパス選択部7を有する。尚、このように選択されたペアを以降ワーストNパスと称す。ワーストNパスの数については後述するが、解析結果の質が十分と認められる程度の数となるものとする。   In addition, the delay analysis apparatus 100 uses the critical path information 53, the cell library 51 stored in the memory 61, and the processor design data 52 to start a path start point latch that can be a path in which signal propagation is delayed in the processor. And a critical path selection unit 7 for selecting a necessary number of pairs of the end point latch and the end point latch. The pair selected in this way is hereinafter referred to as the worst N path. Although the number of worst N paths will be described later, it is assumed that the number of the analysis results is sufficient.

またディレイ解析装置100は、クリティカルパス選択部7によって選択された始点ラッチと終点ラッチとのペアをメモリ61に記憶させる記憶部8を有する。   In addition, the delay analysis apparatus 100 includes a storage unit 8 that stores a pair of the start point latch and the end point latch selected by the critical path selection unit 7 in the memory 61.

ディレイ解析装置100は、メモリ61に記憶されているセルライブラリ51、プロセッサ設計データ52、ワーストNパスを取得するメモリデータ入力部9を有する。また、ディレイ解析装置100は、データ入力部9によって取得されたデータを用いて、各パスの始点ラッチと終点ラッチの間の論理回路全体にブロックベースのSSTAを適用して、始点、終点のラッチペアのディレイ分布を生成する統計的タイミング解析部10を有する。またディレイ解析装置100は、統計的タイミング解析部10によって生成された始点、終点のラッチペアのディレイ分布をそれぞれディレイ分布グラフ54として出力するディレイ分布グラフ出力部11を有する。   The delay analysis apparatus 100 includes a cell library 51 stored in the memory 61, processor design data 52, and a memory data input unit 9 for acquiring the worst N path. In addition, the delay analysis apparatus 100 uses the data acquired by the data input unit 9 to apply block-based SSTA to the entire logic circuit between the start point latch and the end point latch of each path, so that the start point / end point latch pair A statistical timing analysis unit 10 for generating the delay distribution. The delay analysis apparatus 100 also includes a delay distribution graph output unit 11 that outputs the delay distribution of the start and end latch pairs generated by the statistical timing analysis unit 10 as a delay distribution graph 54, respectively.

ディレイ解析装置100は、ディレイ分布グラフ54から、始点、終点のラッチペアのディレイ分布を取得するディレイ分布グラフ入力部12を有し、各ディレイ分布のα×σ(αは定数、σは標準偏差)の値を計算し、値の大きい順に始点ラッチと終点ラッチのペアをソートする試験対象パス選択部13を有する。ディレイ解析装置100は、ソートされた始点、終点のラッチペアを、ソート順に試験対象パス情報55として出力する試験対象パス出力部14を有する。   The delay analysis apparatus 100 has a delay distribution graph input unit 12 that acquires the delay distribution of the start and end latch pairs from the delay distribution graph 54, and α × σ (where α is a constant and σ is a standard deviation) of each delay distribution. And a test target path selection unit 13 that sorts the pairs of the start point latch and the end point latch in descending order of the value. The delay analysis apparatus 100 includes a test target path output unit 14 that outputs the sorted start point and end point latch pairs as test target path information 55 in the sort order.

ディレイ解析装置100は、試験対象パス情報55内のディレイ値が大きい順に始点、終点のラッチペアを取得する試験対象パス入力部15、および取得した始点、終点のラッチペアをメモリ61に記憶する記憶部16を有する。ディレイ解析装置100は、メモリ61内の処理対象になる始点ラッチと終点ラッチの間にある論理回路のピンについて、従来手法に則り、遅延故障モデルのひとつであって、仮定故障であるトランジション故障を設定する仮定故障設定部17を有する。   The delay analysis apparatus 100 includes a test target path input unit 15 that acquires the start and end latch pairs in descending order of the delay value in the test target path information 55, and a storage unit 16 that stores the acquired start and end latch pairs in the memory 61. Have The delay analysis apparatus 100 performs transition faults, which are one of the delay fault models and are assumed faults, in accordance with the conventional method, for the pins of the logic circuit between the start point latch and end point latch to be processed in the memory 61. It has a hypothetical failure setting unit 17 for setting.

ディレイ解析装置100は、設定された仮定故障それぞれに対して、処理対象になる始点ラッチと終点ラッチの間で信号変化が起きるようなパターン作成を試みるディレイテスト生成部18を有する。ディレイ解析装置100は、現在処理対象中の始点、終点ラッチペアごとに、ディレイテスト生成部18によって開かないパス(フォールスパス)とされたピンの回路内識別名を、フォールスパス情報56として出力するフォールスパス情報出力部19を有する。   The delay analysis apparatus 100 includes a delay test generation unit 18 that attempts to create a pattern in which a signal change occurs between a start point latch and an end point latch to be processed for each set hypothesis failure. The delay analysis apparatus 100 outputs, in the form of false path information 56, an in-circuit identification name of a pin that has not been opened by the delay test generation unit 18 for each start point and end point latch pair currently being processed. A path information output unit 19 is included.

統計的タイミング解析部10は、さらに、最初に実行したSSTAを再実行する。ただし、このSSTAの再実行では、始点、終点のラッチペア内の論理回路に対して統計的ディレイ分布を求めるために回路を後段から前段の前方へフォワードトレースしながらディレイ分布を伝播させる処理において、フォールスパス情報56内からフォールスパスのピンリストを取得し、ディレイ分布を伝播させる際にそのピンリストにあるピンでは後段にディレイ分布を伝播させないという処理をする。   The statistical timing analysis unit 10 further re-executes the SSTA that is executed first. However, in this SSTA re-execution, in the process of propagating the delay distribution while forward tracing the circuit forward from the subsequent stage to the front stage in order to obtain the statistical delay distribution for the logic circuits in the start and end latch pairs, When a pin list of a false path is acquired from the path information 56 and the delay distribution is propagated, processing is performed so that the delay distribution is not propagated to the subsequent stage with the pins in the pin list.

ディレイ解析装置100は、上記処理によって始点、終点ラッチペアに対して求められたディレイ分布を用いて、従来手法で処理することでプロセッサのタイミング歩留り分布57を算出するタイミング歩留り計算部20を有する。   The delay analysis apparatus 100 includes a timing yield calculation unit 20 that calculates a processor timing yield distribution 57 by processing using the delay distribution obtained for the start point and end point latch pairs by the above-described processing, using a conventional method.

尚、セルライブラリ入力部1から記憶部8までの各機能部、およびメモリ61をペア選択部101とする。試験対象パス入力部15、記憶部16、メモリ61を取得部102とする。仮定故障設定部17、ディレイテスト生成部18、フォールスパス情報出力部19、フォールスパス情報56を判定部103とする。   The function units from the cell library input unit 1 to the storage unit 8 and the memory 61 are referred to as a pair selection unit 101. The test target path input unit 15, the storage unit 16, and the memory 61 are referred to as an acquisition unit 102. The hypothetical failure setting unit 17, the delay test generation unit 18, the false path information output unit 19, and the false path information 56 are set as the determination unit 103.

また、統計的タイミング解析部10、ディレイ分布グラフ出力部11、各パスのディレイ分布グラフ54を解析部104とする。また、ディレイ分布グラフ入力部12、試験対象パス選択部13、試験対象パス出力部14、試験対象パス情報55をソート部105とする。   The statistical timing analysis unit 10, the delay distribution graph output unit 11, and the delay distribution graph 54 of each path are referred to as an analysis unit 104. The delay distribution graph input unit 12, the test target path selection unit 13, the test target path output unit 14, and the test target path information 55 are referred to as a sort unit 105.

次に、図2を参照しつつ、ディレイ解析装置100の動作について説明する。尚、以下の動作説明では、記憶部3、メモリデータ入力部4等の各データの入出力を担うユニットについての記載は省略する。   Next, the operation of the delay analysis apparatus 100 will be described with reference to FIG. In the following description of the operation, description of units responsible for input / output of data such as the storage unit 3 and the memory data input unit 4 is omitted.

静的タイミング解析部5は、セルライブラリ51、プロセッサ設計データ52に対し、従来通りのSTA処理を行い、クリティカルパス情報を出力する(S1)。次に、クリティカルパス選択部7は、クリティカルパス情報53から、ワーストNパスを選択する(S2)。   The static timing analysis unit 5 performs a conventional STA process on the cell library 51 and the processor design data 52, and outputs critical path information (S1). Next, the critical path selection unit 7 selects the worst N path from the critical path information 53 (S2).

ワーストNパスとして選択される数(N)の求め方について説明する。まず、クリティカルパス選択部7は、N個の始点ラッチと終点ラッチそれぞれのディレイ分布からチップ全体の周波数歩留り分布を求め、さらに、(N+1)個の始点ラッチと終点ラッチそれぞれのディレイ分布からチップ全体の周波数歩留り分布を求める。求めた2つの周波数歩留り分布の差が所定の値以下となった場合、N+1パス目のデータは不要ということになり、その時のNをワーストNパスの数とする。   A method of obtaining the number (N) selected as the worst N path will be described. First, the critical path selection unit 7 obtains the frequency yield distribution of the entire chip from the delay distributions of the N start point latches and the end point latches, and further calculates the entire chip from the delay distributions of the (N + 1) start point latches and the end point latches. The frequency yield distribution is obtained. When the difference between the obtained two frequency yield distributions is equal to or less than a predetermined value, the data for the (N + 1) th path is unnecessary, and N at that time is the number of worst N paths.

尚、チップ全体の周波数歩留りとは、製造した個々のチップについて、動作する最大動作周波数を実測して、横軸に最大動作周波数で縦軸にチップ数の割合として作成した分布グラフである。また、周波数歩留り分布の差をどこで判断するかついては、本実施の形態では、縦軸の割合において、目標とするある割合値での二つの分布の横軸の最大動作周波数の値の差とし、この値の差が所定値以下である場合に差がないと定義する。当該所定値がどのくらい小さい値であるかは、求める精度に依存する。   Note that the frequency yield of the entire chip is a distribution graph created by actually measuring the maximum operating frequency of each manufactured chip and producing the maximum operating frequency on the horizontal axis and the ratio of the number of chips on the vertical axis. Further, where to determine the difference in frequency yield distribution, in this embodiment, in the ratio of the vertical axis, the difference between the maximum operating frequency values of the two horizontal axes of the two distributions at a target ratio value, It is defined that there is no difference when this value difference is equal to or less than a predetermined value. How small the predetermined value is depends on the accuracy to be obtained.

その後、統計的タイミング解析部10は、クリティカルパス選択部7によって選択された始点ラッチ、終点ラッチのペアごとに、SSTA処理を実行し、ペアごとのディレイ分布グラフ54を作成する(S3)。尚、統計的タイミング解析部10は、ブロックベースの統計的タイミング解析を行うものとする。   Thereafter, the statistical timing analysis unit 10 performs the SSTA process for each pair of the start point latch and end point latch selected by the critical path selection unit 7 and creates a delay distribution graph 54 for each pair (S3). Note that the statistical timing analysis unit 10 performs block-based statistical timing analysis.

ここで、図3を参照しつつ、従来技術のSSTAの適用範囲と、本実施の形態におけるSSTAの適用範囲を説明する。従来技術では、集積回路全体に対しSSTA(ブロックベースSSTA)を適用しているのに対し(図3(A)参照)、本実施の形態では、STAの結果クリティカルパスとされた始点ラッチと終点ラッチのペアの間にある回路に対しブロックベースSSTAを適用する(図3(B)参照)。尚、図3においては、始点ラッチ、終点ラッチをFF(Flip Flop)と表記している。   Here, with reference to FIG. 3, the application range of the SSTA of the prior art and the application range of the SSTA in the present embodiment will be described. In the prior art, SSTA (block-based SSTA) is applied to the entire integrated circuit (see FIG. 3A), but in this embodiment, the start point latch and the end point that have become critical paths as a result of STA A block-based SSTA is applied to a circuit between a pair of latches (see FIG. 3B). In FIG. 3, the start point latch and the end point latch are indicated as FF (Flip Flop).

統計的タイミング解析部10でのSSTAの処理は、図3(B)に示した範囲、すなわち、開始ラッチから終点ラッチまでの間にある論理回路全てに対して統計的ディレイ演算を行う。つまり、ここでは始点ラッチと終点ラッチの間にあるすべてのパスを考慮したディレイ分布が求まる。   In the SSTA processing in the statistical timing analysis unit 10, statistical delay calculation is performed on all the logic circuits within the range shown in FIG. 3B, that is, between the start latch and the end latch. That is, here, a delay distribution is obtained in consideration of all paths between the start point latch and the end point latch.

図2の説明に戻る。試験対象パス選択部13は、各ディレイ分布のα×σの値をそれぞれ計算し、値の大きい順に始点ラッチと終点ラッチのペアをソートし、試験対象パス入力部15は、ソート順に始点、終点のラッチペアを1つ取得する(S4)。尚、本実施の形態では例えばαの値をα=−3とするが、α=3等いずれの定数であってもよい。仮定故障設定部17は、処理対象になる始点ラッチと終点ラッチの間にある論理回路のピンに対し、従来手法に則り、仮定故障であるトランジション故障をそれぞれ設定する(S5)。   Returning to the description of FIG. The test target path selection unit 13 calculates α × σ values of each delay distribution, sorts the pairs of start point latches and end point latches in descending order, and the test target path input unit 15 sets the start point and end point in the sort order. One latch pair is acquired (S4). In this embodiment, for example, the value of α is α = −3, but any constant such as α = 3 may be used. The hypothetical fault setting unit 17 sets a transition fault, which is a hypothetical fault, for each pin of the logic circuit between the start point latch and the end point latch to be processed according to the conventional method (S5).

ディレイテスト生成部18は、仮定故障1つ1つに対して、処理対象になるパスの始点ラッチと終点ラッチの間で信号変化が起きるようなパターン作成を試みる(S6)。   The delay test generation unit 18 attempts to create a pattern such that a signal change occurs between the start point latch and the end point latch of the path to be processed for each hypothetical failure (S6).

ディレイテスト生成部18は、ディレイ的にパスが開くか否かを判定し(S7)、1つもディレイ的にパスが開かない場合(S7、no)、この仮定故障ポイントはフォールスパスのポイントであるとマークして、次の仮定故障をトライする。1つでもディレイ的にパスが開き、パターン生成が成功した場合(S7、yes)、この仮定故障ポイントはフォールスパスのポイントではないとマークして、ステップS6に戻り、次の仮定故障に対しパターン生成を試みる。   The delay test generation unit 18 determines whether or not a path is opened in a delay manner (S7), and if no path is opened in a delayed manner (S7, no), this hypothetical failure point is a false path point. And try the next hypothetical failure. If at least one path is opened in a delayed manner and the pattern generation is successful (S7, yes), this hypothetical failure point is marked as not a false path point, and the process returns to step S6, where the pattern for the next hypothetical failure is obtained. Attempt to generate.

ここで、「ディレイ的にパスが開く」について、図4を参照しつつ説明する。図4において、例えばゲートy、ゲートzを経由するラッチCからラッチDまでのパスをディレイテストの対象とする。このパス上でラッチCで発生させる信号変化がラッチDまで伝播できる状態にあるとき、本実施の形態ではラッチCからラッチDまでのパスがディレイ的に開く、とする。尚、図4の例では、ラッチCからラッチDまでのパスは開かない。ラッチCからラッチDまでのパスが開くためには、ゲートyの2つの入力の中で、パス上にない入力は、ゲートyがand回路のため1にする必要がある。一方、ゲートzの2つ入力の中で、パス上にない入力は、ゲートzがor回路のため0にする必要がある。このことは、ゲートxの出力を1かつ0にする必要があり、これは論理的に不可能であるため、ラッチCからラッチDまでのパスは開かないということになる。つまり、ラッチCからラッチDまでのパスはフォールスパスである。図4で示した回路は冗長な論理回路が入っているために、フォールスパスが発生する。冗長な論理回路は、設計者が意図して作るものではなく、意図しないところで結果としてできてしまう場合がある。   Here, “the path is opened in a delayed manner” will be described with reference to FIG. In FIG. 4, for example, a path from the latch C to the latch D via the gate y and the gate z is a delay test target. In this embodiment, when the signal change generated by the latch C on the path can be propagated to the latch D, the path from the latch C to the latch D is opened in a delay manner. In the example of FIG. 4, the path from the latch C to the latch D is not opened. In order for the path from the latch C to the latch D to open, the input that is not on the path among the two inputs of the gate y needs to be 1 because the gate y is an AND circuit. On the other hand, of the two inputs of the gate z, an input not on the path needs to be set to 0 because the gate z is an or circuit. This means that the output of gate x needs to be 1 and 0, which is logically impossible, so the path from latch C to latch D will not open. That is, the path from the latch C to the latch D is a false path. Since the circuit shown in FIG. 4 contains redundant logic circuits, a false path occurs. Redundant logic circuits are not intended by designers and may result in unintended cases.

図2の説明に戻る。ディレイテスト生成部18は、現在処理対象中の始点、終点ラッチペア内でフォールスパスのポイントとマークされたピンの回路内識別名を、フォールスパス情報56としてフォールスパス情報出力部19に出力させ(S8)、すべての仮定故障についてのディレイテスト生成が完了したかの判定を行う(S9)。この処理によって、フォールスパスのポイントであるとマークされたピン全てについて、そのピンの回路内識別名が、始点、終点ラッチペアに対して出力される。   Returning to the description of FIG. The delay test generation unit 18 causes the false path information output unit 19 to output the in-circuit identification name of the pin marked as the false path point in the start point and end point latch pair currently being processed as the false path information 56 (S8). ), It is determined whether the delay test generation for all hypothetical faults has been completed (S9). As a result of this processing, the in-circuit identification names of the pins are output to the start point and end point latch pairs for all pins marked as false path points.

パターン生成が完了していない場合(S9、no)、ディレイテスト生成部18は、ステップS6に戻り、次の仮定故障ポイントにおけるパターン生成を試みる。一方、パターン生成が完了した場合(S9、yes)、統計的タイミング解析部10は、再度SSTAを実施するが、ここではフォールスパス情報56内からパスが開かないピンリストを入力し、ディレイ分布を伝播させる処理の際にそのピンリストにあるピンでは、後段にディレイ分布を累積計算させない処理を行い、ディレイ的にパスが開かないピンリストを排除したディレイ分布グラフ54を生成する(S10)。   If the pattern generation has not been completed (S9, no), the delay test generation unit 18 returns to step S6 and tries to generate a pattern at the next hypothetical failure point. On the other hand, when the pattern generation is completed (S9, yes), the statistical timing analysis unit 10 performs SSTA again. Here, a pin list that does not open a path is input from within the false path information 56, and the delay distribution is calculated. For the pins in the pin list during the propagation process, the delay distribution graph 54 is generated in which the delay distribution is not cumulatively calculated in the subsequent stage and the pin list in which the path is not opened in a delayed manner is excluded (S10).

ステップS10の処理について、図5を参照しつつ説明する。図5は、始点ラッチA、終点ラッチBの間にある論理回路に対し、統計的タイミング解析部10によってSSTA処理が実行される際の状況を示す模式図である。例えばフォールスパス情報56内に始点ラッチA、終点ラッチBのラッチペアではゲートg2のピンA2が登録されている場合、統計的タイミング解析部10は、ゲートg2のピンA2のディレイ値を本来起こり得ない値、例えば値0に設定する等を行うことで、ディレイ分布を伝播させない処理を行う。   The process of step S10 will be described with reference to FIG. FIG. 5 is a schematic diagram illustrating a situation when the SSTA process is executed by the statistical timing analysis unit 10 on the logic circuit between the start point latch A and the end point latch B. FIG. For example, when the pin A2 of the gate g2 is registered in the latch pair of the start point latch A and the end point latch B in the false path information 56, the statistical timing analysis unit 10 cannot originally generate the delay value of the pin A2 of the gate g2. By setting a value, for example, 0, etc., processing that does not propagate the delay distribution is performed.

タイミング歩留り計算部20は、ステップS10で求められた始点・終点ラッチペアごとのディレイ分布グラフを用いて、従来手法で処理することで、プロセッサのタイミング歩留まり分布を求める。   The timing yield calculation unit 20 obtains the timing yield distribution of the processor by processing the delay distribution graph for each start point / end point latch pair obtained in step S10 by the conventional method.

本実施の形態のディレイ解析装置100は、各パスについてディレイテストのパターン生成する際に、始点ラッチ・終点ラッチのペアに対してパスが開かない場合は、このパスは論理的にfalse pathだということが結果的に判定することができる。またディレイ解析装置100は、始点・終点ラッチのペア内で、一部のパスのフォールスパスを考慮するためには、1つの始点・終点のラッチペアの論理回路に対して、transition fault modelをベースにした方法を用いて、その論理回路の中に仮定された故障一つ一つに対してパターン生成を試みる。ディレイ解析装置100は、どれか1つの仮定故障に対してパスが開いた場合でも、さらに他の仮定故障についてもパターン生成を試みる。ディレイ解析装置100は、パスが開かない仮定故障を、始点・終点のラッチペア毎にリストアップする。   When the delay analysis apparatus 100 according to the present embodiment generates a delay test pattern for each path, if the path is not opened with respect to the start-point latch / end-point latch pair, this path is logically a false path. Can be determined as a result. Further, in order to consider the false paths of some paths in the start / end latch pair, the delay analysis apparatus 100 is based on the transition fault model for one start / end latch pair logic circuit. Using this method, pattern generation is attempted for each of the faults assumed in the logic circuit. Even when the path is opened for any one of the hypothetical faults, the delay analysis apparatus 100 tries to generate a pattern for another hypothetical fault. The delay analysis apparatus 100 lists hypothetical faults in which the path is not opened for each start-point / end-point latch pair.

ディレイ解析装置100は、始点・終点のラッチペア内の論理回路に対してディレイ分布を求める際、始点、終点ラッチペアでパスが開かないピンリストを取得し、SSTA処理でピンリストにあるピンではディレイ分布を伝播させない方法を採用する。このようにすることで、始点、終点ラッチペア毎にその中で正確なフォールスパスを考慮できる。   When the delay analysis device 100 obtains a delay distribution for a logic circuit in a start / end latch pair, the delay analysis apparatus 100 obtains a pin list in which a path is not opened by the start / end latch pair, and delay distribution is performed for pins in the pin list by SSTA processing. Adopt a method that does not propagate. In this way, an accurate false path can be taken into account for each start point and end point latch pair.

本実施の形態によって、統計的タイミング解析として現在広く使われているブロックベースの統計的タイミング解析において、各パスのディレイ分布を求めて、それらの分布の統計的MAX処理により集積回路全体のタイミング歩留まり分布を計算する際に、論理的に開かずにタイミング歩留まりに影響を与えないフォールスパスを削除した解析を容易に行うことができ、タイミング歩留まりの解析結果として現実と相関が取れた結果を得ることができる。   According to the present embodiment, in block-based statistical timing analysis that is currently widely used as statistical timing analysis, the delay distribution of each path is obtained, and the timing yield of the entire integrated circuit is obtained by statistical MAX processing of these distributions. When calculating the distribution, it is possible to easily perform an analysis by removing false paths that do not logically open and do not affect the timing yield, and obtain a result that is correlated with the reality as an analysis result of the timing yield Can do.

本発明は以下に示すようなコンピュータシステムにおいて適用可能である。図6は、本発明が適用されるコンピュータシステムの一例を示す図である。図6に示すコンピュータシステム920は、CPU(Central Processing Unit)、メモリやディスクドライブ等を内蔵した本体部901、本体部901からの指示により画像を表示するディスプレイ902、コンピュータシステム920に種々の情報を入力するキーボード903、ディスプレイ902の表示画面902a上の任意の位置を指定するマウス904及び外部のデータベース等にアクセスして他のコンピュータシステムに記憶されているプログラム等をダウンロードする通信装置905を有する。通信装置905は、ネットワーク通信カード、モデムなどが考えられる。   The present invention can be applied to the following computer system. FIG. 6 is a diagram illustrating an example of a computer system to which the present invention is applied. A computer system 920 shown in FIG. 6 includes a CPU (Central Processing Unit), a main body 901 including a memory, a disk drive, and the like, a display 902 that displays an image according to an instruction from the main body 901, and various information on the computer system 920. A keyboard 903 for input, a mouse 904 for designating an arbitrary position on the display screen 902a of the display 902, and a communication device 905 for accessing an external database or the like and downloading a program or the like stored in another computer system. The communication device 905 may be a network communication card, a modem, or the like.

上述したような、ディレイ解析装置を構成するコンピュータシステムにおいて上述した各ステップを実行させるプログラムを、ディレイ解析プログラムとして提供することができる。このプログラムは、コンピュータシステムにより読取り可能な記録媒体に記憶させることによって、ディレイ解析装置を構成するコンピュータシステムに実行させることが可能となる。上述した各ステップを実行するプログラムは、ディスク910等の可搬型記録媒体に格納されるか、通信装置905により他のコンピュータシステムの記録媒体906からダウンロードされる。また、コンピュータシステム920に少なくともディレイ解析機能を持たせるディレイ解析プログラム(ディレイ解析ソフトウェア)は、コンピュータシステム920に入力されてコンパイルされる。このプログラムは、コンピュータシステム920をディレイ解析機能を有するディレイ解析装置として動作させる。また、このプログラムは、例えばディスク910等のコンピュータ読み取り可能な記録媒体に格納されていても良い。ここで、コンピュータシステム920により読取り可能な記録媒体としては、ROM(Read Only Memory)やRAM(Random Access Memory)等のコンピュータに内部実装される内部記憶装置、ディスク910やフレキシブルディスク、DVD(Digital Versatile Disk)ディスク、光磁気ディスク、IC(Integrated Circuit)カード等の可搬型記憶媒体や、コンピュータプログラムを保持するデータベース、或いは、他のコンピュータシステム並びにそのデータベースや、通信装置905のような通信手段を介して接続されるコンピュータシステムでアクセス可能な各種記録媒体を含む。   A program for executing the above-described steps in the computer system constituting the delay analysis apparatus as described above can be provided as a delay analysis program. By storing this program in a recording medium readable by the computer system, the program can be executed by the computer system constituting the delay analysis apparatus. A program for executing the above steps is stored in a portable recording medium such as a disk 910 or downloaded from a recording medium 906 of another computer system by the communication device 905. Also, a delay analysis program (delay analysis software) that causes the computer system 920 to have at least a delay analysis function is input to the computer system 920 and compiled. This program causes the computer system 920 to operate as a delay analysis device having a delay analysis function. Further, this program may be stored in a computer-readable recording medium such as a disk 910, for example. Here, as a recording medium readable by the computer system 920, an internal storage device such as a ROM (Read Only Memory) or a RAM (Random Access Memory), which is internally mounted in the computer, a disk 910, a flexible disk, a DVD (Digital Versatile). Disk), a magneto-optical disk, a portable storage medium such as an IC (Integrated Circuit) card, a database holding a computer program, another computer system and its database, or communication means such as a communication device 905. Various recording media accessible by a computer system connected to each other.

図7は、コンピュータシステム920における本体部901のハードウェア構成の一例を示す図である。本体部901は、CPU951、メモリ952(上述のメモリ61に対応)、ディスク910等の可搬型記録媒体からデータを読み書きするディスクドライブ953、および不揮発性の記憶手段であるHDD(Hard disk drive)954を有し、また、外部との通信制御を担うI/O装置955を有する。上述の各機能部は、例えばHDD954やディスク910等の不揮発性の記憶手段内に予め保持されたプログラムが、CPU951、メモリ952等のハードウェア資源と協働することで実現される。また、上述の各データはHDD954もしくはメモリ952に記憶される。   FIG. 7 is a diagram illustrating an example of a hardware configuration of the main body unit 901 in the computer system 920. The main unit 901 includes a CPU 951, a memory 952 (corresponding to the above-described memory 61), a disk drive 953 that reads and writes data from a portable recording medium such as the disk 910, and an HDD (Hard disk drive) 954 that is a nonvolatile storage unit. And an I / O device 955 for controlling communication with the outside. Each functional unit described above is realized by a program held in advance in a nonvolatile storage unit such as the HDD 954 and the disk 910 cooperating with hardware resources such as the CPU 951 and the memory 952. In addition, each of the above data is stored in the HDD 954 or the memory 952.

以上、本実施の形態によれば、以下の付記で示す技術的思想が開示されている。
(付記1) 集積回路上で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチに関するデータを取得する取得部と、
前記始点ラッチから前記終点ラッチまでの間のピンごとに仮定故障を設定し、前記始点ラッチで発生した信号変化が前記終点ラッチまで伝播できるか否かの判定を、前記設定ごとに行う判定部と、
パスを構成する要素それぞれの遅延を確率密度関数で表し、確率密度関数で表された分布を前記始点ラッチから前記終点ラッチに向けて累積計算することで、パスのディレイ分布を算出する統計的タイミング解析を、前記判定部によって信号変化が伝播できないと判定された場合のピンでは分布を後段に累積計算しないように行う解析部と、
を有するディレイ解析装置。
(付記2) 記憶装置に保持された集積回路の設計データに基づき、前記集積回路内で信号伝播が遅延するパスとなり得るパスの始点ラッチ、終点ラッチに関するデータのペアを複数選択するペア選択部と、
前記ペア選択部によって選択されたペアの始点ラッチから終点ラッチまでの間の回路に対し統計的タイミング解析を行い、前記ペアごとのディレイ分布をそれぞれ算出する統計的タイミング解析部と、
前記統計的タイミング解析部によって算出されたディレイ分布それぞれの偏差に基づき、前記ペア選択部によって選択された複数のペアをソートするソート部と、をさらに有し、
前記取得部は、前記ソート部によってソートされたペアの順で、始点ラッチと終点ラッチに関するデータをそれぞれ取得し、
前記判定部は、前記取得部によって取得されたペアの順で、該パスの始点ラッチで発生した信号変化が終点ラッチまで伝播できるか否かの判定をそれぞれ行うことを特徴とする付記1記載のディレイ解析装置。
(付記3) 前記ペア選択部は、パスを構成する要素それぞれの遅延を、それぞれ一つの値で表し、該値それぞれを前記始点ラッチから前記終点ラッチに向けて累積計算を行うことでパスの遅延値を算出する静的タイミング解析を用いて、前記集積回路内で信号伝播が遅延するパスとなり得るパスを選択し、該パスの始点ラッチ、終点ラッチのペアを選択することを特徴とする付記2記載のディレイ解析装置。
(付記4) 前記ソート部は、前記統計的タイミング解析部によって算出されたディレイ分布それぞれの標準偏差を算出し、該標準偏差に所定の定数を積算して得た値の大きい方からソートすることを特徴とする付記2記載のディレイ解析装置。
(付記5) コンピュータが、
集積回路上で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチに関するデータを取得し、
前記始点ラッチから前記終点ラッチまでの間のピンごとに仮定故障を設定し、前記始点ラッチで発生した信号変化が前記終点ラッチまで伝播できるか否かの判定を、前記設定ごとに行い、
パスを構成する要素それぞれの遅延を確率密度関数で表し、確率密度関数で表された分布を前記始点ラッチから前記終点ラッチに向けて累積計算することで、パスのディレイ分布を算出する統計的タイミング解析を、信号変化が伝播できないと判定された場合のピンでは分布を後段に累積計算しないように行う処理を実行するディレイ解析方法。
(付記6) さらに、
記憶装置に保持された集積回路の設計データに基づき、前記集積回路内で信号伝播が遅延するパスとなり得るパスの始点ラッチ、終点ラッチに関するデータのペアを複数選択し、
選択されたペアの始点ラッチから終点ラッチまでの間の回路に対し統計的タイミング解析を行い、前記ペアごとのディレイ分布をそれぞれ算出し、
算出されたディレイ分布それぞれの偏差に基づき、選択された複数のペアをソートする処理をコンピュータが実行し、
前記始点ラッチと終点ラッチに関するデータを取得するステップは、ソートされたペアの順で、始点ラッチと終点ラッチに関するデータをそれぞれ取得し、
前記判定するステップは、取得されたペアの順で、該パスの始点ラッチで発生した信号変化が終点ラッチまで伝播できるか否かの判定をそれぞれ行うことを特徴とする付記5記載のディレイ解析方法。
(付記7) 前記始点ラッチ、終点ラッチに関するデータのペアを複数選択するステップは、パスを構成する要素それぞれの遅延を、それぞれ一つの値で表し、該値それぞれを前記始点ラッチから前記終点ラッチに向けて累積計算を行うことでパスの遅延値を算出する静的タイミング解析を用いて、前記集積回路内で信号伝播が遅延するパスとなり得るパスを選択し、該パスの始点ラッチ、終点ラッチのペアを選択することを特徴とする付記6記載のディレイ解析方法。
(付記8) 前記ソートするステップは、算出されたディレイ分布それぞれの標準偏差を算出し、該標準偏差に所定の定数を積算して得た値の大きい方からソートすることを特徴とする付記6記載のディレイ解析方法。

(付記9) 集積回路上で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチに関するデータを取得し、
前記始点ラッチから前記終点ラッチまでの間のピンごとに仮定故障を設定し、前記始点ラッチで発生した信号変化が前記終点ラッチまで伝播できるか否かの判定を、前記設定ごとに行い、
パスを構成する要素それぞれの遅延を確率密度関数で表し、確率密度関数で表された分布を前記始点ラッチから前記終点ラッチに向けて累積計算することで、パスのディレイ分布を算出する統計的タイミング解析を、信号変化が伝播できないと判定された場合のピンでは分布を後段に累積計算しないように行う処理を、コンピュータに実行させるディレイ解析プログラム。
(付記10) さらに、
記憶装置に保持された集積回路の設計データに基づき、前記集積回路内で信号伝播が遅延するパスとなり得るパスの始点ラッチ、終点ラッチに関するデータのペアを複数選択し、
選択されたペアの始点ラッチから終点ラッチまでの間の回路に対し統計的タイミング解析を行い、前記ペアごとのディレイ分布をそれぞれ算出し、
算出されたディレイ分布それぞれの偏差に基づき、選択された複数のペアをソートする処理をコンピュータに実行させ、
前記始点ラッチと終点ラッチに関するデータを取得するステップは、ソートされたペアの順で、始点ラッチと終点ラッチに関するデータをそれぞれ取得し、
前記判定するステップは、取得されたペアの順で、該パスの始点ラッチで発生した信号変化が終点ラッチまで伝播できるか否かの判定をそれぞれ行うことを特徴とする付記9記載のディレイ解析プログラム。
(付記11) 前記始点ラッチ、終点ラッチに関するデータのペアを複数選択するステップは、パスを構成する要素それぞれの遅延を、それぞれ一つの値で表し、該値それぞれを前記始点ラッチから前記終点ラッチに向けて累積計算を行うことでパスの遅延値を算出する静的タイミング解析を用いて、前記集積回路内で信号伝播が遅延するパスとなり得るパスを選択し、該パスの始点ラッチ、終点ラッチのペアを選択することを特徴とする付記10記載のディレイ解析プログラム。
(付記12) 前記ソートするステップは、算出されたディレイ分布それぞれの標準偏差を算出し、該標準偏差に所定の定数を積算して得た値の大きい方からソートすることを特徴とする付記10記載のディレイ解析プログラム。
As mentioned above, according to this Embodiment, the technical idea shown with the following additional remarks is disclosed.
(Additional remark 1) The acquisition part which acquires the data regarding the starting point latch of the path | route which can become a path | route which signal propagation delays on an integrated circuit, and an end point latch,
A determination unit configured to set a hypothetical failure for each pin between the start point latch and the end point latch and determine whether a signal change generated in the start point latch can be propagated to the end point latch; ,
Statistical timing for calculating the delay distribution of the path by expressing the delay of each element constituting the path as a probability density function and cumulatively calculating the distribution expressed by the probability density function from the start point latch to the end point latch. An analysis unit that performs an analysis so that the distribution is not cumulatively calculated in the subsequent stage in the pin when it is determined that the signal change cannot be propagated by the determination unit;
A delay analysis apparatus.
(Additional remark 2) Based on the design data of the integrated circuit hold | maintained at the memory | storage device, the pair selection part which selects two or more pairs of the data regarding the start point latch of the path | route which can become a path | pass which signal propagation delays in the said integrated circuit ,
Statistical timing analysis is performed on a circuit between a start point latch and an end point latch of a pair selected by the pair selection unit, and a statistical timing analysis unit for calculating a delay distribution for each pair, and
A sorting unit that sorts a plurality of pairs selected by the pair selection unit based on a deviation of each delay distribution calculated by the statistical timing analysis unit;
The acquisition unit acquires data on a start point latch and an end point latch in the order of the pairs sorted by the sorting unit,
The determination unit according to claim 1, wherein the determination unit determines whether or not a signal change generated in the start point latch of the path can be propagated to the end point latch in the order of the pairs acquired by the acquisition unit. Delay analyzer.
(Additional remark 3) The said pair selection part represents each delay of each element which comprises a path | pass with one value, respectively, and delays a path | pass by performing each cumulative value calculation from the said start point latch toward the said end point latch. Supplementary note 2 characterized by selecting a path that can be a path in which signal propagation is delayed in the integrated circuit by using a static timing analysis for calculating a value, and selecting a pair of start and end latches of the path. The delay analysis device described.
(Additional remark 4) The said sort part calculates the standard deviation of each delay distribution calculated by the said statistical timing analysis part, and sorts from the one with the larger value obtained by integrating | accumulating a predetermined constant to this standard deviation. The delay analysis apparatus according to appendix 2, characterized by:
(Appendix 5) The computer
Get data about the start and end latches of the path that can be a path that delays signal propagation on the integrated circuit,
A hypothetical failure is set for each pin between the start point latch and the end point latch, and whether or not a signal change generated in the start point latch can be propagated to the end point latch is determined for each setting.
Statistical timing for calculating the delay distribution of the path by expressing the delay of each element constituting the path as a probability density function and cumulatively calculating the distribution expressed by the probability density function from the start point latch to the end point latch. A delay analysis method that executes a process of performing analysis so that the distribution is not cumulatively calculated in the subsequent stage at the pin when it is determined that the signal change cannot be propagated.
(Appendix 6) Furthermore,
Based on the design data of the integrated circuit held in the storage device, a plurality of pairs of data relating to the start point latch and end point latch of the path that can be a path in which signal propagation is delayed in the integrated circuit,
Statistical timing analysis is performed on the circuit between the start point latch and end point latch of the selected pair, and the delay distribution for each pair is calculated,
Based on the deviation of each calculated delay distribution, the computer executes a process of sorting the selected pairs,
The step of obtaining data relating to the start point latch and the end point latch obtains data relating to the start point latch and the end point latch in the order of the sorted pairs,
6. The delay analysis method according to claim 5, wherein the determining step determines whether or not a signal change generated in the start point latch of the path can propagate to the end point latch in the order of the acquired pair. .
(Supplementary note 7) The step of selecting a plurality of pairs of data related to the start point latch and end point latch represents the delay of each element constituting the path by one value, and each of the values is transferred from the start point latch to the end point latch. By using static timing analysis that calculates the delay value of the path by performing cumulative calculation toward the path, a path that can be a signal propagation delay in the integrated circuit is selected, and the start point latch and end point latch of the path are selected. The delay analysis method according to appendix 6, wherein a pair is selected.
(Additional remark 8) The said sorting step calculates the standard deviation of each calculated delay distribution, and sorts from the one with the larger value obtained by integrating | accumulating a predetermined constant to this standard deviation. The delay analysis method described.

(Supplementary Note 9) Acquire data on a start point latch and an end point latch of a path that can be a path in which signal propagation is delayed on an integrated circuit
A hypothetical failure is set for each pin between the start point latch and the end point latch, and whether or not a signal change generated in the start point latch can be propagated to the end point latch is determined for each setting.
Statistical timing for calculating the delay distribution of the path by expressing the delay of each element constituting the path as a probability density function and cumulatively calculating the distribution expressed by the probability density function from the start point latch to the end point latch. A delay analysis program that causes a computer to execute a process of performing an analysis so that the distribution is not cumulatively calculated at a later stage when it is determined that a signal change cannot be propagated.
(Appendix 10) Furthermore,
Based on the design data of the integrated circuit held in the storage device, a plurality of pairs of data relating to the start point latch and end point latch of the path that can be a path in which signal propagation is delayed in the integrated circuit,
Statistical timing analysis is performed on the circuit between the start point latch and end point latch of the selected pair, and the delay distribution for each pair is calculated,
Based on the deviation of each calculated delay distribution, let the computer execute the process of sorting the selected multiple pairs,
The step of obtaining data relating to the start point latch and the end point latch obtains data relating to the start point latch and the end point latch in the order of the sorted pairs,
The delay analysis program according to claim 9, wherein the determining step determines whether or not the signal change generated in the start point latch of the path can propagate to the end point latch in the order of the acquired pair. .
(Supplementary Note 11) The step of selecting a plurality of pairs of data relating to the start point latch and end point latch represents the delay of each element constituting the path by one value, and each of the values is transferred from the start point latch to the end point latch. By using static timing analysis that calculates the delay value of the path by performing cumulative calculation toward the path, a path that can be a signal propagation delay in the integrated circuit is selected, and the start point latch and end point latch of the path are selected. The delay analysis program according to appendix 10, wherein a pair is selected.
(Additional remark 12) The said sorting step calculates the standard deviation of each calculated delay distribution, and sorts from the one with the larger value obtained by adding a predetermined constant to this standard deviation. The delay analysis program described.

1 セルライブラリ入力部、2 設計データ入力部、3、8、16 記憶部、4、9 メモリデータ入力部、5 静的タイミング解析部、6 クリティカルパス出力部、7 クリティカルパス選択部、10 統計的タイミング解析部、11 ディレイ分布グラフ出力部、12 ディレイ分布グラフ入力部、13 試験対象パス選択部、14 試験対象パス出力部、15 試験対象パス入力部、17 仮定故障設定部、18 ディレイテスト生成部、19 フォールスパス情報出力部、20 タイミング歩留り計算部、51 セルライブラリ、52 プロセッサ設計データ、53 クリティカルパス情報、54 各パスのディレイ分布グラフ、55 試験対象パス情報、56 フォールスパス情報、57 集積回路のタイミング歩留まり分布、61 メモリ、100 ディレイ解析装置、101 ペア選択部、102 取得部、103 判定部、104 解析部、105 ソート部。   1 cell library input unit, 2 design data input unit, 3, 8, 16 storage unit, 4, 9 memory data input unit, 5 static timing analysis unit, 6 critical path output unit, 7 critical path selection unit, 10 statistical Timing analysis unit, 11 delay distribution graph output unit, 12 delay distribution graph input unit, 13 test target path selection unit, 14 test target path output unit, 15 test target path input unit, 17 hypothetical failure setting unit, 18 delay test generation unit , 19 False path information output unit, 20 Timing yield calculation unit, 51 Cell library, 52 Processor design data, 53 Critical path information, 54 Delay distribution graph of each path, 55 Test target path information, 56 False path information, 57 Integrated circuit Timing yield distribution, 61 memory, 1 0 Delay analyzer 101 pair selection unit, 102 obtaining unit, 103 determination unit, 104 analyzer, 105 sorter.

Claims (7)

集積回路上で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチに関するデータを取得する取得部と、
前記始点ラッチから前記終点ラッチまでの間のピンごとに仮定故障を設定し、前記始点ラッチで発生した信号変化が前記終点ラッチまで伝播できるか否かの判定を、前記設定ごとに行う判定部と、
パスを構成する要素それぞれの遅延を確率密度関数で表し、確率密度関数で表された分布を前記始点ラッチから前記終点ラッチに向けて累積計算することで、パスのディレイ分布を算出する統計的タイミング解析を、前記判定部によって信号変化が伝播できないと判定された場合のピンでは分布を後段に累積計算しないように行う解析部と、
記憶装置に保持された集積回路の設計データに基づき、前記集積回路内で信号伝播が遅延するパスとなり得るパスの始点ラッチ、終点ラッチに関するデータのペアを複数選択するペア選択部と、
前記ペア選択部によって選択されたペアの始点ラッチから終点ラッチまでの間の回路に対し統計的タイミング解析を行い、前記ペアごとのディレイ分布をそれぞれ算出する統計的タイミング解析部と、
前記統計的タイミング解析部によって算出されたディレイ分布それぞれの偏差に基づき、前記ペア選択部によって選択された複数のペアをソートするソート部と、を有し、
前記取得部は、前記ソート部によってソートされたペアの順で、始点ラッチと終点ラッチに関するデータをそれぞれ取得し、
前記判定部は、前記取得部によって取得されたペアの順で、該パスの始点ラッチで発生した信号変化が終点ラッチまで伝播できるか否かの判定をそれぞれ行うことを特徴とするディレイ解析装置。
An acquisition unit for acquiring data related to a start point latch and an end point latch of a path that can be a path in which signal propagation is delayed on the integrated circuit;
A determination unit configured to set a hypothetical failure for each pin between the start point latch and the end point latch and determine whether a signal change generated in the start point latch can be propagated to the end point latch; ,
Statistical timing for calculating the delay distribution of the path by expressing the delay of each element constituting the path as a probability density function and cumulatively calculating the distribution expressed by the probability density function from the start point latch to the end point latch. An analysis unit that performs an analysis so that the distribution is not cumulatively calculated in the subsequent stage in the pin when it is determined that the signal change cannot be propagated by the determination unit;
A pair selection unit that selects a plurality of pairs of data related to the start point latch and end point latch of a path that can be a path in which signal propagation is delayed in the integrated circuit based on design data of the integrated circuit held in the storage device;
Statistical timing analysis is performed on a circuit between a start point latch and an end point latch of a pair selected by the pair selection unit, and a statistical timing analysis unit for calculating a delay distribution for each pair, and
A sorting unit that sorts a plurality of pairs selected by the pair selection unit based on a deviation of each delay distribution calculated by the statistical timing analysis unit;
The acquisition unit acquires data on a start point latch and an end point latch in the order of the pairs sorted by the sorting unit,
The delay analysis apparatus according to claim 1, wherein the determination unit determines whether or not a signal change generated in a start point latch of the path can propagate to an end point latch in the order of the pairs acquired by the acquisition unit.
前記ペア選択部は、パスを構成する要素それぞれの遅延を、それぞれ一つの値で表し、該値それぞれを前記始点ラッチから前記終点ラッチに向けて累積計算を行うことでパスの遅延値を算出する静的タイミング解析を用いて、前記集積回路内で信号伝播が遅延するパスとなり得るパスを選択し、該パスの始点ラッチ、終点ラッチのペアを選択することを特徴とする請求項記載のディレイ解析装置。 The pair selection unit represents a delay value of each element constituting a path by a single value, and calculates a delay value of the path by performing cumulative calculation from the start point latch to the end point latch. using static timing analysis, the integrated circuit in the signal propagation select the path that can be a path delay, according to claim 1, wherein selecting a start latch, the end point latch pair of the path delay Analysis device. 前記ソート部は、前記統計的タイミング解析部によって算出されたディレイ分布それぞれの標準偏差を算出し、該標準偏差に所定の定数を積算して得た値の大きい方からソートすることを特徴とする請求項または請求項記載のディレイ解析装置。 The sorting unit calculates a standard deviation of each delay distribution calculated by the statistical timing analysis unit, and sorts from a larger value obtained by adding a predetermined constant to the standard deviation. The delay analysis apparatus according to claim 1 or 2 . コンピュータが、
集積回路上で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチに関するデータを取得し、
前記始点ラッチから前記終点ラッチまでの間のピンごとに仮定故障を設定し、前記始点ラッチで発生した信号変化が前記終点ラッチまで伝播できるか否かの判定を、前記設定ごとに行い、
パスを構成する要素それぞれの遅延を確率密度関数で表し、確率密度関数で表された分布を前記始点ラッチから前記終点ラッチに向けて累積計算することで、パスのディレイ分布を算出する統計的タイミング解析を、信号変化が伝播できないと判定された場合のピンでは分布を後段に累積計算しないように行い、
記憶装置に保持された集積回路の設計データに基づき、前記集積回路内で信号伝播が遅延するパスとなり得るパスの始点ラッチ、終点ラッチに関するデータのペアを複数選択し、
選択されたペアの始点ラッチから終点ラッチまでの間の回路に対し統計的タイミング解析を行い、前記ペアごとのディレイ分布をそれぞれ算出し、
算出されたディレイ分布それぞれの偏差に基づき、選択された複数のペアをソートする処理を実行し、
前記始点ラッチと終点ラッチに関するデータを取得するステップは、ソートされたペアの順で、始点ラッチと終点ラッチに関するデータをそれぞれ取得し、
前記判定するステップは、取得されたペアの順で、該パスの始点ラッチで発生した信号変化が終点ラッチまで伝播できるか否かの判定をそれぞれ行うことを特徴とするディレイ解析方法。
Computer
Get data about the start and end latches of the path that can be a path that delays signal propagation on the integrated circuit,
A hypothetical failure is set for each pin between the start point latch and the end point latch, and whether or not a signal change generated in the start point latch can be propagated to the end point latch is determined for each setting.
Statistical timing for calculating the delay distribution of the path by expressing the delay of each element constituting the path as a probability density function and cumulatively calculating the distribution expressed by the probability density function from the start point latch to the end point latch. analyzing, by a pin when the signal change is determined to not be propagated have lines so as not to calculate the cumulative distribution downstream,
Based on the design data of the integrated circuit held in the storage device, a plurality of pairs of data relating to the start point latch and end point latch of the path that can be a path in which signal propagation is delayed in the integrated circuit,
Statistical timing analysis is performed on the circuit between the start point latch and end point latch of the selected pair, and the delay distribution for each pair is calculated,
Based on the deviation of each calculated delay distribution , execute the process of sorting the selected multiple pairs ,
The step of obtaining data relating to the start point latch and the end point latch obtains data relating to the start point latch and the end point latch in the order of the sorted pairs,
The delay analysis method according to any one of claims 1 to 4, wherein the determining step determines whether or not a signal change generated in the start point latch of the path can propagate to the end point latch in the order of the acquired pair .
前記始点ラッチ、終点ラッチに関するデータのペアを複数選択するステップは、パスを構成する要素それぞれの遅延を、それぞれ一つの値で表し、該値それぞれを前記始点ラッチから前記終点ラッチに向けて累積計算を行うことでパスの遅延値を算出する静的タイミング解析を用いて、前記集積回路内で信号伝播が遅延するパスとなり得るパスを選択し、該パスの始点ラッチ、終点ラッチのペアを選択することを特徴とする請求項記載のディレイ解析方法。 The step of selecting a plurality of pairs of data relating to the start point latch and end point latch represents the delay of each element constituting the path by one value, and cumulatively calculates each of the values from the start point latch to the end point latch. By using static timing analysis that calculates the delay value of the path by performing the above, a path that can be a signal propagation delay in the integrated circuit is selected, and a pair of start and end latches of the path is selected. The delay analysis method according to claim 4, wherein: 集積回路上で信号伝播が遅延するパスとなり得るパスの始点ラッチと終点ラッチに関するデータを取得し、
前記始点ラッチから前記終点ラッチまでの間のピンごとに仮定故障を設定し、前記始点ラッチで発生した信号変化が前記終点ラッチまで伝播できるか否かの判定を、前記設定ごとに行い、
パスを構成する要素それぞれの遅延を確率密度関数で表し、確率密度関数で表された分布を前記始点ラッチから前記終点ラッチに向けて累積計算することで、パスのディレイ分布を算出する統計的タイミング解析を、信号変化が伝播できないと判定された場合のピンでは分布を後段に累積計算しないように行い、
記憶装置に保持された集積回路の設計データに基づき、前記集積回路内で信号伝播が遅延するパスとなり得るパスの始点ラッチ、終点ラッチに関するデータのペアを複数選択し、
選択されたペアの始点ラッチから終点ラッチまでの間の回路に対し統計的タイミング解析を行い、前記ペアごとのディレイ分布をそれぞれ算出し、
算出されたディレイ分布それぞれの偏差に基づき、選択された複数のペアをソートする処理を、コンピュータに実行させ
前記始点ラッチと終点ラッチに関するデータを取得するステップは、ソートされたペアの順で、始点ラッチと終点ラッチに関するデータをそれぞれ取得し、
前記判定するステップは、取得されたペアの順で、該パスの始点ラッチで発生した信号変化が終点ラッチまで伝播できるか否かの判定をそれぞれ行うことを特徴とするディレイ解析プログラム。
Get data about the start and end latches of the path that can be a path that delays signal propagation on the integrated circuit,
A hypothetical failure is set for each pin between the start point latch and the end point latch, and whether or not a signal change generated in the start point latch can be propagated to the end point latch is determined for each setting.
Statistical timing for calculating the delay distribution of the path by expressing the delay of each element constituting the path as a probability density function and cumulatively calculating the distribution expressed by the probability density function from the start point latch to the end point latch. analyzing, by a pin when the signal change is determined to not be propagated have lines so as not to calculate the cumulative distribution downstream,
Based on the design data of the integrated circuit held in the storage device, a plurality of pairs of data relating to the start point latch and end point latch of the path that can be a path in which signal propagation is delayed in the integrated circuit,
Statistical timing analysis is performed on the circuit between the start point latch and end point latch of the selected pair, and the delay distribution for each pair is calculated,
Based on the deviation of each calculated delay distribution, let the computer execute the process of sorting the selected multiple pairs ,
The step of obtaining data relating to the start point latch and the end point latch obtains data relating to the start point latch and the end point latch in the order of the sorted pairs,
The delay analysis program characterized in that the determination step determines whether or not the signal change generated in the start point latch of the path can propagate to the end point latch in the order of the acquired pair .
前記始点ラッチ、終点ラッチに関するデータのペアを複数選択するステップは、パスを構成する要素それぞれの遅延を、それぞれ一つの値で表し、該値それぞれを前記始点ラッチから前記終点ラッチに向けて累積計算を行うことでパスの遅延値を算出する静的タイミング解析を用いて、前記集積回路内で信号伝播が遅延するパスとなり得るパスを選択し、該パスの始点ラッチ、終点ラッチのペアを選択することを特徴とする請求項記載のディレイ解析プログラム。 The step of selecting a plurality of pairs of data relating to the start point latch and end point latch represents the delay of each element constituting the path by one value, and cumulatively calculates each of the values from the start point latch to the end point latch. By using static timing analysis that calculates the delay value of the path by performing the above, a path that can be a signal propagation delay in the integrated circuit is selected, and a pair of start and end latches of the path is selected. The delay analysis program according to claim 6 .
JP2009231723A 2009-10-05 2009-10-05 Delay analysis apparatus, delay analysis method, and delay analysis program Expired - Fee Related JP5381591B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009231723A JP5381591B2 (en) 2009-10-05 2009-10-05 Delay analysis apparatus, delay analysis method, and delay analysis program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009231723A JP5381591B2 (en) 2009-10-05 2009-10-05 Delay analysis apparatus, delay analysis method, and delay analysis program

Publications (2)

Publication Number Publication Date
JP2011081507A JP2011081507A (en) 2011-04-21
JP5381591B2 true JP5381591B2 (en) 2014-01-08

Family

ID=44075517

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009231723A Expired - Fee Related JP5381591B2 (en) 2009-10-05 2009-10-05 Delay analysis apparatus, delay analysis method, and delay analysis program

Country Status (1)

Country Link
JP (1) JP5381591B2 (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002279012A (en) * 2000-11-22 2002-09-27 Matsushita Electric Ind Co Ltd Method for calculating delay distribution, method for evaluating circuit and method for extracting false path
JP4275659B2 (en) * 2005-09-26 2009-06-10 富士通株式会社 Delay analysis program, delay analysis apparatus, and delay analysis method
JP4774294B2 (en) * 2005-12-26 2011-09-14 富士通株式会社 Integrated circuit layout apparatus, method and program
JP4773903B2 (en) * 2006-07-05 2011-09-14 富士通株式会社 A method to evaluate pessimistic errors in statistical timing analysis

Also Published As

Publication number Publication date
JP2011081507A (en) 2011-04-21

Similar Documents

Publication Publication Date Title
US7117466B2 (en) System and method for correlated process pessimism removal for static timing analysis
US8407021B2 (en) Delay analysis device, delay analysis method, and delay analysis program
US20070277144A1 (en) Conversion of circuit description to an abstract model of the circuit
US20060155521A1 (en) Method and apparatus for supporting verification, and computer product
US7640476B2 (en) Method and system for automated path delay test vector generation from functional tests
JP2005092885A (en) System and method for statistical timing analysis of digital circuits
US11755797B2 (en) System and method for predicting performance, power and area behavior of soft IP components in integrated circuit design
US11416662B1 (en) Estimating diagnostic coverage in IC design based on static COI analysis of gate-level netlist and RTL fault simulation
US8813006B1 (en) Accelerated characterization of circuits for within-die process variations
JPWO2010092825A1 (en) Circuit analysis method
US11574101B2 (en) Techniques for providing optimizations based on categories of slack in timing paths
JP5625297B2 (en) Delay test apparatus, delay test method, and delay test program
US11593543B2 (en) Glitch power analysis with register transfer level vectors
US6725187B1 (en) Latch inference using dataflow analysis
US20030115526A1 (en) Method of optimizing test development for digital circuits
Komari et al. A novel simulation based approach for trace signal selection in silicon debug
US20100269003A1 (en) Delay fault diagnosis program
JP5381591B2 (en) Delay analysis apparatus, delay analysis method, and delay analysis program
US11263376B1 (en) System and method for fixing unknowns when simulating nested clock gaters
US8468409B2 (en) Speed-path debug using at-speed scan test patterns
CN117350208A (en) Method and apparatus for checking performance of sequential logic element
US6546514B1 (en) Integrated circuit analysis and design involving defective circuit element replacement on a netlist
Kumar et al. High-quality transition fault ATPG for small delay defects
Lin et al. Speed binning with high-quality structural patterns from functional timing analysis (FTA)
Abolmaali et al. An efficient false path-aware heuristic critical path selection method with high coverage of the process variation space

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120605

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130423

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130624

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130916

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees