JP5244509B2 - Gated clock cell and scan test control circuit - Google Patents

Gated clock cell and scan test control circuit Download PDF

Info

Publication number
JP5244509B2
JP5244509B2 JP2008223016A JP2008223016A JP5244509B2 JP 5244509 B2 JP5244509 B2 JP 5244509B2 JP 2008223016 A JP2008223016 A JP 2008223016A JP 2008223016 A JP2008223016 A JP 2008223016A JP 5244509 B2 JP5244509 B2 JP 5244509B2
Authority
JP
Japan
Prior art keywords
scan
clock
power control
terminal
cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008223016A
Other languages
Japanese (ja)
Other versions
JP2010060289A (en
Inventor
賀章 福井
潤 松嶋
浩幸 岩田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2008223016A priority Critical patent/JP5244509B2/en
Publication of JP2010060289A publication Critical patent/JP2010060289A/en
Application granted granted Critical
Publication of JP5244509B2 publication Critical patent/JP5244509B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Description

本発明は、混載型LSIなどのメモリのスキャンテスト用のスキャンテスト制御回路、特に該スキャンテスト制御回路に内包されるゲーテッドクロックセルに関する。   The present invention relates to a scan test control circuit for a scan test of a memory such as an embedded LSI, and more particularly to a gated clock cell included in the scan test control circuit.

スキャンテストはメモリ回路の実設計で定常的に利用されている。典型的なスキャンテストではメモリ回路を構成する全ての要素、たとえばフリップフロップやマスタ=スレイブ型ラッチ、をスキャンして動作の確認を行う。近年では、LSI回路設計者が設計製造工程においてスキャンテストを行うためのスキャンテスト制御回路を事前に設計し、スキャンテスト制御回路がLSIの機能の一部としてLSI上に実装されている。   Scan tests are regularly used in the actual design of memory circuits. In a typical scan test, operation is confirmed by scanning all elements constituting the memory circuit, such as flip-flops and master = slave latches. In recent years, an LSI circuit designer designs in advance a scan test control circuit for performing a scan test in a design and manufacturing process, and the scan test control circuit is mounted on the LSI as a part of the function of the LSI.

スキャンテストには、実際の使用時と異なる動作クロックを用いる。また、工程上の都合もしくは負荷容量の調整の要請から所定の工程毎に分割してスキャンテストを実行する必要がある場合が往々にしてある。このため、各メモリ要素に対してクロックツリー毎にテスト用クロックの供給を行うためのゲーテッドクロック(Gated Clock)回路は実際上全てのテスト回路に必須のものである。   In the scan test, an operation clock different from that in actual use is used. Further, there are often cases where it is necessary to divide the scan process into predetermined processes and to execute the scan test because of process convenience or a request for adjustment of load capacity. For this reason, a gated clock circuit for supplying a test clock to each memory element for each clock tree is practically essential for all test circuits.

従来の技術としては、ITC01 An Analysis of Power Reduction Techniques in Scan Testing(非特許文献1)で開示されたものがあげられる。これは、クロックツリー毎にスキャンチェーンのクロック供給デコーダを付加し、各クロックツリーのテスト時に、所定のクロックツリーのクロック供給を停止する。ここでスキャンチェーンとはスキャンテストを行う際のテスト実施単位のことをいう。   Examples of conventional techniques include those disclosed in ITC01 An Analysis of Power Reduction Techniques in Scan Testing (Non-patent Document 1). This adds a scan chain clock supply decoder to each clock tree, and stops the clock supply of a predetermined clock tree when testing each clock tree. Here, the scan chain refers to a test execution unit when performing a scan test.

特開2006−84314号公報(特許文献1)では、スキャンテスト時にスキャンテストの対象とならないハードマクロへのクロック供給を停止する技術が開示されている。   Japanese Patent Laying-Open No. 2006-84314 (Patent Document 1) discloses a technique for stopping clock supply to a hard macro that is not a target of a scan test during a scan test.

特開平5−264664号公報(特許文献2)では、バウンダリスキャン回路で機能毎に設定レジスタの機能を停止する。   In Japanese Patent Laid-Open No. 5-264664 (Patent Document 2), the function of the setting register is stopped for each function in the boundary scan circuit.

このようにスキャンテストの実施を踏まえ回路を分割して設計する、特にクロックツリー毎に回路を分割してテストを行うようにすることで低消費電力の実現を図っている。
特開2006−84314号公報 特開平5−264664号公報 ITC01 An Analysis of Power Reduction Techniques in Scan Testing
In this way, the circuit is divided and designed based on the execution of the scan test. In particular, the circuit is divided for each clock tree and the test is performed to achieve low power consumption.
JP 2006-84314 A JP-A-5-264664 ITC01 An Analysis of Power Reduction Techniques in Scan Testing

しかし、上記文献で開示された技術にも問題がある。   However, the technique disclosed in the above document has a problem.

非特許文献1記載の発明は、クロックチェ−ンのクロック供給をデコーダによって制限している。しかし、消費電力を少なくするためにクロック信号には既にゲーテッドクロック回路が入っている場合が多い。通常のクロック制御に加え、スキャンテストのためのデコーダを追加した場合、クロックレイテンシが大きくなる問題がある。   In the invention described in Non-Patent Document 1, the clock supply of the clock chain is limited by the decoder. However, in many cases, a gated clock circuit is already included in the clock signal in order to reduce power consumption. When a decoder for a scan test is added in addition to normal clock control, there is a problem that the clock latency increases.

特許文献1記載の方法では、汎用的なハードマクロの検査を行わないことを目的としており、その他のメモリに対してのスキャンテストに良い影響を及ぼすことはない。   The method described in Patent Document 1 aims at not performing a general-purpose hard macro inspection, and does not have a positive effect on a scan test for other memories.

また、特許文献2は、バウンダリスキャンに特化しており、メモリに対するスキャンテストにおいて汎用できるものではない。   Patent Document 2 specializes in boundary scan, and cannot be used in general in a scan test for a memory.

本発明の目的は、一般的にはクロックの停止ができないスキャン時に、部分的に動作クロックを停止することで、テスト電力が大きくなること及びテスト期間の長期化を回避する手段を提供することにある。   An object of the present invention is to provide means for avoiding an increase in test power and a prolonged test period by partially stopping an operation clock during a scan in which the clock cannot be stopped in general. is there.

本発明の前記並びにその他の目的と新規な特徴は、本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次の通りである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

本発明の代表的な実施の形態に関わるスキャン電力制御端子つきゲーテッドクロックセルは、クロックイネーブル信号と、スキャンモード信号と、スキャン電力制御信号と、動作クロックと、が入力され、このクロックイネーブル信号及びスキャンモード信号のいずれかが「1」であっても、スキャン電力制御信号が「0」であれば入力された動作クロックの出力を行わないことを特徴とする。   A gated clock cell with a scan power control terminal according to a representative embodiment of the present invention receives a clock enable signal, a scan mode signal, a scan power control signal, and an operation clock. Even if any one of the scan mode signals is “1”, if the scan power control signal is “0”, the input operation clock is not output.

本発明の代表的な実施の形態に関わる他のスキャン電力制御端子つきゲーテッドクロックセルは、ラッチと、第1ANDゲートと、第2ANDゲート、を有し、動作クロック及びスキャン電力制御信号が入力され、第2ANDゲートはラッチの出力と、スキャン電力制御信号の論理積を取り、第1ANDゲートは第2ANDゲートの出力と動作クロックの論理積を取って出力することを特徴とする。   Another gated clock cell with a scan power control terminal according to a representative embodiment of the present invention includes a latch, a first AND gate, and a second AND gate, and an operation clock and a scan power control signal are input thereto. The second AND gate takes the logical product of the latch output and the scan power control signal, and the first AND gate takes the logical product of the output of the second AND gate and the operation clock and outputs the logical product.

本発明の代表的な実施の形態に関わる他のスキャン電力制御端子つきゲーテッドクロックセルは、ラッチと、第1ANDゲートと、第2ANDゲート、を有し、動作クロック及びスキャン電力制御信号が入力され、第2ANDゲートはスキャン電力制御信号及び他の信号との論理積を取り、ラッチは第2ANDゲートの出力を動作クロックの反転信号でラッチし、第1ANDゲートはラッチの出力及び動作クロックの論理積を取ることを特徴とする。   Another gated clock cell with a scan power control terminal according to a representative embodiment of the present invention includes a latch, a first AND gate, and a second AND gate, and an operation clock and a scan power control signal are input thereto. The second AND gate takes the logical product of the scan power control signal and other signals, the latch latches the output of the second AND gate with the inverted signal of the operation clock, and the first AND gate takes the logical product of the output of the latch and the operation clock. It is characterized by taking.

本発明の代表的な実施の形態に関わる他のスキャン電力制御端子つきゲーテッドクロックセルは、ラッチと、第1ANDゲートと、第2ANDゲート、を有し、クロックイネーブル信号と、スキャンモード信号と、動作クロック及びスキャン電力制御信号が入力され、ラッチはクロックイネーブル信号を動作クロックの反転信号でラッチし、第2ANDゲートは、ラッチの出力とスキャンモード信号の論理和とスキャン電力制御信号の論理積を取り、第1ANDゲートは第2ANDゲートの出力と動作クロックの論理積を取って出力することを特徴とする。   Another gated clock cell with a scan power control terminal according to an exemplary embodiment of the present invention includes a latch, a first AND gate, and a second AND gate, and includes a clock enable signal, a scan mode signal, and an operation. The clock and scan power control signal are input, the latch latches the clock enable signal with the inverted signal of the operation clock, and the second AND gate takes the logical product of the output of the latch and the scan mode signal and the scan power control signal. The first AND gate outputs the logical product of the output of the second AND gate and the operation clock.

本発明の代表的な実施の形態に関わるスキャンテスト制御回路は、上述のスキャン電力制御端子つきゲーテッドクロックセルを用いた第1のスキャン電力制御端子つきゲーテッドセルと、上述のスキャン電力制御端子つきゲーテッドクロックセルを用いた第2のスキャン電力制御端子つきゲーテッドセルと、を含み、第1のスキャン電力制御端子つきゲーテッドセルは検査対象のフリップフロップ群の一部である第1のスキャンチェーンにたいしてのみ動作クロックを供給し、第2のスキャン電力制御端子つきゲーテッドセルは検査対象のフリップフロップ群の他の一部である第2のスキャンチェーンにたいしてのみ動作クロックを供給することを特徴とする。   A scan test control circuit according to a representative embodiment of the present invention includes a gated cell with a first scan power control terminal using the above-described gated clock cell with a scan power control terminal, and a gated with the above scan power control terminal. A gated cell with a second scan power control terminal using a clock cell, and the gated cell with the first scan power control terminal operates only on the first scan chain that is part of the flip-flop group to be tested The gated cell with the second scan power control terminal supplies a clock, and supplies an operation clock only to the second scan chain which is another part of the flip-flop group to be inspected.

本発明の代表的な実施の形態に関わるスキャンテスト制御回路のRTLレベルの設計方法は、2以上のスキャン電力制御端子つきゲーテッドクロックセル及びスキャン電力制御回路を含むスキャンテスト制御回路を対象とし、スキャン電力制御端子のないゲーテッドクロック論理を推定しクロックツリー上に配置するゲーテッドクロック論理推定ステップと、スキャン電力制御端子つきゲーテッドクロックセル論理の解析を行うゲーテッドクロックセル論理解析ステップと、ゲーテッドクロック論理推定ステップで推定したスキャン電力制御端子のないゲーテッドクロック論理をゲーテッドクロックセル論理解析ステップにおいて推定したスキャン電力制御端子つきゲーテッドクロックセル論理で置き換えるゲーテッドクロック論理挿入ステップと、スキャン電力制御端子つきゲーテッドクロックセル論理で置き換えたクロックツリーの構成の再評価を行うゲーテッドクロックツリー解析ステップと、要求分解能数を決定する分解能数決定ステップと、分解能数決定ステップで決定された分解能の前記スキャン電力制御回路を挿入するスキャン電力制御回路挿入ステップと、2以上スキャン電力制御端子つきゲーテッドクロックセルに接続されたそれぞれのクロックツリーに接続されるフリップフロップの情報を整理し、グループ化を実行するフリップフロップグルーピングステップと、ゲーテッドクロックツリー解析ステップの結果とフリップフロップグルーピングステップの結果に基づきスキャンチェーンを組み上げるスキャンチェーン構成ステップと、を含むことを特徴とする。   An RTL level design method of a scan test control circuit according to a representative embodiment of the present invention is directed to a scan test control circuit including a gated clock cell with two or more scan power control terminals and a scan power control circuit. A gated clock logic estimation step that estimates gated clock logic without a power control terminal and places it on the clock tree, a gated clock cell logic analysis step that analyzes gated clock cell logic with a scan power control terminal, and a gated clock logic estimation step The gated clock logic insertion step in which the gated clock logic without the scan power control terminal estimated in step 1 is replaced with the gated clock cell logic with the scan power control terminal estimated in the gated clock cell logic analysis step. And a gated clock tree analysis step that re-evaluates the configuration of the clock tree replaced with gated clock cell logic with a scan power control terminal, a resolution number determination step that determines the required resolution number, and a resolution number determination step. A scan power control circuit insertion step for inserting the scan power control circuit with a high resolution, and information on flip-flops connected to each clock tree connected to a gated clock cell having two or more scan power control terminals. Including a flip-flop grouping step for performing the processing, and a scan chain configuration step for assembling a scan chain based on a result of the gated clock tree analysis step and a result of the flip-flop grouping step. .

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下の通りである。   The effects obtained by typical ones of the inventions disclosed in the present application will be briefly described as follows.

本発明の代表的な実施の形態に関わるスキャンテスト制御回路では一般的にはクロックの停止ができないスキャン時に、部分的に動作クロックを停止することで、テスト電力が大きくなること及びテスト期間の長期化を回避することが可能となる。これらはテスト分割なしにスキャンテストの実行が可能なことにより実現可能となる。   In the scan test control circuit according to the representative embodiment of the present invention, in general, when the clock cannot be stopped, the operation clock is partially stopped to increase the test power and to extend the test period. Can be avoided. These can be realized by performing a scan test without dividing the test.

以下、図を用いて本発明を説明する。   Hereinafter, the present invention will be described with reference to the drawings.

(第1の実施の形態)
以下、本発明の第1の実施の形態について説明する。
(First embodiment)
Hereinafter, a first embodiment of the present invention will be described.

図1は本実施の形態に関わるスキャン電力制御端子つきゲーテッドクロックセル1000の構成図であり、図2は変形例であるスキャン電力制御端子つきゲーテッドクロックセル1100の構成図である。一方、図3は従来のゲーテッドクロックセル2000の構成図である。   FIG. 1 is a configuration diagram of a gated clock cell 1000 with a scan power control terminal according to the present embodiment, and FIG. 2 is a configuration diagram of a gated clock cell 1100 with a scan power control terminal according to a modification. On the other hand, FIG. 3 is a configuration diagram of a conventional gated clock cell 2000.

まず、図3を用いて従来例について説明する。   First, a conventional example will be described with reference to FIG.

従来のゲーテッドクロックセル2000はSMC端子2001、CEN端子2002、CLK端子2003を入力端子として有する。また出力端子として、GCLK端子2011を有する。このゲーテッドクロックセル2000中にはORゲート2021、ラッチ2022、第1ANDゲート2023が含まれる。   A conventional gated clock cell 2000 has an SMC terminal 2001, a CEN terminal 2002, and a CLK terminal 2003 as input terminals. In addition, a GCLK terminal 2011 is provided as an output terminal. The gated clock cell 2000 includes an OR gate 2021, a latch 2022, and a first AND gate 2023.

SMC端子2001はスキャンテスト時に全てのクロックを有効にするスキャンモード制御(can ode ontrol)端子である。このSMC端子に入力される信号をスキャンモード信号と称呼する。 SMC terminal 2001 is a scan mode control (S can M ode C ontrol) terminal to enable all clocks in scan test. A signal input to the SMC terminal is referred to as a scan mode signal.

CEN端子2002はCLK端子2003から入力されるクロック信号の有効・無効を制御するクロックイネーブル(lock ENable)端子である。ゲーテッドクロックセル2000はCEN端子2002が「0」の時にはCLK端子2003の入力を受け付けず、「1」の時にはCLK端子2003の入力を受け付ける構成を取る。別の言い方をすれば、CEN端子2002が「1」のときCLK端子2003の入力をそのままGCLK端子2011から出力する、ということになる。なお、このCEN端子2002に入力される信号をクロックイネーブル信号と称呼する。 CEN terminal 2002 is a clock enable (C lock EN able) terminal for controlling the enabling and disabling of the clock signal input from the CLK terminal 2003. The gated clock cell 2000 does not accept the input of the CLK terminal 2003 when the CEN terminal 2002 is “0”, and accepts the input of the CLK terminal 2003 when the CEN terminal 2002 is “1”. In other words, when the CEN terminal 2002 is “1”, the input of the CLK terminal 2003 is directly output from the GCLK terminal 2011. The signal input to the CEN terminal 2002 is referred to as a clock enable signal.

CLK端子2003は動作クロック信号が入力される端子である。   A CLK terminal 2003 is a terminal to which an operation clock signal is input.

ORゲート2021はSMC端子2001の入力信号及びCEN端子2002の入力信号の論理和を取る論理ゲートである。すなわち、SMC端子2001及びCEN端子2002のいずれかの入力が「1」であれば、ラッチ2022のデータ端子に「1」が入力される。   The OR gate 2021 is a logic gate that takes the logical sum of the input signal of the SMC terminal 2001 and the input signal of the CEN terminal 2002. That is, if any one of the SMC terminal 2001 and the CEN terminal 2002 is “1”, “1” is input to the data terminal of the latch 2022.

ラッチ2022は、データ端子の入力を保持するラッチ回路である。既述の通り、ラッチ2022の入力端子には、SMC端子2001の入力信号及びCEN端子2002の入力信号の論理和が入力される。一方ラッチのタイミングとしてはクロック信号の反転信号が入力される。すなわち、第1ANDゲート2023よりも半クロック信号分早くラッチ2022の出力の確定が行われることとなる。   A latch 2022 is a latch circuit that holds an input of a data terminal. As described above, the logical sum of the input signal of the SMC terminal 2001 and the input signal of the CEN terminal 2002 is input to the input terminal of the latch 2022. On the other hand, an inverted signal of the clock signal is input as the latch timing. That is, the output of the latch 2022 is determined earlier than the first AND gate 2023 by a half clock signal.

第1ANDゲート2023はラッチ2022の出力及びCLK端子2003からのクロック信号の論理積を取る論理ゲートである。すなわち、ラッチ2022の出力が「1」であればCLK端子から入力される。   The first AND gate 2023 is a logic gate that takes the logical product of the output of the latch 2022 and the clock signal from the CLK terminal 2003. That is, if the output of the latch 2022 is “1”, it is input from the CLK terminal.

この構成によって、SMC端子2001及びCEN端子2002のいずれかが「1」であれば、CLK端子2003に入力されるクロック信号がGCLK端子2011から出力される。一方、SMC端子2001及びCEN端子2002のいずれも「0」であれば、GCLK端子2011からは出力されない。   With this configuration, if either the SMC terminal 2001 or the CEN terminal 2002 is “1”, the clock signal input to the CLK terminal 2003 is output from the GCLK terminal 2011. On the other hand, if both the SMC terminal 2001 and the CEN terminal 2002 are “0”, no output is made from the GCLK terminal 2011.

次に本発明に関わるスキャン電力制御端子つきゲーテッドクロックセル1000の説明を行う。   Next, the gated clock cell 1000 with a scan power control terminal according to the present invention will be described.

本願発明に関わるゲーテッドクロックセル1000はSMC端子1001、CEN端子1002、CLK端子1003のほかSPC端子1004を有する。また、ORゲート1021、ラッチ1022、第1ANDゲート1023の他、第2ANDゲート1024を有する。   A gated clock cell 1000 according to the present invention has an SPC terminal 1004 in addition to an SMC terminal 1001, a CEN terminal 1002, and a CLK terminal 1003. In addition to the OR gate 1021, the latch 1022, and the first AND gate 1023, a second AND gate 1024 is provided.

SPC(can ower ontrol)端子1004はスキャンテスト時にゲーテッドクロックセル毎にクロック信号の有効無効を制御可能にする端子である。すなわち、SMC端子2001は動作モード決定用の端子であり、複数のゲーテッドクロックセルがある場合に、全てのゲーテッドクロックセルで同じ値を有する必要がある。これに対し、本発明ではSMC端子1001と並存するSPC端子1004はゲーテッドクロックセル毎に動作の制御が可能となる。これによりテストを中断することなく、連続的に行うことが可能となる。このSPC端子1004に入力される信号をスキャン電力制御信号という。 SPC (S can P ower C ontrol ) terminal 1004 is a terminal that can control the enabling and disabling of the clock signal for each gated clock cell in scan test. That is, the SMC terminal 2001 is a terminal for determining the operation mode, and when there are a plurality of gated clock cells, all the gated clock cells need to have the same value. On the other hand, in the present invention, the operation of the SPC terminal 1004 coexisting with the SMC terminal 1001 can be controlled for each gated clock cell. As a result, the test can be performed continuously without interruption. A signal input to the SPC terminal 1004 is referred to as a scan power control signal.

第2ANDゲート1024はORゲート1021とラッチ1022の間に配置される。第2ANDゲート1024はORゲート1021の出力とSPC端子1004の入力の論理積を取る論理ゲートである。すなわち、SPC端子が「0」であれば、このゲーテッドクロックセル1000配下のクロックツリーに対するクロックの供給をとめることが可能となる。   The second AND gate 1024 is disposed between the OR gate 1021 and the latch 1022. The second AND gate 1024 is a logic gate that takes the logical product of the output of the OR gate 1021 and the input of the SPC terminal 1004. In other words, if the SPC terminal is “0”, it is possible to stop supplying the clock to the clock tree under the gated clock cell 1000.

なお、このスキャン電力制御端子つきゲーテッドクロックセル1000とほぼ同等の機能を発揮する変形例が図2で示すスキャン電力制御端子つきゲーテッドクロックセル1100である。この例では、ラッチ1022の出力とSPC端子1004からの入力を第2ANDゲート1024が論理積を取る。この形態でも、スキャン電力制御端子つきゲーテッドクロックセル1000と同じ動作が可能となる。   Note that a gated clock cell 1100 with a scan power control terminal shown in FIG. 2 is a modified example that exhibits substantially the same function as the gated clock cell 1000 with a scan power control terminal. In this example, the second AND gate 1024 ANDs the output of the latch 1022 and the input from the SPC terminal 1004. Even in this form, the same operation as the gated clock cell 1000 with the scan power control terminal is possible.

このようにゲーテッドクロックセルにSMC端子と別にSPC端子を用意し、SPC端子に「0」を入力することで、スキャンテスト制御回路全体のモードと別に、各ゲーテッドクロックセルからのGCLK出力を制御することを可能とする。   Thus, by preparing an SPC terminal separately from the SMC terminal in the gated clock cell and inputting “0” into the SPC terminal, the GCLK output from each gated clock cell is controlled separately from the mode of the entire scan test control circuit. Make it possible.

次に、本発明の第1の実施の形態のさらに別の実施の形態について説明する。   Next, still another embodiment of the first embodiment of the present invention will be described.

次に、別の形態のゲーテッドクロックセルに本発明を適用した場合に付いて説明する。   Next, a case where the present invention is applied to another type of gated clock cell will be described.

図4は本実施の形態に関わる別のスキャン電力制御端子つきゲーテッドクロックセル1200の構成図であり、一方、図5は従来の別のゲーテッドクロックセル2200の構成図である。   FIG. 4 is a configuration diagram of another gated clock cell 1200 with a scan power control terminal according to the present embodiment, while FIG. 5 is a configuration diagram of another conventional gated clock cell 2200.

図5からも分かるとおり、元となるゲーテッドクロックセル2200は、ゲーテッドクロックセル2000と異なり、ラッチ2022の出力側にORゲート2221を挿入している。すなわち、CEN端子2002からの入力信号のみをラッチ2022のセット対象とし、出力側でSMC端子2001によるモード制御を行っている。   As can be seen from FIG. 5, unlike the gated clock cell 2000, the original gated clock cell 2200 has an OR gate 2221 inserted on the output side of the latch 2022. That is, only the input signal from the CEN terminal 2002 is set as the target of setting of the latch 2022, and the mode control by the SMC terminal 2001 is performed on the output side.

この形態に対して、本実施の形態を適用したスキャン電力制御端子つきゲーテッドクロックセル1200の構成図が図4である。基礎となるゲーテッドクロックセルの構成の相違から、ORゲート1221及び第2ANDゲート1224の挿入位置が相違する。   FIG. 4 is a configuration diagram of a gated clock cell 1200 with a scan power control terminal to which this embodiment is applied in contrast to this embodiment. The insertion positions of the OR gate 1221 and the second AND gate 1224 are different due to the difference in the configuration of the basic gated clock cell.

すなわち、第2ANDゲート1224をORゲート1221の出力側に挿入する。そして、SPC端子1004からの入力とORゲート1221の出力の論理積を取る。これにより、スキャン電力制御端子つきゲーテッドクロックセル1000と同等の機能が確保できる。   That is, the second AND gate 1224 is inserted on the output side of the OR gate 1221. Then, the logical product of the input from the SPC terminal 1004 and the output of the OR gate 1221 is obtained. Thereby, the function equivalent to the gated clock cell 1000 with a scanning power control terminal can be secured.

(第2の実施の形態)
次に本発明の第2の実施の形態について説明する。
(Second Embodiment)
Next, a second embodiment of the present invention will be described.

第1の実施の形態では、個々のスキャン電力制御端子つきゲーテッドクロックセルについて説明した。第2の実施の形態では、第1の実施の形態で説明したスキャン電力制御端子つきゲーテッドクロックセルを用いて、スキャンテスト制御回路をどのように構成するかについて説明する。   In the first embodiment, the gated clock cell with individual scan power control terminals has been described. In the second embodiment, a description will be given of how the scan test control circuit is configured using the gated clock cell with the scan power control terminal described in the first embodiment.

図6は本実施の形態に関わるスキャンテスト制御回路の構成を表す図である。一方、図7は従来のゲーテッドクロックセルを用いたスキャンテスト制御回路である。   FIG. 6 is a diagram showing a configuration of a scan test control circuit according to the present embodiment. On the other hand, FIG. 7 shows a scan test control circuit using a conventional gated clock cell.

まず、図7を用いて従来のスキャンテスト制御回路について説明する。   First, a conventional scan test control circuit will be described with reference to FIG.

このスキャンテスト制御回路の構成においては、7つのゲーテッドクロックセルA、B、A1、A2、A3、B1、B2が主要な部分を構成する。   In the configuration of this scan test control circuit, seven gated clock cells A, B, A1, A2, A3, B1, and B2 constitute the main part.

ゲーテッドクロックセルA、BはSMC_MODE端子3004からのスキャンモード信号とクロック生成回路4001からの動作クロックの入力を受けスキャンクロックツリーの動作クロック信号となるGCLKを出力するゲーテッドクロックセルである。ここで用いられるゲーテッドクロックセルは図3又は図5で表すものである。   The gated clock cells A and B are gated clock cells that receive the scan mode signal from the SMC_MODE terminal 3004 and the operation clock from the clock generation circuit 4001 and output GCLK as the operation clock signal of the scan clock tree. The gated clock cell used here is shown in FIG. 3 or FIG.

ゲーテッドクロックセルA1、A2、A3、B1、B2は各スキャンクロックツリーに対して動作クロックを供給するゲーテッドクロック回路である。これらのゲーテッドクロックセルの配下には複数のフリップフロップが存在し、それらに対してGCLK端子2011の出力、すなわち動作クロックが出力される。この1つのゲーテッドクロックセルから動作クロックの供給を受けるフリップフロップの一群をクロックツリーという。ここで用いられるゲーテッドクロックセルも図3又は図5で表すものである。   The gated clock cells A1, A2, A3, B1, and B2 are gated clock circuits that supply an operation clock to each scan clock tree. A plurality of flip-flops exist under these gated clock cells, and an output of the GCLK terminal 2011, that is, an operation clock is output to them. A group of flip-flops that receive the operation clock from one gated clock cell is called a clock tree. The gated clock cell used here is also shown in FIG. 3 or FIG.

なお、SMC_MODE端子3004は「0」と「1」の値が入力される。「0」の時はスキャンテスト制御回路を含む回路のユーザ動作時を表し、「1」の時はスキャンテスト動作を表す。   The SMC_MODE terminal 3004 receives “0” and “1” values. “0” represents a user operation time of a circuit including the scan test control circuit, and “1” represents a scan test operation.

各ゲーテッドクロックセルのSMC端子2001には、SMC_Mode信号が接続される。SMC_Mode信号は、「0」がユーザー操作、すなわち非スキャンテスト時を表し、「1」がスキャンテスト時を表す。したがって、スキャンテスト時にはSMC_MODEより入力されるSMC_Mode信号を1に設定する必要がある。   An SMC_Mode signal is connected to the SMC terminal 2001 of each gated clock cell. In the SMC_Mode signal, “0” represents a user operation, that is, a non-scan test time, and “1” represents a scan test time. Therefore, it is necessary to set the SMC_Mode signal input from SMC_MODE to 1 during the scan test.

クロック生成回路4001はスキャンテスト用の動作クロックを生成する回路である。クロック生成回路4001がどのようにスキャンテスト用の動作クロックを生成するかは設計事項でありここでは述べない。   A clock generation circuit 4001 is a circuit that generates an operation clock for a scan test. How the clock generation circuit 4001 generates an operation clock for a scan test is a design matter and will not be described here.

クロック生成回路4001はゲーテッドクロックセルA及びゲーテッドクロックセルBに対して生成した動作クロックを出力する。ゲーテッドクロックセルAのGCLK端子2011にはゲーテッドクロックセルA1、A2、A3のCLK端子2003が接続される。同様にゲーテッドクロックセルBのGCLK端子にはゲーテッドクロックセルB1、B2のCLK端子2003が接続される。   The clock generation circuit 4001 outputs an operation clock generated for the gated clock cell A and the gated clock cell B. The GCLK terminal 2011 of the gated clock cell A is connected to the CLK terminals 2003 of the gated clock cells A1, A2, and A3. Similarly, the GCLK terminal of the gated clock cell B is connected to the CLK terminal 2003 of the gated clock cells B1 and B2.

ゲーテッドクロックセルA1、A2、A3、B1、B2のGCLK端子2011にはそれぞれのゲーテッドクロックセルが担当する検査対象のフリップフロップのCLK端子が接続され、スキャンテスト用の動作クロックが供給される。   The GCLK terminals 2011 of the gated clock cells A1, A2, A3, B1, and B2 are connected to the CLK terminals of the flip-flops to be inspected that are in charge of the respective gated clock cells, and the operation clock for the scan test is supplied.

ゲーテッドクロックセル制御回路4002は各ゲーテッドクロックセルのCEN端子2002を介して、クロック生成回路4001から出力される動作クロックが有効かを決定する回路である。   The gated clock cell control circuit 4002 is a circuit that determines whether the operation clock output from the clock generation circuit 4001 is valid via the CEN terminal 2002 of each gated clock cell.

ゲーテッドクロックセル制御回路4002には複数の出力端子が存在する。CEN_CNTAはゲーテッドクロックセルAの、CEN_CNTBはゲーテッドクロックセルBのCLK端子の入力を有効にするかを決定する出力端子である。一方、CEN_CNTA[0:2]はゲーテッドクロックセルA1、A2、A3を、CEN_CNTB[0:1]はゲーテッドクロックセルB1、B2を有効にするか否かを決める出力端子である。   The gated clock cell control circuit 4002 has a plurality of output terminals. CEN_CNTA is an output terminal for determining whether to enable the input of the CLK terminal of the gated clock cell A, and CEN_CNTB is the gate terminal of the gated clock cell B. On the other hand, CEN_CNTA [0: 2] is an output terminal that determines whether gated clock cells A1, A2, and A3 are valid, and CEN_CNTB [0: 1] is whether or not gated clock cells B1 and B2 are valid.

すなわち全てのゲーテッドクロックセルのCEN端子2002に対して一本ずつゲーテッドクロックセル制御回路4002からの出力が用意されている。   That is, an output from the gated clock cell control circuit 4002 is prepared for each CEN terminal 2002 of all gated clock cells.

このように全てのゲーテッドクロックセルのCEN端子2002にゲーテッドクロックセル制御回路からの制御信号が供給されている。従来では、スキャンシフト動作で使用する全フリップフロップに同時供給するクロック(クロック生成回路4001のCLOCK)の動作を考慮してスキャンツリーが構成されている。このため、スキャンチェーンに属するフリップフロップは横断的にスキャンツリーの区別無く配置されていた。すなわちSIN_1から入力されSOUT_1から出力されるスキャンチェーンの検証の際、図7では該スキャンチェーンが全てのスキャンツリーを通るためスキャンテスト期間中は全てのスキャンツリーを動作中の状態にする必要があった。   In this way, the control signal from the gated clock cell control circuit is supplied to the CEN terminal 2002 of all gated clock cells. Conventionally, a scan tree is configured in consideration of the operation of a clock (CLOCK of the clock generation circuit 4001) supplied simultaneously to all flip-flops used in the scan shift operation. For this reason, flip-flops belonging to the scan chain are arranged across the scan tree without distinction. That is, when verifying the scan chain input from SIN_1 and output from SOUT_1, in FIG. 7, since the scan chain passes through all the scan trees, it is necessary to keep all the scan trees in operation during the scan test period. It was.

これに対し、本実施の形態に関わるスキャンテスト制御回路3000では、図1、図2及び図4で示したSPC端子つきのスキャン電力制御端子つきゲーテッドクロックセルを用いて構成する。このスキャンテスト制御回路3000はスキャン電力制御回路3003の存在がスキャンテスト制御回路4000と大きく異なる。   On the other hand, the scan test control circuit 3000 according to the present embodiment is configured using the gated clock cell with the scan power control terminal with the SPC terminal shown in FIG. 1, FIG. 2, and FIG. The scan test control circuit 3000 is significantly different from the scan test control circuit 4000 in the presence of the scan power control circuit 3003.

スキャン電力制御端子つきゲーテッドクロックセルaは、図7のゲーテッドクロックセルA同様、GCLK端子1011の出力を配下のスキャン電力制御端子つきゲーテッドクロックセルa1、a2、a3のCLK端子1003に出力する。またスキャン電力制御端子つきゲーテッドクロックセルbは、GCLK端子1011の出力を配下のスキャン電力制御端子つきゲーテッドクロックセルb1、b2のCLK端子1003に出力する。   The gated clock cell a with a scan power control terminal a outputs the output of the GCLK terminal 1011 to the CLK terminal 1003 of the gated clock cells a1, a2, and a3 with subordinate scan power control terminals, like the gated clock cell A of FIG. Further, the gated clock cell b with the scan power control terminal outputs the output of the GCLK terminal 1011 to the CLK terminal 1003 of the gated clock cells b1 and b2 with the subordinate scan power control terminal.

スキャン電力制御端子つきゲーテッドクロックセルa、bのCLK端子1003にはクロック生成回路3001からのスキャンテスト用の動作クロックが供給される。クロック生成回路3001はクロック生成回路4001と同じ構成のものを用いてよい。   An operation clock for scan test from the clock generation circuit 3001 is supplied to the CLK terminal 1003 of the gated clock cells a and b with the scan power control terminal. The clock generation circuit 3001 may have the same configuration as the clock generation circuit 4001.

ゲーテッドクロックセル制御回路3002は各ゲーテッドクロックセルのCEN端子1002を介して、クロック生成回路3001から出力される動作クロックが有効かを決定する回路である。これもゲーテッドクロックセル制御回路4002と同じ回路でよい。   The gated clock cell control circuit 3002 is a circuit that determines whether the operation clock output from the clock generation circuit 3001 is valid via the CEN terminal 1002 of each gated clock cell. This may be the same circuit as the gated clock cell control circuit 4002.

本実施の形態では、CEN端子1002からだけでなくSPC端子1004の制御も行う。したがってそれに伴いゲーテッドクロックにおけるSPC端子1004の処理もそれぞれ相違する。   In this embodiment, not only the CEN terminal 1002 but also the SPC terminal 1004 is controlled. Accordingly, the processing of the SPC terminal 1004 in the gated clock is also different accordingly.

図6のスキャンテスト制御回路においては、スキャン電力制御端子つきゲーテッドクロックセルa配下のスキャン電力制御端子つきゲーテッドクロックセルa1、a2、a3を分割して動作する。したがって、スキャン電力制御端子つきゲーテッドクロックセルaだけでなく、スキャン電力制御端子つきゲーテッドクロックセルa1、a2、a3のSPC端子1004にもスキャン電力制御回路3003からの出力を接続している。   The scan test control circuit of FIG. 6 operates by dividing the gated clock cells a1, a2, and a3 with scan power control terminals under the gated clock cell a with scan power control terminals. Therefore, the output from the scan power control circuit 3003 is connected not only to the gated clock cell a with the scan power control terminal but also to the SPC terminals 1004 of the gated clock cells a1, a2, and a3 with the scan power control terminal.

一方で、スキャン電力制御端子つきゲーテッドクロックセルbは配下のスキャン電力制御端子つきゲーテッドクロックセルb1、b2を同時に動作することを想定している。したがって、スキャン電力制御端子つきゲーテッドクロックセルbのSPC端子1004に対してはスキャン電力制御回路3003からの出力を供給するが、スキャン電力制御端子つきゲーテッドクロックセルb1、b2のSPC端子1004は電圧が固定された状態になる。   On the other hand, it is assumed that the gated clock cell b with the scan power control terminal simultaneously operates the subordinate gated clock cells b1 and b2 with the scan power control terminal. Accordingly, the output from the scan power control circuit 3003 is supplied to the SPC terminal 1004 of the gated clock cell b with the scan power control terminal, but the voltage is applied to the SPC terminal 1004 of the gated clock cells b1 and b2 with the scan power control terminal. It becomes a fixed state.

スキャン電力制御回路3003はスキャン電力制御端子つきゲーテッドクロックセルのSPC端子1004を介してスキャンテストの実行対象を特定するデコーダ回路である。   The scan power control circuit 3003 is a decoder circuit that specifies a scan test execution target via the SPC terminal 1004 of the gated clock cell with the scan power control terminal.

このスキャン電力制御回路3003はPC_SIN端子とPC_update端子を入力端子として有する。また本実施の形態のスキャン電力制御回路3003は、4ビットの内部フリップフロップ群3033を有する。このフリップフロップの数がスキャン電力制御回路3003、及びスキャンテスト制御回路3000の分解能を決定する。また、内部フリップフロップ群3033のスキャンテストを行うためのスキャン電力制御回路専用スキャンチェーン3043を含む。   The scan power control circuit 3003 has a PC_SIN terminal and a PC_update terminal as input terminals. The scan power control circuit 3003 of this embodiment includes a 4-bit internal flip-flop group 3033. The number of flip-flops determines the resolution of the scan power control circuit 3003 and the scan test control circuit 3000. In addition, a scan power control circuit dedicated scan chain 3043 for performing a scan test of the internal flip-flop group 3033 is included.

内部フリップフロップ群3033は、想定する分解能を確保するために必要な数のフリップフロップからなる。このフリップフロップのON・OFFによって、スキャン電力制御端子つきゲーテッドクロックセルのいずれをスキャンテストの対象とするかを決定する。この内部フリップフロップ群3033に属する各フリップフロップには初期化のためのPC_update端子3023が接続され、これによって各フリップフロップの初期化を行う。   The internal flip-flop group 3033 is composed of a number of flip-flops necessary for ensuring the assumed resolution. Depending on ON / OFF of this flip-flop, which of the gated clock cells with the scan power control terminal is to be subjected to the scan test is determined. A PC_update terminal 3023 for initialization is connected to each flip-flop belonging to the internal flip-flop group 3033, thereby initializing each flip-flop.

これらの内部フリップフロップ群3033の動作もスキャンテストの対象とする必要がある。この内部フリップフロップ群3033のスキャンテストを行うためのフリップフロップ群がスキャン電力制御回路専用スキャンチェーン3043であり、これに対する入力端子がPC_SIN端子3013、出力端子がPC_SOUT3053である。またスキャン電力制御回路専用スキャンチェーン3043へのテストが終われば、これを用いて内部フリップフロップ群3033の制御を行う。   The operation of the internal flip-flop group 3033 also needs to be a scan test target. A flip-flop group for performing a scan test of the internal flip-flop group 3033 is a scan chain 3043 dedicated to the scan power control circuit, and an input terminal for this is a PC_SIN terminal 3013 and an output terminal is PC_SOUT 3053. When the test for the scan chain 3043 dedicated to the scan power control circuit is completed, the internal flip-flop group 3033 is controlled using this.

内部フリップフロップ群3033の各フリップフロップに設定された値が、対応するスキャン電力制御端子つきゲーテッドクロックセルに送信され、そのセルの動作の可否を決定する。CLK端子1003への動作クロックの供給のため、スキャン電力制御端子つきゲーテッドクロックセルa1、a2、a3を動作させる際には、同時にスキャン電力制御端子つきゲーテッドクロックセルaも「1」にする必要がある。したがって、スキャン電力制御回路3003中にはこれらのスキャン電力制御端子つきゲーテッドクロックセルa1、a2、a3に対応するフリップフロップの出力の論理和を取るORゲート3103を含み、ORゲート3103の出力がスキャン電力制御端子つきゲーテッドクロックセルaのSPC端子1004に出力される。   A value set in each flip-flop of the internal flip-flop group 3033 is transmitted to the corresponding gated clock cell with a scan power control terminal, and determines whether the operation of the cell is possible. In order to supply the operation clock to the CLK terminal 1003, when operating the gated clock cells a1, a2, a3 with the scan power control terminal, it is necessary to simultaneously set the gated clock cell a with the scan power control terminal to “1”. is there. Accordingly, the scan power control circuit 3003 includes an OR gate 3103 that takes the logical sum of the outputs of the flip-flops corresponding to the gated clock cells a1, a2, and a3 with these scan power control terminals, and the output of the OR gate 3103 is scanned. It is output to the SPC terminal 1004 of the gated clock cell a with a power control terminal.

PC_SIN端子3013はスキャン電力制御回路専用スキャンチェーン3043の入力信号を入力する端子である。一方、PC_SOUT端子3053は、スキャン電力制御回路専用スキャンチェーン3043のスキャンテスト時の動作結果を出力する端子である。   A PC_SIN terminal 3013 is a terminal for inputting an input signal of the scan chain 3043 dedicated to the scan power control circuit. On the other hand, the PC_SOUT terminal 3053 is a terminal for outputting an operation result at the time of a scan test of the scan chain 3043 dedicated to the scan power control circuit.

本スキャンテスト制御回路の検査対象となるフリップフロップのスキャンチェーンは、図7のスキャンテスト制御回路のスキャンチェーンと異なり、特定のスキャンツリーに偏在する形で配置されている。   Unlike the scan chain of the scan test control circuit shown in FIG. 7, the scan chain of the flip-flop to be inspected by the scan test control circuit is arranged in a form that is unevenly distributed in a specific scan tree.

本図においては、第1スキャンチェーン3061はスキャン電力制御端子つきゲーテッドクロックセルa1及びa2にのみ属するように、第2スキャンチェーン3062及び第3スキャンチェーン3063はスキャン電力制御端子つきゲーテッドクロックセルa3にのみ属するように、第4スキャンチェーン3064はスキャン電力制御端子つきゲーテッドクロックセルb(すなわちb1及びb2)の配下に属するように配置されている。   In this figure, the second scan chain 3062 and the third scan chain 3063 are connected to the gated clock cell a3 with scan power control terminal so that the first scan chain 3061 belongs only to the gated clock cells a1 and a2 with scan power control terminal. The fourth scan chain 3064 is arranged so as to belong to a gated clock cell b with a scan power control terminal (that is, b1 and b2).

各スキャンチェーンの入力端子であるSIN_n(n:チェーンの番号。第1スキャンチェーンの場合n=1)はスキャンテスト時に所定の入力パターンを投入するための入力端子である。一方SOUT_n(n:チェーンの番号。第1スキャンチェーンの場合n=1)はここからの出力結果に基づきスキャンチェーン内のフリップフロップが正常に動作しているかを確認する。   SIN_n (n: chain number; n = 1 in the case of the first scan chain), which is an input terminal of each scan chain, is an input terminal for inputting a predetermined input pattern during a scan test. On the other hand, SOUT_n (n: the number of the chain; n = 1 in the case of the first scan chain) confirms whether the flip-flop in the scan chain is operating normally based on the output result from here.

なお第1スキャンチェーン3061には30個の、第2スキャンチェーン3062および第3スキャンチェーン3063にはそれぞれ25個の、第4スキャンチェーン3064には20個のフリップフロップが属する。   The first scan chain 3061 includes 30 flip-flops, the second scan chain 3062 and the third scan chain 3063 each include 25 flip-flops, and the fourth scan chain 3064 includes 20 flip-flops.

既に述べたとおり、図6のスキャンテスト制御回路では4つのスキャンチェーンが存在する。これはスキャン電力制御回路3003の分解能が4であることに起因する。   As already described, there are four scan chains in the scan test control circuit of FIG. This is because the resolution of the scan power control circuit 3003 is 4.

上記構成の説明を踏まえた上で、図6のスキャンテスト制御回路の動作を説明する。   Based on the description of the above configuration, the operation of the scan test control circuit of FIG. 6 will be described.

本実施の形態では、スキャン電力制御回路3003の分解能に応じて、1つのスキャンチェーンに属するフリップフロップの平準化を図ること、及び、スキャンチェーンの検査を逐次実行していくことで、スキャンテスト時の電力削減を図ることを目的としている。   In this embodiment, the level of flip-flops belonging to one scan chain is leveled according to the resolution of the scan power control circuit 3003, and the scan chain is sequentially inspected so that the scan test can be performed. The purpose is to reduce power consumption.

本図においてもスキャン対象であるフリップフロップが100個存在すると仮定すると、1スキャンチェーン毎に25個フリップフロップがあるようにすることが望ましい。現実の回路ではそのようにすることは困難である。本図においても、第1スキャンチェーン3061と、第4スキャンチェーン3064に偏りが生じている。   Also in this figure, assuming that there are 100 flip-flops to be scanned, it is desirable to have 25 flip-flops per scan chain. It is difficult to do so in an actual circuit. Also in this drawing, the first scan chain 3061 and the fourth scan chain 3064 are biased.

図8は本実施の形態において、スキャンテスト実施時の入力信号の対応を表す表である。   FIG. 8 is a table showing the correspondence of input signals when a scan test is performed in the present embodiment.

第1スキャンチェーン3061(内部フリップフロップ数30)をスキャンテストする際にはスキャン電力制御端子つきゲーテッドクロックセルa1、a2を動作させるべく、スキャン電力制御回路3003の内部フリップフロップ群3033をセットする。また第1スキャンチェーン(内部フリップフロップ数30)の入力端子SIN_1にデータをセットし、SOUT_1の出力結果を見て動作の正常性を確認する。   When performing a scan test on the first scan chain 3061 (30 internal flip-flops), the internal flip-flop group 3033 of the scan power control circuit 3003 is set to operate the gated clock cells a1 and a2 with scan power control terminals. In addition, data is set at the input terminal SIN_1 of the first scan chain (the number of internal flip-flops 30), and the normality of the operation is confirmed by looking at the output result of SOUT_1.

同様に、第2スキャンチェーン3062(内部フリップフロップ数25)をスキャンテストする際、および第3スキャンチェーン3063(内部フリップフロップ数25)をスキャンテストする際には、スキャン電力制御端子つきゲーテッドクロックセルa3を動作させるべく、スキャン電力制御回路3003の内部フリップフロップ群3033をセットする。この際、データをセットする入力端子以外の端子(第2スキャンチェーン3062スキャン時にはSIN_2、第3スキャンチェーン3063スキャン時にはSIN_3)は「0」もしくは「1」に固定する。   Similarly, when performing a scan test on the second scan chain 3062 (25 internal flip-flops) and when performing a scan test on the third scan chain 3063 (25 internal flip-flops), a gated clock cell with a scan power control terminal is provided. In order to operate a3, the internal flip-flop group 3033 of the scan power control circuit 3003 is set. At this time, terminals other than the input terminal for setting data (SIN_2 at the time of the second scan chain 3062 scan, SIN_3 at the time of the third scan chain 3063 scan) are fixed to “0” or “1”.

さらに、第4スキャンチェーン3064(内部フリップフロップ数20)をスキャンテストする際にもスキャン電力制御端子つきゲーテッドクロックセルbを動作させるべく、スキャン電力制御回路3003の内部フリップフロップ群3033をセットする。   Further, the internal flip-flop group 3033 of the scan power control circuit 3003 is set to operate the gated clock cell b with the scan power control terminal even when the fourth scan chain 3064 (the number of internal flip-flops 20) is scan-tested.

そして第1スキャンチェーン3061から第4スキャンチェーン3064まで順番にスキャンし、フリップフロップの動作を確認することで、メモリ回路の検査を行う。   Then, the first scan chain 3061 to the fourth scan chain 3064 are scanned in order, and the operation of the flip-flop is confirmed to inspect the memory circuit.

このように、一般的にはクロックの停止ができないスキャン時に、部分的に動作クロックを停止する。これにより、テスト分割による検出率の低下やテスト時間の増加を防ぎ、テスト電力が大きくなることを回避することが可能となる。   Thus, in general, the operation clock is partially stopped at the time of scanning where the clock cannot be stopped. As a result, it is possible to prevent a decrease in detection rate and an increase in test time due to test division, and to avoid an increase in test power.

さらに、スキャン対象のフリップフロップの数を平準化することで、1つのスキャンチェーンのテストに要する消費電力の最大値を略一定とする。これにより、スキャンテスト全体での消費電力の一定化が図れ、結果としてテスト時の電力の削減を図ることが可能となる。   Further, by leveling the number of flip-flops to be scanned, the maximum power consumption required for testing one scan chain is made substantially constant. Thereby, the power consumption in the entire scan test can be made constant, and as a result, it is possible to reduce the power during the test.

なお、第2の実施の形態に関わるスキャンテスト制御回路3000では第1スキャンチェーン3061及び第4スキャンチェーン3064に2つのスキャンクロックツリーの原点が含まれている。また第2スキャンチェーン3062と第3スキャンチェーン3063を同一のスキャンクロックツリーの原点から動作クロックを供給している。   In the scan test control circuit 3000 according to the second embodiment, the first scan chain 3061 and the fourth scan chain 3064 include the origins of two scan clock trees. The second scan chain 3062 and the third scan chain 3063 are supplied with an operation clock from the origin of the same scan clock tree.

これに対し、スキャンクロックツリーの原点を1つにしてスキャンチェーンをくみ上げることも考慮すべきである。図9は、図6のスキャンクロックツリー原点をまとめた場合の、第2の実施の形態に関わる別のスキャンテスト制御回路の論理回路図である。この図9の構成を取る際、スキャンテスト実施時の入力信号の対応は図10のようになる。   On the other hand, it should also be considered to draw up the scan chain with one scan clock tree origin. FIG. 9 is a logic circuit diagram of another scan test control circuit according to the second embodiment when the scan clock tree origins of FIG. 6 are collected. When taking the configuration of FIG. 9, the correspondence of the input signals when the scan test is performed is as shown in FIG.

(第3の実施の形態)
次に本発明の第3の実施の形態について説明する。
(Third embodiment)
Next, a third embodiment of the present invention will be described.

上述したスキャンテスト制御回路及びそれに付随するスキャンツリー、スキャンチェーンの生成方法について述べるのが本実施の形態である。   The present embodiment describes the above-described scan test control circuit and the accompanying scan tree and scan chain generation method.

図11は本実施の形態によるスキャンテスト制御回路のRTL(レジスタ転送レベル)での設計、スキャンテストの各種情報の生成方法を表すフローチャートである。これを用いて、本実施の形態を説明する。   FIG. 11 is a flowchart showing a method for generating various information of design and scan test at the RTL (register transfer level) of the scan test control circuit according to the present embodiment. The present embodiment will be described using this.

まず、論理合成を行う(ステップS1)。この際以下のゲーテッドクロック論理を推定する。   First, logic synthesis is performed (step S1). At this time, the following gated clock logic is estimated.

always@(posedge clk)
if(cen)
data_out <= data_in; (論理1)
すなわち、(論理1)はclk信号が「0」から「1」に変化するクロックエッジのタイミングで、cen(クロックイネーブル)信号が「1」であるかを判別し、「1」であればdata_outにdata_inの内容をそのままセットすることを意味する。この(論理1)は図3で表す従来のゲーテッドクロックセルに近いものである(SMC端子の有無などで相違はある)。
always @ (possible clk)
if (cen)
data_out <= data_in; (logic 1)
In other words, (logic 1) determines whether the cen (clock enable) signal is “1” at the timing of the clock edge when the clk signal changes from “0” to “1”. This means that the contents of data_in are set as is. This (logic 1) is close to the conventional gated clock cell shown in FIG. 3 (there is a difference depending on the presence or absence of the SMC terminal).

この推定したゲーテッドクロック論理をモジュールとしてクロックツリー上に配置する。この際、配置するのはクロックツリーの原点を有するものであり、図6ではスキャン電力制御端子つきゲーテッドセルa1、a2、a3、b1、b2にあたるものである。   The estimated gated clock logic is arranged as a module on the clock tree. At this time, it is arranged that has the origin of the clock tree, and in FIG. 6, corresponds to the gated cells a1, a2, a3, b1, and b2 with scan power control terminals.

次にクロックツリーの解析を行う(ステップS2)。ここではゲーテッドクロック論理を考慮したクロックツリー解析を実施し、クロック起点と終点の情報を収集する。   Next, the clock tree is analyzed (step S2). Here, clock tree analysis is performed in consideration of gated clock logic, and information on the clock start point and end point is collected.

ステップS2に続いて、ゲーテッドクロックの論理解析を行う(ステップS3)。この際に、S1では排除されていたSMC端子、SPC端子の情報を加味して動作論理を推定する。   Subsequent to step S2, logic analysis of the gated clock is performed (step S3). At this time, the operation logic is estimated in consideration of the information of the SMC terminal and the SPC terminal which have been excluded in S1.

その後、クロックツリー上に配置したゲーテッドクロックセル論理をステップS3で論理推定したスキャン電力制御端子つきゲーテッドクロックセルに置き換えるゲーテッドクロック論理挿入処理を行う(ステップS4)。   Thereafter, a gated clock logic insertion process is performed in which the gated clock cell logic arranged on the clock tree is replaced with the gated clock cell with the scan power control terminal logically estimated in step S3 (step S4).

ゲーテッドクロック論理挿入処理の後、ゲーテッドクロックツリー解析で更にクロックツリーの構成を再評価する(ステップS5)。この際に、スキャン電力制御端子つきゲーテッドクロックセルの挿入位置をデータベースに登録すると共に、各スキャン電力制御端子つきゲーテッドクロックセルが駆動するスキャン対象のフリップフロップの数を把握する。   After the gated clock logic insertion processing, the clock tree configuration is re-evaluated by gated clock tree analysis (step S5). At this time, the insertion position of the gated clock cell with the scan power control terminal is registered in the database, and the number of flip-flops to be scanned driven by each gated clock cell with the scan power control terminal is grasped.

スキャン電力制御端子つきゲーテッドクロックセルの数が決まれば必要とされる分解能数の上限も定まる。分解能数の上限から適宜使用するスキャン電力制御回路3003の分解能数(要求分解能数)の決定を行う(ステップS6)。分解能数の上限と、実際のスキャン電力制御回路3003の分解能数は設計事項である。   If the number of gated clock cells with scan power control terminals is determined, the upper limit of the required number of resolutions is also determined. The resolution number (required resolution number) of the scan power control circuit 3003 to be used as appropriate is determined from the upper limit of the resolution number (step S6). The upper limit of the number of resolutions and the actual number of resolutions of the scan power control circuit 3003 are design matters.

スキャン電力制御回路3003の要求分解能数にしたがって、スキャン電力制御回路3003を挿入する(ステップS7)。   The scan power control circuit 3003 is inserted in accordance with the required resolution number of the scan power control circuit 3003 (step S7).

その後、スキャン電力制御回路3003の分解能とスキャン電力制御端子つきゲーテッドセルに接続されているフリップフロップの情報を整理し、スキャンチェーンの形成に向けたグループ化を実施する(ステップS8)。なお、この時点ではまだスキャンチェーンは形成されていない。   After that, the resolution of the scan power control circuit 3003 and the information of the flip-flops connected to the gated cell with the scan power control terminal are organized, and grouping for forming a scan chain is performed (step S8). At this point, the scan chain has not yet been formed.

図12はこのグルーピングの例を表す図面である。この図12は図6のスキャンテスト制御回路作成中を想定したものである。第2の実施の形態で述べた通り、フリップフロップの数が100、スキャン電力制御回路3003の分解能が4の場合、各スキャンチェーン毎の制御フリップフロップの数を25に近づけるように分割する。第2の実施の形態で第1スキャンチェーン3061に30個、第2スキャンチェーン3062および第3スキャンチェーン3063にそれぞれ25個、第4スキャンチェーン3064に20個属するのはこのステップで調整を行った結果でもある。   FIG. 12 shows an example of this grouping. FIG. 12 assumes that the scan test control circuit of FIG. 6 is being created. As described in the second embodiment, when the number of flip-flops is 100 and the resolution of the scan power control circuit 3003 is 4, the number of control flip-flops for each scan chain is divided so as to approach 25. In the second embodiment, 30 pieces belong to the first scan chain 3061, 25 pieces each belong to the second scan chain 3062 and the third scan chain 3063, and 20 pieces belong to the fourth scan chain 3064. It is also a result.

このステップで、スキャン電力制御端子つきゲーテッドセルのファンアウトを解析し、分岐クロックソースが制御できるようにスキャン電力制御端子つきゲーテッドセルa、bを挿入しても良い。なお、スキャン電力制御端子つきゲーテッドセルを挿入するか否かは設計者の設計事項である。   In this step, the fan-out of the gated cell with the scan power control terminal is analyzed, and the gated cells a and b with the scan power control terminal may be inserted so that the branch clock source can be controlled. Whether or not to insert a gated cell with a scan power control terminal is a design matter of the designer.

その後ステップS8で求めたグループ情報及びステップS5で解析した各フリップフロップとそれを駆動するスキャン電力制御端子つきゲーテッドセルのGCLK端子に関する情報を基に、スキャンチェーンを組み上げる(ステップS9)。このとき、くみ上げたスキャンチェーンを含んだネットリストとスキャンチェーンの構成を定義したSCAN−DEFファイルをレイアウトツールに渡す目的で出力する。ここでくみ上げる「スキャンチェーン」とは、たとえば第2の実施の形態の第1スキャンチェーン3061であればSIN_1からSOUT_1までの具体的な接続を意味する。   Thereafter, a scan chain is assembled based on the group information obtained in step S8 and information on each flip-flop analyzed in step S5 and the GCLK terminal of the gated cell with the scan power control terminal that drives the flip-flop (step S9). At this time, a netlist including the scanned scan chain and an SCAN-DEF file defining the scan chain configuration are output for the purpose of passing to the layout tool. The “scan chain” drawn here means a specific connection from SIN_1 to SOUT_1 in the case of the first scan chain 3061 of the second embodiment, for example.

なお、チェーンを組み上げた結果の配線のイメージは図6を参照されたい。   Refer to FIG. 6 for an image of wiring as a result of assembling the chain.

そしてステップS9で作成したスキャンチェーン情報とそのチェーンに属するクロックツリー情報を基に、印加値不定となるクロックツリー情報と出力期待値不定クロックツリー情報を出力する(ステップS10)。このとき出力される情報が、図13で示したものである。   Then, based on the scan chain information created in step S9 and the clock tree information belonging to the chain, the clock tree information whose application value is indefinite and the output expected value indefinite clock tree information are output (step S10). The information output at this time is the one shown in FIG.

ここでレイアウトツールによるパターン生成の条件はスキャンチェーンの情報とそこに属するクロックツリー情報で決まる。「チェーン情報」及びの「停止クロックツリー」情報毎に、図13のように「印加値が不定」「出力期待値不定」情報が保持される。これをレイアウトツリーに入力することで各フリップフロップ及びそれからなるスキャンチェーンの制御情報とする。   Here, the conditions for pattern generation by the layout tool are determined by the scan chain information and the clock tree information belonging thereto. For each “chain information” and “stop clock tree” information, “applied value is undefined” and “output expected value undefined” information is held as shown in FIG. By inputting this into the layout tree, it becomes control information of each flip-flop and the scan chain composed thereof.

ステップS9で出力したSCAN−DEFファイルをレイアウトツールが読み込み、同じスキャン電力制御端子つきゲーテッドセル配下で駆動するフリップフロップのグループ内で配置、タイミング制約を考慮したスキャンフリップフロップの順序入れ替えを実施する(ステップS11)。   The layout tool reads the SCAN-DEF file output in step S9, arranges it in a group of flip-flops driven under the same gated cell with the same scan power control terminal, and changes the order of the scan flip-flops in consideration of timing constraints ( Step S11).

この図13の情報を利用し、図6のスキャンテスト回路のPC_SIN端子3013からPC_SOUT端子3053のチェーンにデータを入力し、PC_update端子3023にデータを入れることで、内部フリップフロップ群3033及びスキャン電力制御回路専用スキャンチェーン3043のフリップフロップを更新し電力の制御を行う。   Using the information shown in FIG. 13, data is input from the PC_SIN terminal 3013 of the scan test circuit of FIG. 6 to the PC_SOUT terminal 3053 chain, and the data is input to the PC_update terminal 3023, so that the internal flip-flop group 3033 and the scan power control are controlled. The flip-flop of the circuit dedicated scan chain 3043 is updated to control power.

この後ATPGの処理を説明する。   After this, the ATPG process will be described.

ATPG(utomatic est attern enerater)はスキャンテストを行う際のテストパターンを自動で生成するツールである。図14はATPGにおける実行手順を表すフローチャートである。 ATPG (A utomatic T est P attern G enerater) is a tool for generating test patterns when performing a scan test automatically. FIG. 14 is a flowchart showing an execution procedure in ATPG.

ATPGに対してスキャンテスト制御回路のネットリスト、パターン生成制約、動作フリップフロップの割合などを読み込ませる(ステップS21)。合わせて一部のスキャンチェーンの動作クロックを停止した際のスキャン情報の読み込みを行う(ステップS22)。   The ATPG is made to read the net list of the scan test control circuit, the pattern generation constraint, the ratio of the operation flip-flops, etc. (step S21). In addition, scan information when the operation clocks of some scan chains are stopped is read (step S22).

ここまでに読み込んだ情報、及びスキャンテスト対象となるスキャンチェーンのテストの順番等からクロックストップ情報を生成する(ステップS23)。これは、第2の実施の形態においては、どのスキャン電力制御端子つきゲーテッドセルのSPC端子1004を「0」にセットするかの情報を生成すること、を意味する。   Clock stop information is generated from the information read so far, the test sequence of the scan chain to be scanned, etc. (step S23). This means that in the second embodiment, information on which SPC terminal 1004 of the gated cell with the scan power control terminal is set to “0” is generated.

次に個々のスキャンチェーンのテストパターンの生成に移る。まず動作クロックをストップするスキャンチェーンを選択する(ステップS24)。そして該当スキャンチェーンに「印加値が不定」「出力期待値不定」という条件が無いか図13の表を検査し、ある場合には印加値不定フリップフロップ、出力期待値不定フリップフロップを設定する(ステップS25)。   Next, the process moves to the generation of test patterns for individual scan chains. First, a scan chain for stopping the operation clock is selected (step S24). Then, the table of FIG. 13 is inspected for the conditions of “applied value is indefinite” and “expected output value indeterminate” in the corresponding scan chain. Step S25).

その後、該スキャンチェーンにおける検出対象となる故障をリストアップする(ステップS26)。これはATPGの操作者の入力によって決定される。   Thereafter, faults to be detected in the scan chain are listed (step S26). This is determined by the input of the ATPG operator.

ここまで決定された後に、検査用のパターンをATPGが自動生成を行う(ステップS27)。この際、ATPGは、クロック停止したスキャンツリーにより、次の4種類のフリップフロップを区別してパターン生成を行う。   After the determination so far, the ATPG automatically generates a pattern for inspection (step S27). At this time, the ATPG performs pattern generation by distinguishing the following four types of flip-flops based on the scan tree in which the clock is stopped.

1)クロック停止の影響なく入出力が可能なフリップフロップ:
停止するクロックツリーに属さないスキャンチェーン中のフリップフロップ
2)印加値変化なしのフリップフロップ:
動作クロック供給を停止したクロックツリー中のフリップフロップ
3)印加値が不定となるフリップフロップ:
スキャンチェーン中に2以上のクロックツリーが含まれ、かつ動作クロックが停止したクロックツリーがスキャンアウト側である場合のスキャンアウト側のフリップフロップ
4)出力期待値が不定となるフリップフロップ:
スキャンチェーン中に2以上のクロックツリーが含まれ、かつ動作クロックが停止したクロックツリーがスキャンイン側である場合のスキャンイン側のフリップフロップ
上記の4つの情報を基に、パターン生成時の印加値として、2)は全パターンの印加値を利用し、3)は不定として扱う。また、パターン生成の期待値としては、4)を不定として扱う。
1) Flip-flop that can input / output without the influence of clock stop:
Flip-flops in the scan chain that do not belong to the stopped clock tree 2) Flip-flops with no change in applied value:
Flip-flops in the clock tree where the operation clock supply is stopped 3) Flip-flops whose applied value becomes indefinite:
A flip-flop on the scan-out side when the scan chain includes two or more clock trees and the clock tree whose operation clock is stopped is on the scan-out side. 4) A flip-flop in which the expected output value is indefinite:
A flip-flop on the scan-in side when the scan chain includes two or more clock trees and the clock tree whose operation clock is stopped is on the scan-in side. Based on the above four pieces of information, the applied value at the time of pattern generation 2) uses the applied values of all patterns, and 3) treats it as indefinite. Further, 4) is treated as indefinite as an expected value for pattern generation.

以上の全てのストップするスキャンチェーンで繰り返し、最終的にテストパターンが完成する。   Repeat all of the above scan chains to stop, and the test pattern is finally completed.

このようにテストパターンの自動生成を行うことで、設計工数の省力化を図ることが可能となる。   By automatically generating test patterns in this way, it is possible to save labor in design man-hours.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記の実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更が可能であることは言うまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited to the above-described embodiments, and various modifications can be made without departing from the scope of the invention. Needless to say.

本発明は、大容量メモリ、混載型LSIなどのメモリのスキャンテスト用にゲーテッドクロックセルを利用するスキャンテスト制御回路へ適用可能である。   The present invention can be applied to a scan test control circuit using a gated clock cell for a scan test of a memory such as a large capacity memory or an embedded LSI.

本発明の第1の実施の形態に関わるゲーテッドクロックセルの論理回路図である。FIG. 3 is a logic circuit diagram of a gated clock cell according to the first embodiment of the present invention. 本発明の第1の実施の形態に関わる別のゲーテッドクロックセルの論理回路図である。FIG. 3 is a logic circuit diagram of another gated clock cell according to the first embodiment of the present invention. 従来のゲーテッドクロックセルの論理回路図である。It is a logic circuit diagram of a conventional gated clock cell. 本発明の第1の実施の形態に関わる別のゲーテッドクロックセルの論理回路図である。FIG. 3 is a logic circuit diagram of another gated clock cell according to the first embodiment of the present invention. 従来の別のゲーテッドクロックセルの論理回路図である。It is a logic circuit diagram of another conventional gated clock cell. 本発明の第2の実施の形態に関わるスキャンテスト制御回路の論理回路図である。It is a logic circuit diagram of the scan test control circuit concerning the 2nd Embodiment of this invention. 従来のスキャンテスト制御回路の論理回路図である。It is a logic circuit diagram of a conventional scan test control circuit. 本発明の第2の実施の形態に関わるスキャンテスト制御回路のスキャンテスト実施時の入力信号、及び停止(動作)クロックツリーの対応を表す表である。It is a table | surface showing the correspondence of the input signal at the time of scan test implementation of the scan test control circuit concerning the 2nd Embodiment of this invention, and a stop (operation | movement) clock tree. 本発明の第2の実施の形態に関わる別のスキャンテスト制御回路の論理回路図である。It is a logic circuit diagram of another scan test control circuit according to the second embodiment of the present invention. 図9のスキャンテスト制御回路のスキャンテスト実施時の入力信号、及び停止(動作)クロックツリーの対応を表す表である。10 is a table showing correspondence between input signals and stop (operation) clock tree when a scan test of the scan test control circuit of FIG. 9 is performed. 本発明の第3の実施の形態に関わるスキャンテスト制御回路、スキャンテストの各種情報の生成方法を表すフローチャートである。It is a flowchart showing the scan test control circuit in connection with the 3rd Embodiment of this invention, and the production | generation method of the various information of a scan test. 本発明の第3の実施の形態に関わるグルーピングの例を表す図面である。It is drawing showing the example of the grouping in connection with the 3rd Embodiment of this invention. 図11のスキャンテスト制御回路のスキャンテスト実施時の入力信号、及び停止クロックツリーの対応を表す表である。12 is a table showing a correspondence between an input signal and a stop clock tree when the scan test control circuit of FIG. 11 performs a scan test. 本発明の第3の実施の形態に関わるATPGにおける実行手順を表すフローチャートである。It is a flowchart showing the execution procedure in ATPG in connection with the 3rd Embodiment of this invention.

符号の説明Explanation of symbols

1000、1100、1200
…スキャン電力制御端子つきゲーテッドクロックセル、
2000、2100…ゲーテッドセル、
1001…SMC端子、1002…CEN端子、1003…CLK端子、
1004…SPC端子、1011…GCLK端子、1021…ORゲート、
1022…ラッチ、1023…第1ANDゲート、1024…第2ANDゲート、
1221…ORゲート、1224…第1ANDゲート、
2001…SMC端子、2002…CEN端子、2003…CLK端子、
2011…GCLK端子、2021…ORゲート、2022…ラッチ、
2023…第1ANDゲート、2221…ORゲート、
3001…クロック生成回路、3002…ゲーテッドクロックセル制御回路、
3003…スキャン電力制御回路、3013…PC_SIN端子、
3023…PC_Update端子、3033…内部フリップフロップ群、
3043…スキャン電力制御回路専用スキャンチェーン、
3053…PC_SOUT端子、
3061…第1スキャンチェーン、3062…第2スキャンチェーン、
3063…第3スキャンチェーン、3064…第4スキャンチェーン、
3103…ORゲート、
a、b、a1、a2、a3、b1、b2
…スキャン電力制御端子つきゲーテッドクロックセル。
1000, 1100, 1200
... Gated clock cell with scan power control terminal,
2000, 2100 ... gated cell,
1001 ... SMC terminal, 1002 ... CEN terminal, 1003 ... CLK terminal,
1004 ... SPC terminal, 1011 ... GCLK terminal, 1021 ... OR gate,
1022 ... Latch, 1023 ... First AND gate, 1024 ... Second AND gate,
1221 ... OR gate, 1224 ... first AND gate,
2001 ... SMC terminal, 2002 ... CEN terminal, 2003 ... CLK terminal,
2011 ... GCLK terminal, 2021 ... OR gate, 2022 ... latch,
2023 ... 1st AND gate, 2221 ... OR gate,
3001 ... Clock generation circuit, 3002 ... Gated clock cell control circuit,
3003 ... Scan power control circuit, 3013 ... PC_SIN terminal,
3023 ... PC_Update terminal, 3033 ... Internal flip-flop group,
3043 ... Scan power control circuit dedicated scan chain,
3053 ... PC_SOUT terminal,
3061 ... first scan chain, 3062 ... second scan chain,
3063 ... third scan chain, 3064 ... fourth scan chain,
3103: OR gate,
a, b, a1, a2, a3, b1, b2
... Gated clock cell with scan power control terminal.

Claims (5)

クロックイネーブル信号と、スキャンモード信号と、スキャン電力制御信号と、動作クロックと、が入力されるスキャン電力制御端子つきゲーテッドクロックセルであって、
前記クロックイネーブル信号及び前記スキャンモード信号のいずれかが「1」であっても、前記スキャン電力制御信号が「0」であれば入力された前記動作クロックの出力を行わないことを特徴とするスキャン電力制御端子つきゲーテッドクロックセル。
A gated clock cell with a scan power control terminal to which a clock enable signal, a scan mode signal, a scan power control signal, and an operation clock are input,
Even if one of the clock enable signal and the scan mode signal is “1”, if the scan power control signal is “0”, the input operation clock is not output. Gated clock cell with power control terminal.
ラッチと、第1ANDゲートと、第2ANDゲート、を有し、動作クロック及びスキャン電力制御信号が入力されるスキャン電力制御端子つきゲーテッドクロックセルであって、
前記第2ANDゲートは前記ラッチの出力と、前記スキャン電力制御信号の論理積を取り、
前記第1ANDゲートは前記第2ANDゲートの出力と前記動作クロックの論理積を取って出力することを特徴とするスキャン電力制御端子つきゲーテッドクロックセル。
A gated clock cell having a scan power control terminal having a latch, a first AND gate, and a second AND gate, to which an operation clock and a scan power control signal are input;
The second AND gate takes the logical product of the output of the latch and the scan power control signal,
The gated clock cell with a scan power control terminal, wherein the first AND gate outputs a logical product of the output of the second AND gate and the operation clock.
ラッチと、第1ANDゲートと、第2ANDゲート、を有し、動作クロック及びスキャン電力制御信号が入力されるスキャン電力制御端子つきゲーテッドクロックセルであって、
前記第2ANDゲートは前記スキャン電力制御信号及び他の信号との論理積を取り、
前記ラッチは前記第2ANDゲートの出力を前記動作クロックの反転信号でラッチし、
前記第1ANDゲートは前記ラッチの出力及び前記動作クロックの論理積を取ることを特徴とするスキャン電力制御端子つきゲーテッドクロックセル。
A gated clock cell having a scan power control terminal having a latch, a first AND gate, and a second AND gate, to which an operation clock and a scan power control signal are input;
The second AND gate takes a logical product with the scan power control signal and other signals,
The latch latches the output of the second AND gate with an inverted signal of the operation clock,
The gated clock cell with a scan power control terminal, wherein the first AND gate takes a logical product of the output of the latch and the operation clock.
ラッチと、第1ANDゲートと、第2ANDゲート、を有し、クロックイネーブル信号と、スキャンモード信号と、動作クロック及びスキャン電力制御信号が入力されるスキャン電力制御端子つきゲーテッドクロックセルであって、
前記ラッチは前記クロックイネーブル信号を前記動作クロックの反転信号でラッチし、
前記第2ANDゲートは、前記ラッチの出力と前記スキャンモード信号の論理和と前記スキャン電力制御信号の論理積を取り、
前記第1ANDゲートは、前記第2ANDゲートの出力と前記動作クロックの論理積を取って出力することを特徴とするスキャン電力制御端子つきゲーテッドクロックセル。
A gated clock cell with a scan power control terminal having a latch, a first AND gate, and a second AND gate, to which a clock enable signal, a scan mode signal, an operation clock and a scan power control signal are input;
The latch latches the clock enable signal with an inverted signal of the operation clock,
The second AND gate takes a logical product of the logical output of the output of the latch, the scan mode signal, and the scan power control signal,
The gated clock cell with a scan power control terminal, wherein the first AND gate outputs a logical product of the output of the second AND gate and the operation clock.
請求項1ないし4のいずれか1項に記載のスキャン電力制御端子つきゲーテッドクロックセルを用いた第1のスキャン電力制御端子つきゲーテッドセルと、請求項1ないし4のいずれか1項に記載のスキャン電力制御端子つきゲーテッドクロックセルを用いた第2のスキャン電力制御端子つきゲーテッドセルと、を含むスキャンテスト制御回路であって、
前記第1のスキャン電力制御端子つきゲーテッドセルは検査対象のフリップフロップ群の一部である第1のスキャンチェーンにたいしてのみ動作クロックを供給し、前記第2のスキャン電力制御端子つきゲーテッドセルは検査対象のフリップフロップ群の他の一部である第2のスキャンチェーンにたいしてのみ動作クロックを供給することを特徴とするスキャンテスト制御回路。
The gated cell with a first scan power control terminal using the gated clock cell with a scan power control terminal according to any one of claims 1 to 4, and the scan according to any one of claims 1 to 4. A scan test control circuit including a second gated cell with a scan power control terminal using a gated clock cell with a power control terminal,
The gated cell with the first scan power control terminal supplies an operation clock only to the first scan chain that is a part of the flip-flop group to be tested, and the gated cell with the second scan power control terminal is the test target. An operation clock is supplied only to a second scan chain which is another part of the flip-flop group of the scan test control circuit.
JP2008223016A 2008-09-01 2008-09-01 Gated clock cell and scan test control circuit Expired - Fee Related JP5244509B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008223016A JP5244509B2 (en) 2008-09-01 2008-09-01 Gated clock cell and scan test control circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008223016A JP5244509B2 (en) 2008-09-01 2008-09-01 Gated clock cell and scan test control circuit

Publications (2)

Publication Number Publication Date
JP2010060289A JP2010060289A (en) 2010-03-18
JP5244509B2 true JP5244509B2 (en) 2013-07-24

Family

ID=42187261

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008223016A Expired - Fee Related JP5244509B2 (en) 2008-09-01 2008-09-01 Gated clock cell and scan test control circuit

Country Status (1)

Country Link
JP (1) JP5244509B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5446358B2 (en) * 2009-03-24 2014-03-19 富士通セミコンダクター株式会社 Semiconductor integrated circuit and test method thereof
JP5361930B2 (en) * 2011-03-25 2013-12-04 株式会社東芝 Semiconductor integrated circuit and design method thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63148179A (en) * 1986-12-10 1988-06-21 Nec Corp Scan-path circuit
JP3963158B2 (en) * 2003-08-19 2007-08-22 ソニー株式会社 Semiconductor circuit device and test method thereof
JP2006003249A (en) * 2004-06-18 2006-01-05 Sanyo Electric Co Ltd Logic circuit
JP4366353B2 (en) * 2005-10-25 2009-11-18 パナソニック株式会社 Semiconductor integrated circuit and design method thereof

Also Published As

Publication number Publication date
JP2010060289A (en) 2010-03-18

Similar Documents

Publication Publication Date Title
US7082559B2 (en) Semiconductor integrated circuit device and test method thereof
JP5275136B2 (en) Semiconductor integrated circuit
Kuppuswamy et al. Full Hold-Scan Systems in Microprocessors: Cost/Benefit Analysis.
US6788105B2 (en) Semiconductor integrated circuit
US9606177B2 (en) Scan flip-flop circuit with dedicated clocks
WO2001053844A1 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
KR20090051157A (en) Transparent test method and scan flip-flop
US8281278B2 (en) System and method for supporting design of semiconductor integrated circuit including processing scan chains
Goel et al. Testing of SoCs with hierarchical cores: common fallacies, test access optimization, and test scheduling
US11500018B2 (en) Asynchronous circuits and test methods
JP2009109192A (en) Semiconductor integrated circuit device
US9086451B2 (en) Semiconductor integrated circuit and method for designing the same
JP5244509B2 (en) Gated clock cell and scan test control circuit
US20020157074A1 (en) Method for layout design and timing adjustment of logically designed integrated circuit
US20060242505A1 (en) Apparatus for performing stuck fault testings within an integrated circuit
Te Beest et al. Synchronous full-scan for asynchronous handshake circuits
US8943457B2 (en) Simulating scan tests with reduced resources
EP2487614A1 (en) Adding fine grain tuning circuitry to integrated circuit design
JP4549701B2 (en) Semiconductor circuit device and scan test method for semiconductor circuit
JP2003006253A (en) Logic circuit design method and program to let computer execute the method therefor
JP2012185127A (en) Semiconductor device and method for testing the same
Shi et al. Testing delay faults in asynchronous handshake circuits
JP2005190112A (en) Microcomputer and debug method therefor
JP3573692B2 (en) Scan path circuit, scan path circuit generation method, and recording medium recording the program
te Beest Full scan testing of handshake circuits

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110317

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130408

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160412

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees