JP5200476B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP5200476B2
JP5200476B2 JP2007252389A JP2007252389A JP5200476B2 JP 5200476 B2 JP5200476 B2 JP 5200476B2 JP 2007252389 A JP2007252389 A JP 2007252389A JP 2007252389 A JP2007252389 A JP 2007252389A JP 5200476 B2 JP5200476 B2 JP 5200476B2
Authority
JP
Japan
Prior art keywords
sidewall
semiconductor layer
semiconductor substrate
semiconductor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007252389A
Other languages
Japanese (ja)
Other versions
JP2009088021A (en
Inventor
洋介 島宗
直義 田村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2007252389A priority Critical patent/JP5200476B2/en
Publication of JP2009088021A publication Critical patent/JP2009088021A/en
Application granted granted Critical
Publication of JP5200476B2 publication Critical patent/JP5200476B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、歪み印加により動作速度を向上させた半導体装置及びその製造方法に関する。   The present invention relates to a semiconductor device whose operating speed is improved by applying strain and a method for manufacturing the same.

近時における、いわゆる90nmノード以降のLSIでは、更なる微細化が要請されており、これに伴いトランジスタの能力向上が困難となってきている。これは、ゲート長の短縮化に伴いスタンバイオフリーク電流が増大することから、オフリーク電流を一定に抑えようとすると、電流駆動能力の向上が極めて困難となることに起因する。そのため、トランジスタの能力向上を図るための新しいアプローチが探索されている。   In recent LSIs of the so-called 90 nm node and beyond, further miniaturization has been demanded, and it has become difficult to improve the performance of transistors. This is because the standby off-leakage current increases as the gate length is shortened, so that it is extremely difficult to improve the current driving capability if the off-leakage current is kept constant. For this reason, new approaches for improving the performance of transistors are being searched.

その一つの試みとして、ストレインドシリコン(strained silicon)技術がある。これは、チャネル領域へストレスを印加することで、バンド構造を変化させ、キャリアの有効質量を軽減し、キャリア移動度を向上することによる電流駆動能力の向上技術である。
pチャネルMOSトランジスタでは、チャネル領域に一軸性の圧縮応力を印加することでキャリアの移動度が向上することが知られている。チャネル領域に圧縮応力を印加する具体例として、ソース/ドレイン領域に凹部を形成し、当該凹部内にエピタキシャル法によりSiGe層を埋め込む、いわゆるエンベディッド構造のトランジスタが提案されている(特許文献1を参照)。
One such attempt is strained silicon technology. This is a technique for improving current driving capability by applying a stress to the channel region to change the band structure, reduce the effective mass of carriers, and improve carrier mobility.
In a p-channel MOS transistor, it is known that carrier mobility is improved by applying a uniaxial compressive stress to a channel region. As a specific example of applying compressive stress to the channel region, a so-called embedded transistor in which a recess is formed in the source / drain region and a SiGe layer is embedded in the recess by an epitaxial method has been proposed (see Patent Document 1). ).

SiGeはシリコンよりも格子定数が大きく、SiGe層の結晶が基板面内方向でシリコン基板に格子整合する。そのため、シリコン基板は基板垂直方向では伸張される。その結果として、基板面内方向、即ちチャネル方向でチャネル領域に圧縮歪が導入され、圧縮応力が印加される。チャネル領域にこのような一軸性の圧縮応力が印加される結果、チャネル領域を構成するSi結晶の対称性が局所的に変調される。更に、かかる対称性の変化に伴って、重いホールの価電子帯と軽いホールの価電子帯の縮退が解けるため、チャネル領域におけるホール移動度が増大し、トランジスタの動作速度が向上する。このようなチャネル領域に局所的に誘起された応力によるホール移動度の増大及びこれに伴うトランジスタ動作速度の向上は、特にゲート長が100nm以下の超微細化された半導体装置に顕著に現れる。   SiGe has a larger lattice constant than silicon, and the crystal of the SiGe layer lattice matches with the silicon substrate in the in-plane direction of the substrate. Therefore, the silicon substrate is stretched in the direction perpendicular to the substrate. As a result, compressive strain is introduced into the channel region in the in-plane direction of the substrate, that is, in the channel direction, and compressive stress is applied. As a result of such uniaxial compressive stress being applied to the channel region, the symmetry of the Si crystal constituting the channel region is locally modulated. Further, with such a change in symmetry, the degeneration of the valence band of heavy holes and the valence band of light holes can be solved, so that the hole mobility in the channel region is increased and the operation speed of the transistor is improved. Such an increase in hole mobility due to stress locally induced in the channel region and an accompanying improvement in transistor operation speed are particularly noticeable in an ultrafine semiconductor device having a gate length of 100 nm or less.

特開2006−186240号公報JP 2006-186240 A 国際公開第2004/097943号パンフレットInternational Publication No. 2004/097943 Pamphlet 特開2006−332337号公報JP 2006-332337 A

SiGe層をソース/ドレイン領域に埋め込むエンベディッド構造のトランジスタにおいて、チャネルへ圧縮歪を導入することによりホール移動度が増大し、トランジスタの電流駆動能力が改善される。しかしながら、45nmノード以降では、この電流駆動能力の改善率を更に高める必要がある。   In the embedded structure transistor in which the SiGe layer is embedded in the source / drain region, by introducing compressive strain into the channel, the hole mobility is increased and the current driving capability of the transistor is improved. However, after the 45 nm node, it is necessary to further increase the current drive capability improvement rate.

そのため従来では、SiGe層のGe濃度を高める、SiGe層間の間隔を狭める等の方法が考えられているが、何れも短所がある。前者の手法では、チャネル領域における歪みを高める反面、SiGe層中に転位を発生させて電流リークパスが形成され易いという問題がある。後者の手法では、チャネル領域における歪みを高める反面、トランジスタのロールオフ特性が損なわれるという問題がある。   Therefore, conventionally, methods such as increasing the Ge concentration of the SiGe layer and narrowing the interval between the SiGe layers have been considered, but all have disadvantages. The former method increases the strain in the channel region, but has a problem that dislocations are generated in the SiGe layer and a current leak path is easily formed. The latter technique increases the distortion in the channel region, but has a problem that the roll-off characteristics of the transistor are impaired.

本発明は、上記の課題に鑑みてなされたものであり、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、チャネル領域に導入される歪み量を大幅に高め、動作速度を向上させることを可能とする信頼性の高い半導体装置及びその製造方法を提供することを目的とする。   The present invention has been made in view of the above problems, and greatly increases the amount of distortion introduced into the channel region without causing problems such as the occurrence of a current leak path and the deterioration of roll-off characteristics. An object of the present invention is to provide a highly reliable semiconductor device and a method for manufacturing the same capable of improving the characteristics.

本発明の半導体装置の製造方法は、半導体基板上にゲート絶縁膜及びゲート電極を形成し、前記半導体基板にチャネル領域を形成する工程と、前記ゲート電極の側面に第1のサイドウォールを形成する工程と、前記第1のサイドウォールに隣接する前記半導体基板上に、ノンドープの第1の半導体層を形成する工程と、前記第1のサイドウォール上及びノンドープの前記第1の半導体層上に第2のサイドウォールを形成する工程と、前記第2のサイドウォールをマスクとし、ノンドープの前記第1の半導体層及び前記半導体基板をエッチングして凹部を形成する工程と、前記凹部に、第2の半導体層を形成する工程とを含む。
本発明の半導体装置の製造方法の他の態様は、半導体基板上にゲート絶縁膜及びゲート電極を形成し、前記半導体基板にチャネル領域を形成する工程と、前記ゲート電極の側面に第1のサイドウォールを形成する工程と、前記第1のサイドウォールに隣接する前記半導体基板上に、第1の半導体層を形成する工程と、前記第1のサイドウォール上及び前記第1の半導体層上に第2のサイドウォールを形成する工程と、前記第2のサイドウォールをマスクとし、前記第1の半導体層及び前記半導体基板をエッチングして凹部を形成する工程と、前記凹部に、前記第1の半導体層の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有する第2の半導体層を形成する工程とを含む
本発明の半導体装置の製造方法の他の態様は、半導体基板上にゲート絶縁膜及びゲート電極を形成し、前記半導体基板にチャネル領域を形成する工程と、前記ゲート電極の側面に第1のサイドウォールを形成する工程と、前記第1のサイドウォールに隣接する前記半導体基板上に、第1の半導体層を形成する工程と、前記第1のサイドウォール上及び前記第1の半導体層上に第2のサイドウォールを形成する工程と、前記第2のサイドウォールをマスクとし、前記第1の半導体層及び前記半導体基板をエッチングして凹部を形成する工程と、前記凹部に、前記半導体基板の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有する第2の半導体層を形成する工程とを含み、前記突出により最も突き出した前記第2の半導体層の部分は、前記半導体基板と接する。
According to a method of manufacturing a semiconductor device of the present invention, a gate insulating film and a gate electrode are formed on a semiconductor substrate, a channel region is formed on the semiconductor substrate, and a first sidewall is formed on a side surface of the gate electrode. Forming a non-doped first semiconductor layer on the semiconductor substrate adjacent to the first sidewall; and forming a first non-doped semiconductor layer on the first sidewall and the non-doped first semiconductor layer. A step of forming a recess by etching the non-doped first semiconductor layer and the semiconductor substrate using the second sidewall as a mask, and a step of forming a recess in the recess. Forming a semiconductor layer.
According to another aspect of the method for manufacturing a semiconductor device of the present invention, a gate insulating film and a gate electrode are formed on a semiconductor substrate, a channel region is formed on the semiconductor substrate, and a first side is formed on a side surface of the gate electrode. Forming a wall; forming a first semiconductor layer on the semiconductor substrate adjacent to the first sidewall; and forming a first semiconductor layer on the first sidewall and the first semiconductor layer. Forming a recess by etching the first semiconductor layer and the semiconductor substrate using the second sidewall as a mask, and forming the recess in the recess. Forming a second semiconductor layer having a lattice constant larger than that of the layer and having a shape in which a side surface protrudes toward the channel region .
According to another aspect of the method for manufacturing a semiconductor device of the present invention, a gate insulating film and a gate electrode are formed on a semiconductor substrate, a channel region is formed on the semiconductor substrate, and a first side is formed on a side surface of the gate electrode. Forming a wall; forming a first semiconductor layer on the semiconductor substrate adjacent to the first sidewall; and forming a first semiconductor layer on the first sidewall and the first semiconductor layer. And forming a recess by etching the first semiconductor layer and the semiconductor substrate using the second sidewall as a mask, and a lattice of the semiconductor substrate in the recess. Forming a second semiconductor layer having a lattice constant larger than a constant and having a shape in which a side surface projects toward the channel region. Serial portion of the second semiconductor layer is in contact with the semiconductor substrate.

本発明の半導体装置は、半導体基板と、前記半導体基板上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に形成されたゲート電極と、前記半導体基板に形成されたチャネル領域と、前記ゲート電極の側面に形成されたサイドウォールと、前記サイドウォールに接し、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高い位置に形成されたノンドープの第1の半導体層と、ノンドープの前記第1の半導体層と接し、前記半導体基板内に埋め込まれた第2の半導体層とを含む。
本発明の半導体装置の他の態様は、半導体基板と、前記半導体基板上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に形成されたゲート電極と、前記半導体基板に形成されたチャネル領域と、前記ゲート電極の側面に形成されたサイドウォールと、前記サイドウォールに接し、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高い位置に形成された第1の半導体層と、前記第1の半導体層と接し、前記半導体基板内に埋め込まれた第2の半導体層とを含み、前記第2の半導体層は、前記第1の半導体層の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有する。
本発明の半導体装置の他の態様は、半導体基板と、前記半導体基板上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に形成されたゲート電極と、前記半導体基板に形成されたチャネル領域と、前記ゲート電極の側面に形成されたサイドウォールと、前記サイドウォールに接し、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高い位置に形成された第1の半導体層と、前記第1の半導体層と接し、前記半導体基板内に埋め込まれた、前記半導体基板の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有する第2の半導体層とを含み、前記突出により最も突き出した前記第2の半導体層の部分は、前記半導体基板と接する。
A semiconductor device of the present invention includes a semiconductor substrate, a gate insulating film formed on the semiconductor substrate , a gate electrode formed on the gate insulating film, a channel region formed on the semiconductor substrate, and the gate a sidewall formed on the side surface of the electrode, the sidewall in contact, and the semiconductor substrate and the gate insulating film and the first semiconductor layer of undoped formed at a position higher than the position of the interface, non-doped of the first And a second semiconductor layer embedded in the semiconductor substrate.
Another aspect of the semiconductor device of the present invention includes a semiconductor substrate, a gate insulating film formed on the semiconductor substrate, a gate electrode formed on the gate insulating film, and a channel region formed on the semiconductor substrate. A sidewall formed on a side surface of the gate electrode; a first semiconductor layer in contact with the sidewall; and formed at a position higher than an interface position between the semiconductor substrate and the gate insulating film; A second semiconductor layer embedded in the semiconductor substrate, wherein the second semiconductor layer has a lattice constant larger than that of the first semiconductor layer, and has a side surface. Has a shape protruding toward the channel region.
Another aspect of the semiconductor device of the present invention includes a semiconductor substrate, a gate insulating film formed on the semiconductor substrate, a gate electrode formed on the gate insulating film, and a channel region formed on the semiconductor substrate. A sidewall formed on a side surface of the gate electrode; a first semiconductor layer in contact with the sidewall; and formed at a position higher than an interface position between the semiconductor substrate and the gate insulating film; A second semiconductor layer in contact with one semiconductor layer, embedded in the semiconductor substrate, having a lattice constant larger than that of the semiconductor substrate and having a shape in which a side surface protrudes toward the channel region; The portion of the second semiconductor layer that includes and protrudes most by the protrusion is in contact with the semiconductor substrate.

本発明によれば、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、チャネル領域に導入される歪み量を大幅に高め、動作速度を向上させることが可能となり、信頼性の高い半導体装置が実現する。   According to the present invention, it is possible to greatly increase the amount of distortion introduced into the channel region and improve the operation speed without causing a problem such as occurrence of a current leak path or deterioration of roll-off characteristics, and reliability can be improved. High-semiconductor device is realized.

以下、本発明による好適な諸実施形態について、図面を参照しながら詳細に説明する。これらの実施形態では、半導体装置としてMOSトランジスタを例示するが、本発明はMOSトランジスタに限定されるものではなく、各種のMISトランジスタや半導体メモリ等、ゲート電極を有する半導体装置に適用可能である。   Hereinafter, preferred embodiments according to the present invention will be described in detail with reference to the drawings. In these embodiments, a MOS transistor is exemplified as a semiconductor device, but the present invention is not limited to a MOS transistor, and can be applied to a semiconductor device having a gate electrode, such as various MIS transistors and semiconductor memories.

―第1の実施形態―
本実施形態では、MOSトランジスタとしてpチャネルMOSトランジスタを例示する。
-First embodiment-
In this embodiment, a p-channel MOS transistor is exemplified as the MOS transistor.

(pチャネルMOSトランジスタの構成)
図1は、第1の実施形態によるpチャネルMOSトランジスタの構成を示す概略断面図である。
このpチャネルMOSトランジスタでは、例えば(001)面方位のシリコン基板1の素子分離領域に形成されたSTI素子分離構造2により、活性領域1Aが画定されている。活性領域1Aには、n型不純物が導入されてウェル10が形成されている。
(Configuration of p-channel MOS transistor)
FIG. 1 is a schematic cross-sectional view showing the configuration of the p-channel MOS transistor according to the first embodiment.
In this p-channel MOS transistor, for example, an active region 1A is defined by an STI element isolation structure 2 formed in an element isolation region of a silicon substrate 1 having a (001) orientation. A well 10 is formed in the active region 1A by introducing an n-type impurity.

シリコン基板1の活性領域1Aには、例えば熱酸化膜又はSiON膜よりなる高品質のゲート絶縁膜3が膜厚1.2nm程度に形成されている。ゲート絶縁膜3上にはp型不純物がドープされた例えば高さ100nm程度、長さ30nm程度の多結晶シリコン膜からなるゲート電極4が形成されている。   In the active region 1A of the silicon substrate 1, a high-quality gate insulating film 3 made of, for example, a thermal oxide film or a SiON film is formed to a thickness of about 1.2 nm. On the gate insulating film 3, a gate electrode 4 made of a polycrystalline silicon film doped with p-type impurities and having a height of about 100 nm and a length of about 30 nm is formed.

ゲート電極4の両側面にはサイドウォール5が形成されている。サイドウォール5内には、シリコン基板1とゲート絶縁膜3との界面位置よりも高い位置、ここではシリコン基板1の表面上に例えばエピタキシャル成長によりせり上げ形成された第1の半導体層であるSiエピ層6が、例えば膜厚(高さ)10nm程度に形成されている。Siエピ層6は、不純物が導入されないノンドープ層であることが望ましい。不純物が導入されている場合、ゲート電極4とサイドウォール5との間にフリンジ容量が形成されてしまい、高周波応答特性を損なう原因となる。ここで、第1の半導体層として、Siエピ層6の代わりに、例えばSiに炭素(C)を含むSiCエピ層、Siにゲルマニウム(Ge)含むSiGeエピ層、又はSiにC及びGeを含むSiGeCエピ層として形成しても好適である。   Sidewalls 5 are formed on both side surfaces of the gate electrode 4. In the sidewall 5, an Si epitaxial layer, which is a first semiconductor layer raised by, for example, epitaxial growth on a position higher than the interface position between the silicon substrate 1 and the gate insulating film 3, here, on the surface of the silicon substrate 1. The layer 6 is formed with a film thickness (height) of about 10 nm, for example. The Si epi layer 6 is preferably a non-doped layer into which impurities are not introduced. When impurities are introduced, a fringe capacitance is formed between the gate electrode 4 and the side wall 5, which causes the high frequency response characteristics to be impaired. Here, as the first semiconductor layer, instead of the Si epi layer 6, for example, a SiC epi layer containing carbon (C) in Si, a SiGe epi layer containing germanium (Ge) in Si, or C and Ge in Si. It is also suitable to form as a SiGeC epilayer.

サイドウォール5は、ゲート電極4の側面を直接覆う第1のサイドウォール11と、第1のサイドウォール11の表面をその下部を除いて覆う第2のサイドウォール12とから構成されており、第2のサイドウォール12下にSiエピ層6が形成されている。   The side wall 5 is composed of a first side wall 11 that directly covers the side surface of the gate electrode 4 and a second side wall 12 that covers the surface of the first side wall 11 except for its lower portion. The Si epi layer 6 is formed under the two sidewalls 12.

第1のサイドウォール11は、ゲート電極4の側面からシリコン基板1の表面の一部にかけて覆うように形成された薄いシリコン酸化膜11aと、シリコン酸化膜11aの表面を覆うように形成された(即ち、シリコン基板1の上方にシリコン酸化膜11aを介して形成された)シリコン窒化膜11bとから構成されている。
第2のサイドウォール12は、シリコン窒化膜11bの表面からSiエピ層6上にかけて直接覆うように形成された薄いシリコン酸化膜12aと、シリコン酸化膜12aの表面を覆うように形成された(即ち、Siエピ層6の上方にシリコン酸化膜12aを介して形成された)シリコン窒化膜12bとから構成されている。
The first sidewall 11 is formed so as to cover the surface of the silicon oxide film 11a and the thin silicon oxide film 11a formed so as to cover from the side surface of the gate electrode 4 to a part of the surface of the silicon substrate 1 ( That is, the silicon nitride film 11b is formed above the silicon substrate 1 via the silicon oxide film 11a.
The second sidewall 12 is formed so as to cover the surface of the silicon oxide film 12a and the thin silicon oxide film 12a formed so as to directly cover the surface of the silicon nitride film 11b over the Si epi layer 6 (that is, the silicon oxide film 12a). And a silicon nitride film 12b formed above the Si epi layer 6 via a silicon oxide film 12a.

ここで、シリコン酸化膜11a、シリコン窒化膜11b、シリコン酸化膜12a、シリコン窒化膜12bの膜厚は、この順で例えば3nm程度、7nm程度、5nm程度、35nm程度とされている。   Here, the thicknesses of the silicon oxide film 11a, the silicon nitride film 11b, the silicon oxide film 12a, and the silicon nitride film 12b are, for example, about 3 nm, about 7 nm, about 5 nm, and about 35 nm.

シリコン基板1の表層には、それぞれp型不純物が導入されて、ゲート電極4に位置整合して形成された浅い接合であるエクステンション領域7と、エクステンション領域7と一部重畳し、第2のサイドウォール12に位置整合して形成されたエクステンション領域7よりも深い接合であるソース/ドレイン領域8とが形成されている。   In the surface layer of the silicon substrate 1, a p-type impurity is introduced and an extension region 7 which is a shallow junction formed in alignment with the gate electrode 4, and partially overlaps with the extension region 7, so that the second side A source / drain region 8 which is a junction deeper than the extension region 7 formed in alignment with the wall 12 is formed.

シリコン基板1のソース/ドレイン領域8の上部には凹部1aが形成される。そして、Siエピ層6と接触して当該Siエピ層6を介してゲート電極4と近接し、シリコン基板1の表面から上部が突出するように凹部1a内に第2の半導体層が形成されている。この第2の半導体層は、例えばエピタキシャル成長により形成されたSiGe層であり、以下、SiGeエピ層9とする。ゲート電極4の上部及びSiGeエピ層9の上部には、シリサイド層13が形成されている。ここで、第2の半導体層として、SiGeエピ層9の代わりに、例えばSiにCを含むSiCエピ層、又はSiにC及びGeを含むSiGeCエピ層として形成しても好適である。   A recess 1 a is formed on the source / drain region 8 of the silicon substrate 1. Then, a second semiconductor layer is formed in the recess 1a so as to come into contact with the Si epi layer 6 and close to the gate electrode 4 through the Si epi layer 6 so that the upper portion protrudes from the surface of the silicon substrate 1. Yes. This second semiconductor layer is, for example, a SiGe layer formed by epitaxial growth, and is hereinafter referred to as a SiGe epilayer 9. A silicide layer 13 is formed on the gate electrode 4 and the SiGe epilayer 9. Here, as the second semiconductor layer, instead of the SiGe epilayer 9, for example, a SiC epilayer containing C in Si or a SiGeC epilayer containing C and Ge in Si is suitable.

ここで、凹部1aはその内壁の側面がゲート電極4に向かって突出する形状とされている。SiGeエピ層9は、凹部1a内に埋め込み形成されることから、この凹部1aの形状に倣って、その側面がゲート電極4に向かって突出する形状とされ、突出の頂点9aがチャネル領域1bの浅い部分に位置する。SiGeエピ層9は、Ge比率が例えば20%程度で濃度が1×1020/cm3程度のホウ素(B)を含有しており、シリコン基板1の表面から例えば高さ20nm程度隆起するように形成されている。 Here, the recess 1 a has a shape in which the side surface of the inner wall protrudes toward the gate electrode 4. Since the SiGe epilayer 9 is embedded in the recess 1a, the side surface of the SiGe epilayer 9 protrudes toward the gate electrode 4 following the shape of the recess 1a, and the protruding apex 9a is the channel region 1b. Located in a shallow part. The SiGe epilayer 9 contains boron (B) having a Ge ratio of, for example, about 20% and a concentration of about 1 × 10 20 / cm 3 , and is raised from the surface of the silicon substrate 1 by, for example, about 20 nm. Is formed.

本実施形態では、ゲート絶縁膜3の直下におけるチャネル領域1bの表面より高くせり上げられたエピSi層6を介して、SiGeエピ層9がチャネル領域1bへ圧縮歪を導入し、これによりチャネル領域1bは圧縮応力が印加された状態とされる。この場合、以下のように高効率の圧縮応力の印加が可能となる。   In the present embodiment, the SiGe epilayer 9 introduces compressive strain into the channel region 1b through the epi-Si layer 6 raised above the surface of the channel region 1b immediately below the gate insulating film 3, and thereby the channel region 1b is in a state where compressive stress is applied. In this case, highly efficient compressive stress can be applied as follows.

本実施形態では、凹部1aを形成する前にエピSi層6を所定膜厚に調節して形成することにより、凹部1aの内壁側面の突出頂点がチャネル領域1bの浅い部分に位置するように、当該凹部1aを後述の手法で形成することができる。これに伴って、凹部1aに形成されるSiGeエピ層9も同様に、突出の頂点9aがチャネル領域1bの浅い所定部分に位置するように形成される。図2(a)に示すように、この頂点9aにおいて、SiGeエピ層9からチャネル領域1bに印加する圧縮応力が集中し(図2中、破線で囲む領域で示す。)、最も圧縮応力が必要な部位に効率良く大きな圧縮応力が付与されることになる。これに対して、従来のエピSi層6を有しない構成(便宜上、図2(a)と共通する構成部材に同符号を付す。)では、図2(b)に示すように、頂点9aの形成位置を調節できないため、チャネル領域1bの最も圧縮応力が必要な部位に頂点9aが位置せず、十分なチャネル領域1bに十分な圧縮応力を印加することができない。   In the present embodiment, by forming the epi-Si layer 6 by adjusting the film thickness to a predetermined thickness before forming the recess 1a, the protruding vertex on the inner wall side surface of the recess 1a is positioned at a shallow portion of the channel region 1b. The concave portion 1a can be formed by a method described later. Along with this, the SiGe epilayer 9 formed in the recess 1a is similarly formed so that the protruding vertex 9a is located at a shallow predetermined portion of the channel region 1b. As shown in FIG. 2A, the compressive stress applied from the SiGe epilayer 9 to the channel region 1b is concentrated at the apex 9a (shown by a region surrounded by a broken line in FIG. 2), and the most compressive stress is necessary. A large compressive stress is efficiently applied to such a part. On the other hand, in the configuration without the conventional epi-Si layer 6 (for convenience, the same reference numerals are given to the structural members common to FIG. 2A), as shown in FIG. Since the formation position cannot be adjusted, the apex 9a is not located at the site where the most compressive stress is required in the channel region 1b, and sufficient compressive stress cannot be applied to the sufficient channel region 1b.

エピSi層6は、SiGeエピ層9との接触部位(図1中、破線で囲む領域)において、Siがこれよりも格子定数の大きいSiGeと格子整合している。この構成により、シリコン基板1の基板垂直方向への伸張が助長され、SiGeエピ層9からのチャネル領域1bへの圧縮歪が更に増大することになる。
以上から、本実施形態では、SiGeエピ層9のGe濃度を高めたり、SiGeエピ層9間の間隔を狭めたりする方策を採ることなしに、チャネル領域1bに印加される圧縮応力を高め、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、トランジスタの動作速度を向上させることが可能となる。
The epi-Si layer 6 is lattice-matched with SiGe having a larger lattice constant than Si at a contact portion with the SiGe epi-layer 9 (a region surrounded by a broken line in FIG. 1). With this configuration, expansion of the silicon substrate 1 in the substrate vertical direction is promoted, and the compressive strain from the SiGe epilayer 9 to the channel region 1b further increases.
From the above, in the present embodiment, the compressive stress applied to the channel region 1b is increased without taking measures to increase the Ge concentration of the SiGe epilayer 9 or to narrow the interval between the SiGe epilayers 9 and to increase the current. The operation speed of the transistor can be improved without causing a problem such as occurrence of a leak path or deterioration of roll-off characteristics.

具体的に、本実施形態によるpチャネルMOSトランジスタについて、従来のエピSi層を有しないpチャネルMOSトランジスタ(例えば特許文献1)との比較に基づき、チャネル領域に印加される圧縮応力について、ストレスシミュレーション実験により調べた。その結果を図3に示す。図3では、横軸がチャネル領域の中央部位を基準(0μm)とした離間距離、縦軸が応力(圧縮応力は負値となる。)である。ここで、従来例としては、SiGeエピ層の側面形状の若干異なる3種を、本実施形態としては、サイドウォールをシリコン窒化膜で形成したものとシリコン酸化膜で形成したものとの2種(従って、上記したトランジスタ構成とは若干異なるが、本質的に異なるものではない。)を、それぞれ例示する。
このように、本実施形態のpチャネルMOSトランジスタでは、従来のものに比して、そのチャネル領域に印加される圧縮応力が2倍以上に高められることが判る。
Specifically, for the p-channel MOS transistor according to the present embodiment, a stress simulation is performed on the compressive stress applied to the channel region based on a comparison with a conventional p-channel MOS transistor having no epi-Si layer (for example, Patent Document 1). It was examined by experiment. The result is shown in FIG. In FIG. 3, the horizontal axis represents a separation distance with the central portion of the channel region as a reference (0 μm), and the vertical axis represents stress (compressive stress is a negative value). Here, as a conventional example, three types having slightly different side surface shapes of the SiGe epilayer are used, and in this embodiment, two types of the side wall formed by a silicon nitride film and a type formed by a silicon oxide film ( Accordingly, although slightly different from the above-described transistor configuration, it is not essentially different).
Thus, it can be seen that in the p-channel MOS transistor of this embodiment, the compressive stress applied to the channel region is increased more than twice as compared with the conventional one.

なお、特許文献2にはエクステンション領域がゲート電極の両側でせり上がった構成が、特許文献3にはゲート電極の両側直下のエクステンション領域にSiGeを形成した構成が開示されている。しかしながら特許文献2,3の構成は、ソース/ドレイン領域にSiGeを形成した構成を採っておらず、本発明とは別発明である。   Patent Document 2 discloses a configuration in which extension regions are raised on both sides of a gate electrode, and Patent Document 3 discloses a configuration in which SiGe is formed in an extension region immediately below both sides of a gate electrode. However, the configurations of Patent Documents 2 and 3 do not adopt a configuration in which SiGe is formed in the source / drain regions, and are different from the present invention.

(pチャネルMOSトランジスタの製造方法)
以下、上記したpチャネルMOSトランジスタの具体的な製造方法について説明する。
図4及び図5は、第1の実施形態によるpチャネルMOSトランジスタの製造方法を工程順に示す概略断面図である。
(Manufacturing method of p-channel MOS transistor)
Hereinafter, a specific method for manufacturing the above-described p-channel MOS transistor will be described.
4 and 5 are schematic cross-sectional views showing the method of manufacturing the p-channel MOS transistor according to the first embodiment in the order of steps.

先ず、図4(a)に示すように、シリコン基板1に、STI素子分離構造2、ウェル10、ゲート絶縁膜3、及びゲート電極4を順次形成する。
詳細には、先ず、例えば(001)面方位のシリコン基板1を用意し、例えばSTI(Shallow Trench Isolation)法により、シリコン基板1の素子分離領域に素子溝1cを形成し、この素子溝1cを埋め込むようにCVD法等により絶縁膜、例えばシリコン酸化膜を堆積し、化学機械研磨(Chemical Mechanical Polishing:CMP)によりシリコン酸化膜を研磨して平坦化する。これにより、素子溝1cをシリコン酸化膜で充填してなるSTI素子分離構造2が形成される。STI素子分離構造2により、シリコン基板1で活性領域1Aが画定される。
First, as shown in FIG. 4A, an STI element isolation structure 2, a well 10, a gate insulating film 3, and a gate electrode 4 are sequentially formed on a silicon substrate 1.
Specifically, first, for example, a silicon substrate 1 having a (001) plane orientation is prepared, and an element groove 1c is formed in an element isolation region of the silicon substrate 1 by, for example, STI (Shallow Trench Isolation), and the element groove 1c is formed. An insulating film such as a silicon oxide film is deposited by CVD or the like so as to be embedded, and the silicon oxide film is polished and planarized by chemical mechanical polishing (CMP). As a result, the STI element isolation structure 2 formed by filling the element trench 1c with the silicon oxide film is formed. An active region 1 </ b> A is defined on the silicon substrate 1 by the STI element isolation structure 2.

次に、活性領域1Aにn型不純物、ここではリン(P+)を加速エネルギー360keV、ドーズ量3×1013/cm2の条件でイオン注入し、活性化アニールを行う。これにより、活性領域1Aにウェル10が形成される。 Next, n-type impurities, here phosphorus (P + ), are ion-implanted into the active region 1A under conditions of an acceleration energy of 360 keV and a dose of 3 × 10 13 / cm 2 , and activation annealing is performed. Thereby, the well 10 is formed in the active region 1A.

次に、熱酸化法又はCVD法により、シリコン酸化膜又はシリコン酸窒化膜を例えば膜厚1.2nm程度に堆積し、ゲート絶縁膜3を形成する。
次に、全面にCVD法等により多結晶シリコン膜(不図示)を例えば膜厚100nm程度に堆積する。この多結晶シリコン膜及びゲート絶縁膜3をリソグラフィー及び異方性ドライエッチングにより電極形状に加工し、活性領域1A上でゲート絶縁膜3を介したゲート電極4を形成する。
Next, a silicon oxide film or a silicon oxynitride film is deposited to a thickness of, for example, about 1.2 nm by a thermal oxidation method or a CVD method to form the gate insulating film 3.
Next, a polycrystalline silicon film (not shown) is deposited to a thickness of about 100 nm, for example, on the entire surface by CVD or the like. The polycrystalline silicon film and the gate insulating film 3 are processed into an electrode shape by lithography and anisotropic dry etching to form the gate electrode 4 via the gate insulating film 3 on the active region 1A.

続いて、図4(b)に示すように、一対のエクステンション領域7及び第1のサイドウォール11を順次形成する。
詳細には、先ず、ゲート電極4をマスクとして、活性領域1Aにp型不純物、ここではホウ素(B+)を加速エネルギー0.3keV、ドーズ量1×1014/cm2の条件でイオン注入し、ゲート電極4の両側における活性領域1Aの表層に一対のエクステンション領域7を形成する。なお、エクステンション領域7は、後述するアニール処理で不純物が活性化されて形成されるものであるが、ここでは図示の便宜上、形成されたものとしてエクステンション領域7を明示する。
Subsequently, as shown in FIG. 4B, a pair of extension regions 7 and first sidewalls 11 are sequentially formed.
More specifically, first, using the gate electrode 4 as a mask, a p-type impurity, here boron (B + ), is ion-implanted into the active region 1A under conditions of an acceleration energy of 0.3 keV and a dose of 1 × 10 14 / cm 2. Then, a pair of extension regions 7 are formed in the surface layer of the active region 1A on both sides of the gate electrode 4. The extension region 7 is formed by activating an impurity by an annealing process described later. Here, for convenience of illustration, the extension region 7 is clearly shown as being formed.

次に、例えばビスターシャルブチルアミノシラン及び酸素の混合ガスを用い、処理温度を400℃〜600℃としてCVD法によりシリコン酸化膜11aを例えば膜厚3nm程度に形成した後、Si26,SiH4等のSiソースガス及びNH3ガスの混合ガス、或いはビスターシャルブチルアミノシラン及びNH3ガスの混合ガスを用い、処理温度を400℃〜600℃としてCVD法によりシリコン窒化膜11bを例えば膜厚7nm程度に形成する。そして、シリコン窒化膜11b及びシリコン酸化膜11aの全面を異方性ドライエッチング(エッチバック)し、シリコン酸化膜11a及びシリコン窒化膜11bをゲート電極4の両側面のみに残す。これにより、シリコン酸化膜11a及びシリコン窒化膜11bが積層されて第1のサイドウォール11が形成される。ここで、シリコン酸化膜11aは当該エッチバックの際のシリコン窒化膜11bのエッチングストッパーとして機能するものである。シリコン窒化膜のみではシリコン基板1との間で十分なエッチング選択比を保持することができず、シリコン酸化膜11aを付加形成することによりシリコン窒化膜11bの所期の正確なエッチングが可能となる。 Next, for example, a silicon oxide film 11a is formed to a thickness of, for example, about 3 nm by a CVD method using a mixed gas of, for example, binary butylaminosilane and oxygen and a processing temperature of 400 ° C. to 600 ° C. Then, Si 2 H 6 , SiH 4 The silicon nitride film 11b is formed to a thickness of, for example, about 7 nm by a CVD method using a mixed gas of Si source gas and NH 3 gas or the like, or a mixed gas of binary butylaminosilane and NH 3 gas at a processing temperature of 400 ° C. to 600 ° C. To form. Then, the entire surfaces of the silicon nitride film 11b and the silicon oxide film 11a are subjected to anisotropic dry etching (etchback) to leave the silicon oxide film 11a and the silicon nitride film 11b only on both side surfaces of the gate electrode 4. Thus, the first sidewall 11 is formed by laminating the silicon oxide film 11a and the silicon nitride film 11b. Here, the silicon oxide film 11a functions as an etching stopper for the silicon nitride film 11b during the etch back. A sufficient etching selectivity with the silicon substrate 1 cannot be maintained with only the silicon nitride film, and the silicon oxide film 11a can be additionally formed so that the desired and accurate etching of the silicon nitride film 11b becomes possible. .

続いて、図4(c)に示すように、エクステンション領域7の表面にSiエピ層6を形成する。
詳細には、Siの選択エピタキシャル成長を行い、エクステンション領域7の表面に所定膜厚、ここでは10nm程度のSiエピ層6をせり上げ形成する。これはSiH4,HCl,H2の混合ガスを、処理温度を550℃〜700℃としたLPCVD法により供給することにより、Si面が露出している部分にのみSiエピ層6を選択的に形成することができる。このとき、ゲート電極4の上面にもSi面が露出しているため、同様にポリSi層6が形成される。必要に応じて、H2とHCl又はCl2との混合ガスにより、ゲート電極4上に成長したポリSi層6のみを選択的にエッチングする。例えば700℃において分圧20TorrのH2と分圧0.5TorrのHClとの混合ガスに曝露することでSiエピ層6は除去せずにゲート電極4上のポリSi層6のみをエッチングすることができる。
Subsequently, as shown in FIG. 4C, a Si epi layer 6 is formed on the surface of the extension region 7.
Specifically, selective epitaxial growth of Si is performed, and a Si epitaxial layer 6 having a predetermined film thickness, here about 10 nm, is raised on the surface of the extension region 7. This is done by supplying a mixed gas of SiH 4 , HCl, and H 2 by LPCVD at a processing temperature of 550 ° C. to 700 ° C., so that the Si epi layer 6 is selectively formed only on the portion where the Si surface is exposed. Can be formed. At this time, since the Si surface is exposed also on the upper surface of the gate electrode 4, the poly-Si layer 6 is similarly formed. If necessary, only the poly-Si layer 6 grown on the gate electrode 4 is selectively etched with a mixed gas of H 2 and HCl or Cl 2 . For example, only the poly-Si layer 6 on the gate electrode 4 is etched without removing the Si epi layer 6 by exposure to a mixed gas of H 2 having a partial pressure of 20 Torr and HCl having a partial pressure of 0.5 Torr at 700 ° C. Can do.

続いて、図4(d)に示すように、第2のサイドウォール12及びソース/ドレイン領域8を順次形成する。
詳細には、先ず、例えばビスターシャルブチルアミノシラン及び酸素の混合ガスを用い、処理温度を400℃〜600℃としてCVD法によりシリコン酸化膜12aを例えば膜厚5nm程度に形成した後、Si26,SiH4等のSiソースガス及びNH3ガスの混合ガス、或いはビスターシャルブチルアミノシラン及びNH3ガスの混合ガスを用い、処理温度を400℃〜600℃としてCVD法によりシリコン窒化膜12bを例えば膜厚35nm程度に形成する。そして、シリコン窒化膜11b及びシリコン酸化膜11aの全面を異方性ドライエッチング(エッチバック)し、シリコン酸化膜12a及びシリコン窒化膜12bを第1のサイドウォール11の両側面上及びSiエピ層6の一部上のみに残す。これにより、シリコン酸化膜12a及びシリコン窒化膜12bが積層されて第2のサイドウォール12が形成される。ここで、シリコン酸化膜12aは当該エッチバックの際のシリコン窒化膜12bのエッチングストッパーとして機能するものである。シリコン窒化膜のみではシリコン基板1との間で十分なエッチング選択比を保持することができず、シリコン酸化膜12aを付加形成することによりシリコン窒化膜12bの所期の正確なエッチングが可能となる。第1及び第2のサイドウォール11,12からなる構造物をサイドウォール5とする。
Subsequently, as shown in FIG. 4D, the second sidewall 12 and the source / drain region 8 are sequentially formed.
More specifically, first, for example, a silicon oxide film 12a is formed to a film thickness of, for example, about 5 nm by a CVD method at a processing temperature of 400 ° C. to 600 ° C. using, for example, a mixed gas of binary butylaminosilane and oxygen, and then Si 2 H 6. A silicon nitride film 12b is formed, for example, by CVD using a mixed gas of Si source gas such as SiH 4 and NH 3 gas or a mixed gas of binary butylaminosilane and NH 3 gas at a processing temperature of 400 ° C. to 600 ° C. It is formed to a thickness of about 35 nm. Then, the entire surfaces of the silicon nitride film 11b and the silicon oxide film 11a are subjected to anisotropic dry etching (etchback), and the silicon oxide film 12a and the silicon nitride film 12b are formed on both side surfaces of the first sidewall 11 and the Si epi layer 6. Leave only on a part of. Thus, the second sidewall 12 is formed by laminating the silicon oxide film 12a and the silicon nitride film 12b. Here, the silicon oxide film 12a functions as an etching stopper for the silicon nitride film 12b during the etch back. A sufficient etching selectivity with the silicon substrate 1 cannot be maintained with only the silicon nitride film, and the silicon oxide film 12a can be additionally formed so that the silicon nitride film 12b can be accurately etched. . A structure including the first and second sidewalls 11 and 12 is referred to as a sidewall 5.

次に、ゲート電極4及びサイドウォール5をマスクとして、活性領域1Aにp型不純物、ここではホウ素(B+)を加速エネルギー10keV、ドーズ量3×1013/cm2の条件でイオン注入し、第2のサイドウォール12の両側における活性領域1Aの表層に、エクステンション領域7と一部重畳されてなるソース/ドレイン領域8を形成する。ここで、当該イオン注入は、ウェル10とソース/ドレイン領域8とをPN分離するための接合を形成するものであり、後に形成するシリサイド層とのコンタクト抵抗を低減するためのドーピングを後に形成するSiGeエピ層中に高濃度に導入するため、この程度の量で十分である。 Next, using the gate electrode 4 and the sidewall 5 as a mask, a p-type impurity, here boron (B + ), is ion-implanted into the active region 1A under the conditions of an acceleration energy of 10 keV and a dose of 3 × 10 13 / cm 2 . A source / drain region 8 partially overlapping with the extension region 7 is formed on the surface layer of the active region 1A on both sides of the second sidewall 12. Here, the ion implantation forms a junction for PN separation of the well 10 and the source / drain region 8, and doping is performed later for reducing contact resistance with a silicide layer to be formed later. This amount is sufficient because it is introduced into the SiGe epilayer at a high concentration.

なお、ソース/ドレイン領域8は、エクステンション領域7と共に、後述するアニール処理で不純物が活性化されて形成されるものであるが、ここでは図示の便宜上、形成されたものとしてエクステンション領域7及びソース/ドレイン領域8を明示する。   The source / drain region 8 is formed together with the extension region 7 by activating impurities by an annealing process described later. Here, for convenience of illustration, the source / drain region 8 is formed as an extension region 7 and source / drain regions. The drain region 8 is clearly shown.

続いて、図5(a)に示すように、Siエピ層6及びシリコン基板1に凹部1aを形成する。
詳細には、Siエピ層6を貫通しその下のソース/ドレイン領域8の上部を抉るように、異方性ドライエッチングにより例えば30nmの深さの凹部1aを形成する。このとき、ゲート電極4上のポリSi層6及びサイドウォール5の上部は、当該異方性ドライエッチングにより除去され、Siエピ層6は第2のサイドウォール12下のみに残存する。
Subsequently, as shown in FIG. 5A, a recess 1 a is formed in the Si epilayer 6 and the silicon substrate 1.
Specifically, a recess 1a having a depth of, for example, 30 nm is formed by anisotropic dry etching so as to penetrate through the Si epi layer 6 and cover the upper portion of the source / drain region 8 therebelow. At this time, the upper part of the poly Si layer 6 and the side wall 5 on the gate electrode 4 is removed by the anisotropic dry etching, and the Si epi layer 6 remains only under the second side wall 12.

続いて、図5(b)に示すように、凹部1aをウェットエッチングする。
詳細には、凹部1aをTMAH(テトラメチルアンモニウム)を用いてウェットエッチングする。具体例として、TMAH/H2Oを希釈濃度5%〜40%程度、温度30℃〜50℃程度として、10秒間〜3分間程度のウェットエッチングを行う。これにより、凹部1aは図5(a)の状態から更に10nm〜20nm程度、ここでは15nm程度深くエッチングされるとともに、その内壁側面がゲート電極4に向かって突出する形状とされ、当該内壁側面に(111)平坦面が形成される。本実施形態では、凹部1aを形成する前にエピSi層6が所定膜厚に調節して形成されており、このエピSi層6の存在により、凹部1aの内壁側面の突出頂点がチャネル領域1bの浅い部分に位置するように調節される。
Subsequently, as shown in FIG. 5B, the recess 1a is wet-etched.
Specifically, the recess 1a is wet-etched using TMAH (tetramethylammonium). As a specific example, wet etching is performed for about 10 seconds to 3 minutes with TMAH / H 2 O at a dilution concentration of about 5% to 40% and a temperature of about 30 ° C. to 50 ° C. As a result, the recess 1a is further etched from the state of FIG. 5A by about 10 nm to 20 nm, here about 15 nm deep, and the inner wall side surface protrudes toward the gate electrode 4, and is formed on the inner wall side surface. A (111) flat surface is formed. In the present embodiment, the epitaxial Si layer 6 is formed to a predetermined thickness before the recess 1a is formed. Due to the presence of this epitaxial Si layer 6, the protruding vertex on the inner wall side surface of the recess 1a becomes the channel region 1b. It is adjusted so that it is located in a shallow part.

異方性ドライエッチングに引き続きTMAHを用いたウェットエッチングを行うことにより、凹部の内壁側面をゲート電極に向かって突出する形状に形成できる旨が、特許文献1に開示されている。しかしながら特許文献1では、本実施形態のエピSi層6を有しないため、凹部の内壁側面の突出頂点の位置を調節することはできない。このような製法では、凹部の内壁側面の突出頂点はチャネル領域の表面よりも基板垂直方向に深い部分に位置してしまうため、SiGeエピ層を凹部に形成しても効率的にチャネル領域へ歪みを導入することはできない。   Patent Document 1 discloses that by performing wet etching using TMAH subsequent to anisotropic dry etching, the inner wall side surface of the recess can be formed in a shape protruding toward the gate electrode. However, in patent document 1, since it does not have the epi-Si layer 6 of this embodiment, the position of the protrusion vertex of the inner wall side surface of a recessed part cannot be adjusted. In such a manufacturing method, the protruding apex of the inner wall side surface of the recess is located in a portion deeper in the substrate vertical direction than the surface of the channel region. Therefore, even if the SiGe epi layer is formed in the recess, the channel region is efficiently distorted. Can not be introduced.

これに対して本実施形態では、チャネル領域1bの表面よりも高くせり上げられたエピSi層6を有する部位に形成された凹部1aにTMAHを用いたウェットエッチングを施すことにより、凹部1aの内壁側面の突出頂点をチャネル領域1bの浅い部分、即ち最も圧縮応力が必要な部位に位置させることができ、より強力な歪みを効率的にチャネル部分1bへ与えられることになる。具体的には、エピSi層6の膜厚(高さ)、凹部1aの異方性ドライエッチング量、及び凹部1aへのTMAHによるウェットエッチング量を適宜制御することにより、凹部1aの内壁側面の突出頂点を調節する。上記のように、エピSi層6の膜厚(高さ)を10nm程度、凹部1aの異方性ドライエッチング量を基板垂直方向へ深さ30nm程度、TMAHによるウェットエッチング量を基板垂直方向へ深さ15nm程度とすることにより、凹部1aの内壁側面の突出頂点が、チャネル領域1bで最も圧縮応力が必要な部位に位置する。   On the other hand, in the present embodiment, the inner wall of the recess 1a is formed by performing wet etching using TMAH on the recess 1a formed in the portion having the epitaxial Si layer 6 raised above the surface of the channel region 1b. The projecting apex of the side surface can be positioned in a shallow portion of the channel region 1b, that is, a portion where the most compressive stress is required, and a stronger strain can be efficiently applied to the channel portion 1b. Specifically, by appropriately controlling the film thickness (height) of the epi-Si layer 6, the anisotropic dry etching amount of the recess 1a, and the wet etching amount by TMAH to the recess 1a, the inner wall side surface of the recess 1a is controlled. Adjust the protruding vertex. As described above, the thickness (height) of the epi-Si layer 6 is about 10 nm, the anisotropic dry etching amount of the recess 1a is about 30 nm deep in the substrate vertical direction, and the wet etching amount by TMAH is deep in the substrate vertical direction. By setting the thickness to about 15 nm, the protruding apex of the inner wall side surface of the recess 1a is positioned at the site where the compressive stress is most required in the channel region 1b.

続いて、図5(c)に示すように、凹部1aにSiGeエピ層9を形成する。
詳細には、処理温度を500℃〜550℃としたCVD法で、H2,SiH4,GeH4,HCl,B26の混合ガスを供給することにより、Siの露出部分、即ち凹部1aの内壁にSiGeが選択的にエピタキシャル成長する。これにより、シリコン基板1の表面から上部が突出し、凹部1aの形状に倣って側面がゲート電極4へ向かって突出するように凹部1a内にSiGeエピ層9が形成される。SiGeエピ層9の突出の頂点9aは、チャネル領域1bの浅い所定部分に位置する。SiGeエピ層9は、Ge比率が例えば20%程度で濃度が1×1020/cm3程度のホウ素(B)を含有しており、シリコン基板1の表面から例えば高さ20nm程度隆起するように、ここでは70nm程度の厚みに形成される。
Subsequently, as shown in FIG. 5C, the SiGe epilayer 9 is formed in the recess 1a.
More specifically, by supplying a mixed gas of H 2 , SiH 4 , GeH 4 , HCl, and B 2 H 6 by a CVD method at a processing temperature of 500 ° C. to 550 ° C., an exposed portion of Si, that is, a recess 1a. SiGe is selectively epitaxially grown on the inner wall of the substrate. Thus, the SiGe epilayer 9 is formed in the recess 1a so that the upper portion protrudes from the surface of the silicon substrate 1 and the side surface protrudes toward the gate electrode 4 following the shape of the recess 1a. The protruding vertex 9a of the SiGe epilayer 9 is located in a predetermined shallow portion of the channel region 1b. The SiGe epilayer 9 contains boron (B) having a Ge ratio of, for example, about 20% and a concentration of about 1 × 10 20 / cm 3 , and is raised from the surface of the silicon substrate 1 by, for example, about 20 nm. Here, it is formed to a thickness of about 70 nm.

ここで、必要に応じて後述するシリサイド膜を安定に形成するための犠牲層として、ホウ素(B)を含有するシリコン層(不図示)を例えば膜厚10nmに選択成長しても良い。この場合、H2,SiH4,HCl,B26の混合ガスでSiGeエピ層9と同様に選択成長することが可能である。
その後、活性化アニールを行い、導入された各不純物(エクステンション領域7、ソース/ドレイン領域8、SiGeエピ層9の各不純物を含む。)を電気的に活性化する。
Here, if necessary, a silicon layer (not shown) containing boron (B) may be selectively grown to a film thickness of, for example, 10 nm as a sacrificial layer for stably forming a silicide film described later. In this case, it is possible to perform selective growth similarly to the SiGe epilayer 9 by using a mixed gas of H 2 , SiH 4 , HCl, and B 2 H 6 .
Thereafter, activation annealing is performed to electrically activate each introduced impurity (including each impurity in the extension region 7, the source / drain region 8, and the SiGe epilayer 9).

続いて、図5(d)に示すように、サリサイドプロセスにより、ゲート電極4の上部、SiGeエピ層9の上部にそれぞれシリサイド層13を形成する。
詳細には、全面に金属、ここではNi(不図示)をスパッタ法等により例えば膜厚10nm〜20nm程度に堆積し、例えば300℃で急速アニール(Rapid Thermal Annealing:RTA)処理し、Niとゲート電極4の上部及びSiGeエピ層9の上部とを反応させる。これにより、Niとゲート電極4の上部及びSiGeエピ層9の上部にNiSiが形成される。その後、未反応のNiを例えば硫酸過酸化水素を用いた洗浄によって除去する。以上により、Niとゲート電極4の上部及びSiGeエピ層9の上部にNiSiからなるシリサイド層13をそれぞれ形成する。金属としては、Niの代わりに例えばNiにPtを含む合金を使用してもよい。
ここで更に、シリサイド層13に400℃〜500℃の熱処理を施すことにより、更なる低抵抗のシリサイド層を形成しても好適である。
Subsequently, as shown in FIG. 5D, silicide layers 13 are respectively formed on the gate electrode 4 and the SiGe epilayer 9 by a salicide process.
Specifically, a metal, here Ni (not shown), is deposited to a thickness of, for example, about 10 nm to 20 nm by sputtering or the like, and is subjected to rapid annealing (Rapid Thermal Annealing: RTA) at, for example, 300 ° C. The upper part of the electrode 4 and the upper part of the SiGe epilayer 9 are reacted. Thereby, NiSi is formed on the upper portion of Ni and the gate electrode 4 and on the upper portion of the SiGe epilayer 9. Thereafter, unreacted Ni is removed by washing using, for example, hydrogen sulfate sulfate. Thus, the silicide layer 13 made of NiSi is formed on the Ni and the gate electrode 4 and on the SiGe epilayer 9, respectively. As the metal, for example, an alloy containing Pt in Ni may be used instead of Ni.
Here, it is also preferable to form a silicide layer having a further low resistance by performing a heat treatment at 400 ° C. to 500 ° C. on the silicide layer 13.

しかる後、層間絶縁膜やコンタクト孔の形成、配線の形成等の後工程を経て、pチャネルMOSトランジスタを完成させる。   Thereafter, the p-channel MOS transistor is completed through subsequent processes such as formation of an interlayer insulating film, contact holes, and formation of wiring.

以上説明したように、本実施形態によれば、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、チャネル領域1bに導入される歪み量を大幅に高め、動作速度を向上させることが可能となり、信頼性の高いpチャネルMOSトランジスタが実現する。   As described above, according to the present embodiment, the amount of distortion introduced into the channel region 1b is significantly increased and the operation speed is improved without causing problems such as the occurrence of a current leak path and the deterioration of roll-off characteristics. Therefore, a highly reliable p-channel MOS transistor is realized.

(変形例)
ここで、第1の実施形態の変形例について説明する。
本例では、凹部1aの形成方法、及びその内壁側面の形状が第1の実施形態と異なる。なお、本例は、後述する第2〜第4の実施形態にも適用可能である。
図6は、第1の実施形態の変形例によるpチャネルMOSトランジスタの製造方法における主要工程を示す概略断面図である。
(Modification)
Here, a modification of the first embodiment will be described.
In this example, the method of forming the recess 1a and the shape of the side surface of the inner wall are different from those of the first embodiment. In addition, this example is applicable also to the 2nd-4th embodiment mentioned later.
FIG. 6 is a schematic cross-sectional view showing the main steps in the method of manufacturing a p-channel MOS transistor according to a modification of the first embodiment.

本例では、第1の実施形態と同様に、図4(a)〜図4(d)の各工程を経た後、図6(a)に示すように、Siエピ層6及びシリコン基板1に凹部1aを形成する。
詳細には、Siエピ層6を貫通しその下のソース/ドレイン領域8の上部を抉るように、例えば化学ドライエッチング(CDE:等方性ドライエッチングの一種)により例えば45nmの深さの凹部1aを形成する。ここでは、例えばCF4とO2との混合ガスを用いたプラズマによりCDEを行う。
In this example, as in the first embodiment, after the steps of FIG. 4A to FIG. 4D, the Si epi layer 6 and the silicon substrate 1 are formed as shown in FIG. The recess 1a is formed.
Specifically, the recess 1a having a depth of, for example, 45 nm is formed by, for example, chemical dry etching (CDE: a kind of isotropic dry etching) so as to penetrate through the Si epi layer 6 and cover the upper portion of the source / drain region 8 therebelow. Form. Here, for example, CDE is performed by plasma using a mixed gas of CF 4 and O 2 .

このとき、凹部1aを形成する前にエピSi層6が所定膜厚に調節して形成されており、このエピSi層6の存在により、凹部1aの内壁側面の突出頂点がチャネル領域1bの浅い部分に位置するように調節される。本例では、凹部1aの内壁側面は第1の実施形態に比して緩やかな曲面となる。   At this time, the epitaxial Si layer 6 is formed to be adjusted to a predetermined thickness before forming the recess 1a. Due to the presence of the epitaxial Si layer 6, the protruding vertex on the inner wall side surface of the recess 1a is shallow in the channel region 1b. Adjusted to be located in the part. In this example, the inner wall side surface of the recess 1a is a gently curved surface as compared with the first embodiment.

本例では、凹部1aの内壁側面の突出頂点をチャネル領域1bの浅い部分、即ち最も圧縮応力が必要な部位に位置させることができ、より強力な歪みを効率的にチャネル部分1bへ与えられることになる。具体的には、エピSi層6の膜厚(高さ)、及び凹部1aのCDEによるエッチング量を適宜制御することにより、凹部1aの内壁側面の突出頂点を調節する。上記のように、エピSi層6の膜厚(高さ)を10nm程度、凹部1aのCDEによるエッチング量を基板垂直方向へ深さ45nm程度とすることにより、凹部1aの内壁側面の突出頂点が、チャネル領域1bで最も圧縮応力が必要な部位に位置する。   In this example, the protruding apex of the inner wall side surface of the recess 1a can be positioned in a shallow portion of the channel region 1b, that is, a portion requiring the most compressive stress, and a stronger strain can be efficiently applied to the channel portion 1b. become. Specifically, by appropriately controlling the film thickness (height) of the epi-Si layer 6 and the etching amount by CDE of the recess 1a, the protruding vertex of the inner wall side surface of the recess 1a is adjusted. As described above, when the film thickness (height) of the epi-Si layer 6 is about 10 nm and the etching amount by CDE of the recess 1a is about 45 nm in the vertical direction of the substrate, the protruding vertex of the inner wall side surface of the recess 1a can be obtained. In the channel region 1b, it is located at the site where the most compressive stress is required.

しかる後、第1の実施形態と同様に、図5(c)及び図5(d)に示す各工程、及び諸々の後工程を経て、図6(b)に示すpチャネルMOSトランジスタを完成させる。   Thereafter, similarly to the first embodiment, the p-channel MOS transistor shown in FIG. 6B is completed through the steps shown in FIGS. 5C and 5D and various post-processes. .

以上説明したように、本例によれば、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、チャネル領域1bに導入される歪み量を大幅に高め、動作速度を向上させることが可能となり、信頼性の高いpチャネルMOSトランジスタが実現する。   As described above, according to this example, the amount of distortion introduced into the channel region 1b is significantly increased and the operation speed is improved without causing problems such as the occurrence of a current leak path and the deterioration of roll-off characteristics. Thus, a highly reliable p-channel MOS transistor is realized.

本例では、凹部1aの内壁側面は、第1の実施形態における凹部1aの内壁側面ほどには急峻ではないが、十分に強力な歪みをチャネル部分1bへ与えることができる。また、CDEによる1回のエッチング工程で凹部1aを形成することができるため、工程数の削減化が実現する。   In this example, the inner wall side surface of the recess 1a is not as steep as the inner wall side surface of the recess 1a in the first embodiment, but a sufficiently strong strain can be applied to the channel portion 1b. Further, since the recess 1a can be formed by a single etching process using CDE, the number of processes can be reduced.

―第2の実施形態―
本実施形態では、第1の実施形態と同様にMOSトランジスタとしてpチャネルMOSトランジスタを例示するが、最終的なトランジスタ構造におけるサイドウォール5の構成が異なる点で相違する。なお、第1の実施形態と同様の構成部材等については、同符号を記して詳しい説明を省略する。
-Second Embodiment-
In this embodiment, a p-channel MOS transistor is exemplified as a MOS transistor as in the first embodiment, but is different in that the configuration of the sidewall 5 in the final transistor structure is different. In addition, about the structural member etc. similar to 1st Embodiment, the same code | symbol is described and detailed description is abbreviate | omitted.

(pチャネルMOSトランジスタの構成)
図7は、第2の実施形態によるpチャネルMOSトランジスタの構成を示す概略断面図である。
本実施形態によるpチャネルMOSトランジスタは、第1の実施形態のそれと略同様の構成を有するが、サイドウォール5の代わりに、単層、ここではシリコン酸化膜のみからなるサイドウォール21が形成されている。
(Configuration of p-channel MOS transistor)
FIG. 7 is a schematic cross-sectional view showing the configuration of the p-channel MOS transistor according to the second embodiment.
The p-channel MOS transistor according to the present embodiment has substantially the same configuration as that of the first embodiment, but instead of the sidewall 5, a single layer, here, a sidewall 21 made of only a silicon oxide film is formed. Yes.

本実施形態では、シリコン窒化膜よりもヤング率の低いシリコン酸化膜からなるサイドウォール21を設けることにより、SiGeエピ層9から受ける圧縮応力を相殺することなく、より効率的にチャネル領域1bへ印加することが可能である。図3に示したストレスシミュレーションの実験結果では、サイドウォールの材料として、シリコン窒化膜よりもシリコン酸化膜を用いた場合の方が、より歪みが強くなることが予測されている。   In this embodiment, by providing the sidewall 21 made of a silicon oxide film having a Young's modulus lower than that of the silicon nitride film, it is more efficiently applied to the channel region 1b without canceling the compressive stress received from the SiGe epilayer 9. Is possible. According to the experimental results of the stress simulation shown in FIG. 3, it is predicted that the strain is stronger when the silicon oxide film is used as the sidewall material than the silicon nitride film.

(pチャネルMOSトランジスタの製造方法)
以下、上記したpチャネルMOSトランジスタの具体的な製造方法について説明する。
図8は、第2の実施形態によるpチャネルMOSトランジスタの製造方法における主要工程を示す概略断面図である。
(Manufacturing method of p-channel MOS transistor)
Hereinafter, a specific method for manufacturing the above-described p-channel MOS transistor will be described.
FIG. 8 is a schematic cross-sectional view showing main steps in the method of manufacturing the p-channel MOS transistor according to the second embodiment.

本実施形態では、先ず第1の実施形態と同様に、図4(a)〜図5(c)の各工程を経る。その後、図8(a)に示すように、サイドウォール5を除去する。
詳細には、先ず、例えばリン酸を用いたウェットエッチングにより、第2のサイドウォール12のシリコン窒化膜12bを除去する。
次に、例えばHF水溶液を用いたウェットエッチングにより、第2のサイドウォール12のシリコン酸化膜12aを除去する。
次に、例えばリン酸を用いたウェットエッチングにより、第1のサイドウォール11のシリコン窒化膜11bを除去する。
次に、例えばHF水溶液を用いたウェットエッチングにより、第1のサイドウォール11のシリコン酸化膜11aを除去する。
In the present embodiment, first, similarly to the first embodiment, the respective steps of FIGS. 4A to 5C are performed. Thereafter, as shown in FIG. 8A, the sidewall 5 is removed.
Specifically, first, the silicon nitride film 12b of the second sidewall 12 is removed by wet etching using, for example, phosphoric acid.
Next, the silicon oxide film 12a on the second sidewall 12 is removed by wet etching using, for example, an HF aqueous solution.
Next, the silicon nitride film 11b of the first sidewall 11 is removed by, for example, wet etching using phosphoric acid.
Next, the silicon oxide film 11a on the first sidewall 11 is removed by wet etching using, for example, an HF aqueous solution.

続いて、図8(b)に示すように、サイドウォール21を形成する。
詳細には、例えばビスターシャルブチルアミノシラン及び酸素の混合ガスを用い、処理温度を500℃〜550℃としてCVD法により全面にシリコン酸化膜(不図示)を例えば膜厚40nm〜80nm程度に堆積する。そして、このシリコン酸化膜の全面を異方性ドライエッチング(エッチバック)し、Siエピ層6を内包してSiGeエピ層9の一部を覆うようにゲート電極4の両側面のみにシリコン酸化膜を残す。これにより、サイドウォール21が形成される。
Subsequently, as shown in FIG. 8B, sidewalls 21 are formed.
More specifically, a silicon oxide film (not shown) is deposited to a thickness of, for example, about 40 nm to 80 nm on the entire surface by a CVD method using, for example, a mixed gas of binary butylaminosilane and oxygen at a processing temperature of 500 ° C. to 550 ° C. Then, the entire surface of the silicon oxide film is subjected to anisotropic dry etching (etchback), and the silicon oxide film is formed only on both side surfaces of the gate electrode 4 so as to include the Si epi layer 6 and cover a part of the SiGe epi layer 9. Leave. Thereby, the sidewall 21 is formed.

しかる後、第1の実施形態と同様に、図5(d)に示す工程、及び諸々の後工程を経て、pチャネルMOSトランジスタを完成させる。   Thereafter, similarly to the first embodiment, the p-channel MOS transistor is completed through the process shown in FIG. 5D and various subsequent processes.

以上説明したように、本実施形態によれば、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、チャネル領域1bに導入される歪み量を更に大幅に高め、動作速度をより向上させることが可能となり、信頼性の高いpチャネルMOSトランジスタが実現する。   As described above, according to the present embodiment, the amount of distortion introduced into the channel region 1b is further greatly increased without causing problems such as the occurrence of a current leak path and the deterioration of the roll-off characteristics, and the operation speed is increased. Further improvement is possible, and a highly reliable p-channel MOS transistor is realized.

―第3の実施形態―
本実施形態では、MOSトランジスタとしてnチャネルMOSトランジスタを例示する。なお、第1の実施形態と同様の構成部材等については、同符号を記して詳しい説明を省略する。
-Third embodiment-
In this embodiment, an n-channel MOS transistor is exemplified as the MOS transistor. In addition, about the structural member etc. similar to 1st Embodiment, the same code | symbol is described and detailed description is abbreviate | omitted.

(nチャネルMOSトランジスタの構成)
図9は、第3の実施形態によるnチャネルMOSトランジスタの構成を示す概略断面図である。
本実施形態によるpチャネルMOSトランジスタは、第1の実施形態のそれと略同様の構成を有するが、第1の実施形態のSiGeエピ層9の代わりに、SiCエピ層31が形成されている。
(Configuration of n-channel MOS transistor)
FIG. 9 is a schematic cross-sectional view showing the configuration of the n-channel MOS transistor according to the third embodiment.
The p-channel MOS transistor according to the present embodiment has substantially the same configuration as that of the first embodiment, but a SiC epi layer 31 is formed instead of the SiGe epi layer 9 of the first embodiment.

ここで、凹部1aはその内壁の側面がゲート電極4に向かって突出する形状とされている。SiCエピ層31は、凹部1a内に埋め込み形成されることから、この凹部1aの形状に倣って、その側面がゲート電極4に向かって突出する形状とされ、突出の頂点31aがチャネル領域1bの浅い部分に位置する。SiCエピ層31は、C比率が例えば1%程度で濃度が1×1020/cm3程度のリン(P)を含有しており、シリコン基板1の表面から例えば高さ20nm程度隆起するように形成されている。 Here, the recess 1 a has a shape in which the side surface of the inner wall protrudes toward the gate electrode 4. Since the SiC epi layer 31 is embedded in the recess 1a, the side surface of the SiC epi layer 31 protrudes toward the gate electrode 4 following the shape of the recess 1a, and the protruding apex 31a is the channel region 1b. Located in a shallow part. The SiC epi layer 31 contains phosphorus (P) having a C ratio of, for example, about 1% and a concentration of about 1 × 10 20 / cm 3 , and is raised from the surface of the silicon substrate 1 by, for example, a height of about 20 nm. Is formed.

本実施形態では、ゲート絶縁膜3の直下におけるチャネル領域1bの表面より高くせり上げられたエピSi層6を介して、SiCエピ層31がチャネル領域1bへ引張歪を導入し、これによりチャネル領域1bは引張応力が印加された状態とされる。この場合、以下のように高効率の引張応力の印加が可能となる。   In the present embodiment, the SiC epilayer 31 introduces tensile strain into the channel region 1b through the epi-Si layer 6 raised above the surface of the channel region 1b immediately below the gate insulating film 3, thereby the channel region. Reference numeral 1b denotes a state in which a tensile stress is applied. In this case, highly efficient tensile stress can be applied as follows.

本実施形態では、凹部1aを形成する前にエピSi層6を所定膜厚に調節して形成することにより、凹部1aの内壁側面の突出頂点がチャネル領域1bの浅い部分に位置するように、当該凹部1aを後述の手法で形成することができる。これに伴って、凹部1aに形成されるSiCエピ層31も同様に、突出の頂点31aがチャネル領域1bの浅い所定部分に位置するように形成される。この頂点31aにおいて、SiCエピ層31からチャネル領域1bに印加する引張応力が集中し、最も引張応力が必要な部位に効率良く大きな引張応力が付与されることになる。これに対して、従来のエピSi層6を有しない構成では、頂点31aの形成位置を調節できないため、チャネル領域1bの最も引張応力が必要な部位に頂点31aが位置せず、十分なチャネル領域1bに十分な引張応力を印加することができない。   In the present embodiment, by forming the epi-Si layer 6 by adjusting the film thickness to a predetermined thickness before forming the recess 1a, the protruding vertex on the inner wall side surface of the recess 1a is positioned at a shallow portion of the channel region 1b. The concave portion 1a can be formed by a method described later. Accordingly, the SiC epi layer 31 formed in the recess 1a is similarly formed so that the protruding apex 31a is located at a shallow predetermined portion of the channel region 1b. At the apex 31a, the tensile stress applied from the SiC epi layer 31 to the channel region 1b is concentrated, and a large tensile stress is efficiently applied to a portion where the most tensile stress is required. On the other hand, in the configuration without the conventional epi-Si layer 6, the formation position of the apex 31a cannot be adjusted, and therefore the apex 31a is not positioned at the site where the most tensile stress is required in the channel region 1b, and the channel region is sufficient. A sufficient tensile stress cannot be applied to 1b.

エピSi層6は、SiCエピ層31との接触部位において、Siがこれよりも格子定数の小さいSiCと格子整合している。この構成により、シリコン基板1の基板垂直方向への収縮が助長され、SiCエピ層31からのチャネル領域1bへの引張歪が更に増大することになる。
以上から、本実施形態では、SiCエピ層31のC濃度を高めたり、SiCエピ層31間の間隔を狭めたりする方策を採ることなしに、チャネル領域1bに印加される引張応力を高め、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、トランジスタの動作速度を向上させることが可能となる。
The epi-Si layer 6 is lattice-matched with SiC having a lattice constant smaller than that of Si at the contact portion with the SiC epi layer 31. With this configuration, shrinkage of the silicon substrate 1 in the substrate vertical direction is promoted, and tensile strain from the SiC epi layer 31 to the channel region 1b is further increased.
From the above, in the present embodiment, the tensile stress applied to the channel region 1b is increased without taking measures to increase the C concentration of the SiC epi layer 31 or narrow the interval between the SiC epi layers 31, and The operation speed of the transistor can be improved without causing a problem such as occurrence of a leak path or deterioration of roll-off characteristics.

(nチャネルMOSトランジスタの製造方法)
本実施形態では、第1の実施形態における図4(a)〜図5(d)で示した製造方法において、図5(c)の工程のみ異なる。ここでは、図5(c)の工程に代わる本実施形態の工程のみを説明する。
図10は、第3の実施形態の変形例によるnチャネルMOSトランジスタの製造方法における主要工程を示す概略断面図である。
(Manufacturing method of n-channel MOS transistor)
The present embodiment differs from the manufacturing method shown in FIGS. 4A to 5D in the first embodiment only in the process of FIG. 5C. Here, only the process of this embodiment which replaces the process of FIG.5 (c) is demonstrated.
FIG. 10 is a schematic cross-sectional view showing the main steps in the method for manufacturing an n-channel MOS transistor according to a modification of the third embodiment.

本実施形態では、図4(a)〜図5(b)の各工程を経た後、図10に示すように、凹部1aにSiCエピ層31を形成する。
詳細には、処理温度を500℃〜550℃としたCVD法で、H2(水素), SiH4(モノシラン), SiH3CH3(モノメチルシラン), PH3(ホスフィン)の混合ガスを供給することにより、Siの露出部分、即ち凹部1aの内壁にSiCがエピタキシャル成長する。この際、絶縁膜上にもアモルファス或いはポリ状のSiCが成長する。その後、H2及びCl2の混合ガスで絶縁膜上のSiCを選択的にエッチングし、凹部1a内に成長したエピSiCのみを残す。これにより、選択的に凹部にのみエピSiCを形成することが可能である。一般に選択成長はHClガスを成長時に添加することで絶縁膜上の成長を抑制する方法が採られるが、SiCの場合には成長時に添加するHClがSiCの結晶性を大きく劣化させてしまうため、成長時のHCl同時添加は適当ではない。これにより、シリコン基板1の表面から上部が突出し、凹部1aの形状に倣って側面がゲート電極4へ向かって突出するように凹部1a内にSiCエピ層31が形成される。SiCエピ層31の突出の頂点31aは、チャネル領域1bの浅い所定部分に位置する。SiCエピ層31は、C比率が例えば1%程度で濃度が1×1020/cm3程度のリン(P)を含有しており、シリコン基板1の表面から例えば高さ20nm程度隆起するように、ここでは70nm程度の厚みに形成される。
In this embodiment, after passing through each process of Fig.4 (a)-FIG.5 (b), as shown in FIG. 10, the SiC epilayer 31 is formed in the recessed part 1a.
Specifically, a mixed gas of H 2 (hydrogen), SiH 4 (monosilane), SiH 3 CH 3 (monomethylsilane), and PH 3 (phosphine) is supplied by a CVD method at a processing temperature of 500 ° C. to 550 ° C. As a result, SiC is epitaxially grown on the exposed portion of Si, that is, on the inner wall of the recess 1a. At this time, amorphous or poly SiC grows on the insulating film. Thereafter, SiC on the insulating film is selectively etched with a mixed gas of H 2 and Cl 2 to leave only the epitaxial SiC grown in the recess 1a. Thereby, it is possible to selectively form epi SiC only in the recess. In general, selective growth employs a method of suppressing growth on the insulating film by adding HCl gas during growth, but in the case of SiC, HCl added during growth greatly deteriorates the crystallinity of SiC. Simultaneous addition of HCl during growth is not appropriate. Thereby, the SiC epi layer 31 is formed in the recess 1a so that the upper portion protrudes from the surface of the silicon substrate 1 and the side surface protrudes toward the gate electrode 4 following the shape of the recess 1a. The protruding vertex 31a of the SiC epi layer 31 is located at a shallow predetermined portion of the channel region 1b. The SiC epi layer 31 contains phosphorus (P) having a C ratio of, for example, about 1% and a concentration of about 1 × 10 20 / cm 3 , and is raised from the surface of the silicon substrate 1 by, for example, a height of about 20 nm. Here, it is formed to a thickness of about 70 nm.

ここで、必要に応じて後述するシリサイド膜を安定に形成するための犠牲層として、リン(P)を含有するシリコン層(不図示)を例えば膜厚10nmに選択成長しても良い。この場合、処理温度を500℃〜550℃としたCVD法で、H2(水素), SiH4(モノシラン), PH3(ホスフィン)の混合ガスで全面成長した後にH2及びCl2の混合ガスで絶縁膜上のSiのみをエッチングしてSiCエピ層31と同様に選択成長することが可能である。あるいはH2(水素), SiH4(モノシラン), PH3(ホスフィン)にHCl(塩化水素)を加えた混合ガスにより、エピSiC上とゲート電極4上のみにSiを選択成長しても良い。 Here, if necessary, a silicon layer (not shown) containing phosphorus (P) may be selectively grown to a film thickness of, for example, 10 nm as a sacrificial layer for stably forming a silicide film described later. In this case, the CVD method with a processing temperature of 500 ° C. to 550 ° C. is performed by growing the entire surface with a mixed gas of H 2 (hydrogen), SiH 4 (monosilane), and PH 3 (phosphine), and then a mixed gas of H 2 and Cl 2 . Thus, only Si on the insulating film can be etched and selectively grown in the same manner as the SiC epi layer 31. Alternatively, Si may be selectively grown only on the epi-SiC and the gate electrode 4 by a mixed gas in which HCl (hydrogen chloride) is added to H 2 (hydrogen), SiH 4 (monosilane), and PH 3 (phosphine).

以上説明したように、本実施形態によれば、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、チャネル領域1bに導入される歪み量を大幅に高め、動作速度を向上させることが可能となり、信頼性の高いnチャネルMOSトランジスタが実現する。     As described above, according to the present embodiment, the amount of distortion introduced into the channel region 1b is significantly increased and the operation speed is improved without causing problems such as the occurrence of a current leak path and the deterioration of roll-off characteristics. Thus, a highly reliable n-channel MOS transistor is realized.

―第4の実施形態―
本実施形態では、第3の実施形態と同様にMOSトランジスタとしてnチャネルMOSトランジスタを例示するが、最終的なトランジスタ構造におけるサイドウォール5の構成が異なる点で相違する。なお、第3の実施形態と同様の構成部材等については、同符号を記して詳しい説明を省略する。
-Fourth Embodiment-
In this embodiment, an n-channel MOS transistor is exemplified as a MOS transistor as in the third embodiment, but is different in that the configuration of the sidewall 5 in the final transistor structure is different. In addition, about the structural member etc. similar to 3rd Embodiment, the same code | symbol is described and detailed description is abbreviate | omitted.

(pチャネルMOSトランジスタの構成)
図11は、第4の実施形態によるnチャネルMOSトランジスタの構成を示す概略断面図である。
本実施形態によるnチャネルMOSトランジスタは、第3の実施形態のそれと略同様の構成を有するが、サイドウォール5の代わりに、単層、ここではシリコン酸化膜のみからなるサイドウォール41が形成されている。
(Configuration of p-channel MOS transistor)
FIG. 11 is a schematic cross-sectional view showing the configuration of the n-channel MOS transistor according to the fourth embodiment.
The n-channel MOS transistor according to the present embodiment has substantially the same configuration as that of the third embodiment, but instead of the sidewall 5, a single layer, here, a sidewall 41 made of only a silicon oxide film is formed. Yes.

本実施形態では、シリコン窒化膜よりもヤング率の低いシリコン酸化膜からなるサイドウォール41を設けることにより、SiCエピ層31から受ける引張応力を相殺することなく、より効率的にチャネル領域1bへ印加することが可能である。   In the present embodiment, by providing the sidewall 41 made of a silicon oxide film having a Young's modulus lower than that of the silicon nitride film, the tensile stress received from the SiC epi layer 31 is more efficiently applied to the channel region 1b. Is possible.

(nチャネルMOSトランジスタの製造方法)
本実施形態では、先ず第3の実施形態と同様に、図4(a)〜図5(b)、図10の各工程を経る。その後、第2の実施形態の図8(a)及び図8(b)、図5(d)に示す工程、及び諸々の後工程を経て、nチャネルMOSトランジスタを完成させる。
(Manufacturing method of n-channel MOS transistor)
In the present embodiment, first, similarly to the third embodiment, the respective steps of FIG. 4A to FIG. 5B and FIG. 10 are performed. Thereafter, an n-channel MOS transistor is completed through the steps shown in FIGS. 8A, 8B, and 5D of the second embodiment and various post-processes.

以上説明したように、本実施形態によれば、電流リークパスの発生やロールオフ特性の劣化等の問題を発生させることなく、チャネル領域1bに導入される歪み量を更に大幅に高め、動作速度をより向上させることが可能となり、信頼性の高いnチャネルMOSトランジスタが実現する。   As described above, according to the present embodiment, the amount of distortion introduced into the channel region 1b is further greatly increased without causing problems such as the occurrence of a current leak path and the deterioration of the roll-off characteristics, and the operation speed is increased. Further improvement is possible, and a highly reliable n-channel MOS transistor is realized.

なお、以上の第1〜第4の実施形態では、それぞれpチャネルMOSトランジスタ又はnチャネルMOSトランジスタを例示したが、シリコン基板上にpチャネルMOSトランジスタ及びnチャネルMOSトランジスタの双方を備えたCMOSトランジスタに、本発明を適用しても良い。この場合、pチャネルMOSトランジスタには第1又は第2の実施形態(変形例を含む)を適用し、nチャネルMOSトランジスタを通常のトランジスタ構造とし、nチャネルMOSトランジスタには第3又は第4の実施形態を適用し、pチャネルMOSトランジスタを通常のトランジスタ構造としたり、pチャネルMOSトランジスタには第1又は第2の実施形態(変形例を含む)を適用し、nチャネルMOSトランジスタには第3又は第4の実施形態を適用すれば良い。   In the above first to fourth embodiments, a p-channel MOS transistor or an n-channel MOS transistor is exemplified, but a CMOS transistor having both a p-channel MOS transistor and an n-channel MOS transistor on a silicon substrate is used. The present invention may be applied. In this case, the first or second embodiment (including the modification) is applied to the p-channel MOS transistor, the n-channel MOS transistor has a normal transistor structure, and the third or fourth n-channel MOS transistor has the third or fourth configuration. The embodiment is applied, and the p-channel MOS transistor has a normal transistor structure, the first or second embodiment (including the modified example) is applied to the p-channel MOS transistor, and the third is applied to the n-channel MOS transistor. Alternatively, the fourth embodiment may be applied.

以下、本発明の諸態様を、付記としてまとめて記載する。   Hereinafter, various aspects of the present invention will be collectively described as supplementary notes.

(付記1)半導体基板上にゲート絶縁膜及びゲート電極を形成する工程と、
前記ゲート電極の側面に第1のサイドウォールを形成する工程と、
前記第1のサイドウォールに隣接する前記半導体基板上に、第1の半導体層を形成する工程と、
前記第1のサイドウォール上及び前記第1の半導体層上に第2のサイドウォールを形成する工程と、
前記第2のサイドウォールをマスクとし、前記第1の半導体層及び前記半導体基板をエッチングして凹部を形成する工程と、
前記凹部に、第2の半導体層を形成する工程と
を含むことを特徴とする半導体装置の製造方法。
(Additional remark 1) The process of forming a gate insulating film and a gate electrode on a semiconductor substrate,
Forming a first sidewall on a side surface of the gate electrode;
Forming a first semiconductor layer on the semiconductor substrate adjacent to the first sidewall;
Forming a second sidewall on the first sidewall and on the first semiconductor layer;
Etching the first semiconductor layer and the semiconductor substrate to form a recess using the second sidewall as a mask;
Forming a second semiconductor layer in the recess. A method for manufacturing a semiconductor device, comprising:

(付記2)前記第2の半導体層を形成した後、前記第1のサイドウォール及び前記第2のサイドウォールを除去する工程と、
次いで前記ゲート電極の側面に、第3のサイドウォールを形成する工程と
を更に含むことを特徴とする付記1に記載の半導体装置の製造方法。
(Appendix 2) After forming the second semiconductor layer, removing the first sidewall and the second sidewall;
The method for manufacturing a semiconductor device according to appendix 1, further comprising: forming a third sidewall on a side surface of the gate electrode.

(付記3)前記第1の半導体層は、Si層、SiGe層、SiGeC層及びSiC層のうちから選ばれた1つであることを特徴とする付記1又は2に記載の半導体装置の製造方法。   (Additional remark 3) The said 1st semiconductor layer is one selected from Si layer, SiGe layer, SiGeC layer, and SiC layer, The manufacturing method of the semiconductor device of Additional remark 1 or 2 characterized by the above-mentioned .

(付記4)前記第2の半導体層は、SiGe層、SiGeC層又はSiC層であることを特徴とする付記1〜3のいずれか1項に記載の半導体装置の製造方法。   (Additional remark 4) The said 2nd semiconductor layer is a SiGe layer, a SiGeC layer, or a SiC layer, The manufacturing method of the semiconductor device of any one of Additional remarks 1-3 characterized by the above-mentioned.

(付記5)前記凹部を形成する工程において、前記エッチングとして等方性エッチングを行うことを特徴とする付記1〜4のいずれか1項に記載の半導体装置の製造方法。   (Supplementary note 5) The method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 4, wherein in the step of forming the recess, isotropic etching is performed as the etching.

(付記6)前記凹部を形成する工程において、前記エッチングとして、ドライエッチングと、ウェットエッチングとを順次行うことを特徴とする付記1〜4のいずれか1項に記載の半導体装置の製造方法。   (Supplementary note 6) The method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 4, wherein in the step of forming the recess, dry etching and wet etching are sequentially performed as the etching.

(付記7)前記ウェットエッチングを、TMAH溶液を用いて行うことを特徴とする付記6に記載の半導体装置の製造方法。   (Additional remark 7) The manufacturing method of the semiconductor device of Additional remark 6 characterized by performing the said wet etching using a TMAH solution.

(付記8)半導体基板と、
前記半導体基板上に形成されたゲート絶縁膜と、
前記ゲート絶縁膜上に形成されたゲート電極と、
前記ゲート絶縁膜の側面に形成されたサイドウォールと、
前記サイドウォールに接し、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高い位置に形成された前記第1の半導体層と、
前記第1の半導体層と接し、前記半導体基板内に埋め込まれた第2の半導体層と
を含むことを特徴とする半導体装置。
(Appendix 8) a semiconductor substrate;
A gate insulating film formed on the semiconductor substrate;
A gate electrode formed on the gate insulating film;
A sidewall formed on a side surface of the gate insulating film;
The first semiconductor layer formed in a position higher than an interface position between the semiconductor substrate and the gate insulating film in contact with the sidewall;
And a second semiconductor layer embedded in the semiconductor substrate in contact with the first semiconductor layer.

(付記9)前記第2の半導体層は、その側面が前記ゲート電極に向かって突出する形状を有することを特徴とする請求項8に記載の半導体装置。   (Supplementary note 9) The semiconductor device according to claim 8, wherein a side surface of the second semiconductor layer protrudes toward the gate electrode.

(付記10)前記第1の半導体層は、Si層、SiGe層、SiGeC層及びSiC層のうちから選ばれた1つであることを特徴とする付記8又は9に記載の半導体装置。   (Supplementary Note 10) The semiconductor device according to Supplementary Note 8 or 9, wherein the first semiconductor layer is one selected from a Si layer, a SiGe layer, a SiGeC layer, and a SiC layer.

(付記11)前記第2の半導体層は、SiGe層、SiGeC層及びSiC層のうちから選ばれた1つであることを特徴とする付記8〜10のいずれか1項に記載の半導体装置。   (Supplementary note 11) The semiconductor device according to any one of Supplementary notes 8 to 10, wherein the second semiconductor layer is one selected from a SiGe layer, a SiGeC layer, and a SiC layer.

(付記12)前記サイドウォールは、前記ゲート電極の側面に接触して形成された第1のサイドウォールと、前記第1のサイドウォールに接触し、前記第1の半導体層上に形成された第2のサイドウォールとを有して形成されていることを特徴とする付記8〜11のいずれか1項に記載の半導体装置。   (Supplementary note 12) The sidewall is formed in contact with a side surface of the gate electrode, and the first sidewall formed in contact with the first sidewall and on the first semiconductor layer. The semiconductor device according to any one of appendices 8 to 11, wherein the semiconductor device is formed with two sidewalls.

(付記13)前記サイドウォールは、単層として形成されていることを特徴とする付記8〜11のいずれか1項に記載の半導体装置。   (Additional remark 13) The said sidewall is formed as a single layer, The semiconductor device of any one of Additional remark 8-11 characterized by the above-mentioned.

第1の実施形態によるpチャネルMOSトランジスタの構成を示す概略断面図である。1 is a schematic cross-sectional view showing a configuration of a p-channel MOS transistor according to a first embodiment. SiGeエピ層の近傍を拡大して示す概略断面図である。It is a schematic sectional drawing which expands and shows the neighborhood of a SiGe epilayer. 本実施形態によるpチャネルMOSトランジスタについて、従来のエピSi層を有しないpチャネルMOSトランジスタとの比較に基づき、チャネル領域に印加される圧縮応力を示す特性図である。FIG. 6 is a characteristic diagram showing compressive stress applied to a channel region based on a comparison with a p-channel MOS transistor having no conventional epi-Si layer in the p-channel MOS transistor according to the present embodiment. 第1の実施形態によるpチャネルMOSトランジスタの製造方法を工程順に示す概略断面図である。FIG. 6 is a schematic cross-sectional view showing the method of manufacturing the p-channel MOS transistor according to the first embodiment in order of processes. 図4に引き続き、第1の実施形態によるpチャネルMOSトランジスタの製造方法を工程順に示す概略断面図である。FIG. 5 is a schematic cross-sectional view showing the method of manufacturing the p-channel MOS transistor according to the first embodiment in order of processes subsequent to FIG. 4. 第1の実施形態の変形例によるpチャネルMOSトランジスタの製造方法における主要工程を示す概略断面図である。It is a schematic sectional drawing which shows the main processes in the manufacturing method of the p channel MOS transistor by the modification of 1st Embodiment. 第2の実施形態によるpチャネルMOSトランジスタの構成を示す概略断面図である。It is a schematic sectional drawing which shows the structure of the p channel MOS transistor by 2nd Embodiment. 第2の実施形態によるpチャネルMOSトランジスタの製造方法における主要工程を示す概略断面図である。It is a schematic sectional drawing which shows the main processes in the manufacturing method of the p channel MOS transistor by 2nd Embodiment. 第3の実施形態によるnチャネルMOSトランジスタの構成を示す概略断面図である。It is a schematic sectional drawing which shows the structure of the n channel MOS transistor by 3rd Embodiment. 第3の実施形態の変形例によるnチャネルMOSトランジスタの製造方法における主要工程を示す概略断面図である。It is a schematic sectional drawing which shows the main processes in the manufacturing method of the n channel MOS transistor by the modification of 3rd Embodiment. 第4の実施形態によるnチャネルMOSトランジスタの構成を示す概略断面図である。It is a schematic sectional drawing which shows the structure of the n channel MOS transistor by 4th Embodiment.

符号の説明Explanation of symbols

1 シリコン基板
1A 活性領域
1a 凹部
1b チャネル領域
1c 素子溝
2 STI素子分離構造
3 ゲート絶縁膜
4 ゲート電極
5,21,41 サイドウォール
6 Siエピ層
7 エクステンション領域
8 ソース/ドレイン領域
9 SiGeエピ層
10 ウェル
11 第1のサイドウォール
11a,11a シリコン酸化膜
12 第2のサイドウォール
12a,12a シリコン窒化膜
13 シリサイド層
31 SiCエピ層
DESCRIPTION OF SYMBOLS 1 Silicon substrate 1A Active region 1a Recess 1b Channel region 1c Element groove 2 STI element isolation structure 3 Gate insulating film 4 Gate electrodes 5, 21, 41 Side wall 6 Si epi layer 7 Extension region 8 Source / drain region 9 SiGe epi layer 10 Well 11 First sidewall 11a, 11a Silicon oxide film 12 Second sidewall 12a, 12a Silicon nitride film 13 Silicide layer 31 SiC epi layer

Claims (13)

半導体基板上にゲート絶縁膜及びゲート電極を形成し、前記半導体基板にチャネル領域を形成する工程と、
前記ゲート電極の側面に第1のサイドウォールを形成する工程と、
前記第1のサイドウォールに隣接する前記半導体基板上に、ノンドープの第1の半導体層を形成する工程と、
前記第1のサイドウォール上及びノンドープの前記第1の半導体層上に第2のサイドウォールを形成する工程と、
前記第2のサイドウォールをマスクとし、ノンドープの前記第1の半導体層及び前記半導体基板をエッチングして凹部を形成する工程と、
前記凹部に、第2の半導体層を形成する工程と
を含むことを特徴とする半導体装置の製造方法。
Forming a gate insulating film and a gate electrode on a semiconductor substrate, and forming a channel region in the semiconductor substrate ;
Forming a first sidewall on a side surface of the gate electrode;
Forming a non-doped first semiconductor layer on the semiconductor substrate adjacent to the first sidewall;
Forming a second sidewall on the first sidewall and on the non-doped first semiconductor layer;
Etching the non-doped first semiconductor layer and the semiconductor substrate using the second sidewall as a mask to form a recess;
Forming a second semiconductor layer in the recess. A method for manufacturing a semiconductor device, comprising:
前記凹部を形成する工程において、前記エッチングとして等方性エッチングを行うことを特徴とする請求項1に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein in the step of forming the recess, isotropic etching is performed as the etching. 前記凹部を形成する工程において、前記エッチングとして、ドライエッチングと、ウェットエッチングとを順次行うことを特徴とする請求項1に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein in the step of forming the recess, dry etching and wet etching are sequentially performed as the etching. 半導体基板と、
前記半導体基板上に形成されたゲート絶縁膜と、
前記ゲート絶縁膜上に形成されたゲート電極と、
前記半導体基板に形成されたチャネル領域と、
前記ゲート電極の側面に形成されたサイドウォールと、
前記サイドウォールに接し、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高い位置に形成されたノンドープの第1の半導体層と、
ノンドープの前記第1の半導体層と接し、前記半導体基板内に埋め込まれた第2の半導体層と
を含むことを特徴とする半導体装置。
A semiconductor substrate;
A gate insulating film formed on the semiconductor substrate;
A gate electrode formed on the gate insulating film;
A channel region formed in the semiconductor substrate;
A sidewall formed on a side surface of the gate electrode ;
A non-doped first semiconductor layer formed in a position higher than an interface position between the semiconductor substrate and the gate insulating film in contact with the sidewall;
A semiconductor device comprising: a second semiconductor layer embedded in the semiconductor substrate in contact with the non-doped first semiconductor layer.
前記第2の半導体層は、側面が前記チャネル領域に向かって突出する形状を有することを特徴とする請求項4に記載の半導体装置。 The semiconductor device according to claim 4, wherein the second semiconductor layer has a shape in which a side surface protrudes toward the channel region . 半導体基板上にゲート絶縁膜及びゲート電極を形成し、前記半導体基板にチャネル領域を形成する工程と、Forming a gate insulating film and a gate electrode on a semiconductor substrate, and forming a channel region in the semiconductor substrate;
前記ゲート電極の側面に第1のサイドウォールを形成する工程と、  Forming a first sidewall on a side surface of the gate electrode;
前記第1のサイドウォールに隣接する前記半導体基板上に、第1の半導体層を形成する工程と、  Forming a first semiconductor layer on the semiconductor substrate adjacent to the first sidewall;
前記第1のサイドウォール上及び前記第1の半導体層上に第2のサイドウォールを形成する工程と、  Forming a second sidewall on the first sidewall and on the first semiconductor layer;
前記第2のサイドウォールをマスクとし、前記第1の半導体層及び前記半導体基板をエッチングして凹部を形成する工程と、  Etching the first semiconductor layer and the semiconductor substrate to form a recess using the second sidewall as a mask;
前記凹部に、前記第1の半導体層の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有する第2の半導体層を形成する工程と  Forming in the recess a second semiconductor layer having a lattice constant larger than that of the first semiconductor layer and having a shape in which a side surface protrudes toward the channel region;
を含むことを特徴とする半導体装置の製造方法。  A method for manufacturing a semiconductor device, comprising:
半導体基板と、A semiconductor substrate;
前記半導体基板上に形成されたゲート絶縁膜と、  A gate insulating film formed on the semiconductor substrate;
前記ゲート絶縁膜上に形成されたゲート電極と、  A gate electrode formed on the gate insulating film;
前記半導体基板に形成されたチャネル領域と、  A channel region formed in the semiconductor substrate;
前記ゲート電極の側面に形成されたサイドウォールと、  A sidewall formed on a side surface of the gate electrode;
前記サイドウォールに接し、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高い位置に形成された第1の半導体層と、  A first semiconductor layer in contact with the sidewall and formed at a position higher than an interface position between the semiconductor substrate and the gate insulating film;
前記第1の半導体層と接し、前記半導体基板内に埋め込まれた第2の半導体層と  A second semiconductor layer in contact with the first semiconductor layer and embedded in the semiconductor substrate;
を含み、  Including
前記第2の半導体層は、前記第1の半導体層の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有することを特徴とする半導体装置。  The semiconductor device, wherein the second semiconductor layer has a lattice constant larger than that of the first semiconductor layer, and has a side surface protruding toward the channel region.
半導体基板上にゲート絶縁膜及びゲート電極を形成し、前記半導体基板にチャネル領域を形成する工程と、Forming a gate insulating film and a gate electrode on a semiconductor substrate, and forming a channel region in the semiconductor substrate;
前記ゲート電極の側面に第1のサイドウォールを形成する工程と、  Forming a first sidewall on a side surface of the gate electrode;
前記第1のサイドウォールに隣接する前記半導体基板上に、第1の半導体層を形成する工程と、  Forming a first semiconductor layer on the semiconductor substrate adjacent to the first sidewall;
前記第1のサイドウォール上及び前記第1の半導体層上に第2のサイドウォールを形成する工程と、  Forming a second sidewall on the first sidewall and on the first semiconductor layer;
前記第2のサイドウォールをマスクとし、前記第1の半導体層及び前記半導体基板をエッチングして凹部を形成する工程と、  Etching the first semiconductor layer and the semiconductor substrate to form a recess using the second sidewall as a mask;
前記凹部に、前記半導体基板の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有する第2の半導体層を形成する工程と  Forming, in the recess, a second semiconductor layer having a lattice constant larger than that of the semiconductor substrate and having a shape in which a side surface protrudes toward the channel region;
を含み、  Including
前記突出により最も突き出した前記第2の半導体層の部分は、前記半導体基板と接することを特徴とする半導体装置の製造方法。  The method of manufacturing a semiconductor device, wherein the portion of the second semiconductor layer that protrudes most by the protrusion is in contact with the semiconductor substrate.
半導体基板と、A semiconductor substrate;
前記半導体基板上に形成されたゲート絶縁膜と、  A gate insulating film formed on the semiconductor substrate;
前記ゲート絶縁膜上に形成されたゲート電極と、  A gate electrode formed on the gate insulating film;
前記半導体基板に形成されたチャネル領域と、  A channel region formed in the semiconductor substrate;
前記ゲート電極の側面に形成されたサイドウォールと、  A sidewall formed on a side surface of the gate electrode;
前記サイドウォールに接し、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高い位置に形成された第1の半導体層と、  A first semiconductor layer in contact with the sidewall and formed at a position higher than an interface position between the semiconductor substrate and the gate insulating film;
前記第1の半導体層と接し、前記半導体基板内に埋め込まれた、前記半導体基板の格子定数より大きい格子定数を有し、側面が前記チャネル領域に向かって突出する形状を有する第2の半導体層と  A second semiconductor layer in contact with the first semiconductor layer, embedded in the semiconductor substrate, having a lattice constant larger than that of the semiconductor substrate and having a shape in which a side surface protrudes toward the channel region When
を含み、  Including
前記突出により最も突き出した前記第2の半導体層の部分は、前記半導体基板と接することを特徴とする半導体装置。  The portion of the second semiconductor layer that protrudes most by the protrusion is in contact with the semiconductor substrate.
前記第2の半導体層の格子定数は、前記第1の半導体層の格子定数より大きいことを特徴とする請求項1又は8に記載の半導体装置の製造方法。  9. The method of manufacturing a semiconductor device according to claim 1, wherein a lattice constant of the second semiconductor layer is larger than a lattice constant of the first semiconductor layer. 前記第2の半導体層の格子定数は、前記第1の半導体層の格子定数より大きいことを特徴とする請求項4又は9に記載の半導体装置。The semiconductor device according to claim 4, wherein a lattice constant of the second semiconductor layer is larger than a lattice constant of the first semiconductor layer. 前記第1の半導体層の上面は、前記半導体基板と前記ゲート絶縁膜との界面位置よりも高いことを特徴とする請求項6、8、10のいずれか1項に記載の半導体装置の製造方法。11. The method of manufacturing a semiconductor device according to claim 6, wherein an upper surface of the first semiconductor layer is higher than an interface position between the semiconductor substrate and the gate insulating film. . 前記第2の半導体層は、側面が前記チャネル領域に向かって突出する形状を有することを特徴とする請求項1に記載の半導体装置の製造方法。The method for manufacturing a semiconductor device according to claim 1, wherein the second semiconductor layer has a shape in which a side surface protrudes toward the channel region.
JP2007252389A 2007-09-27 2007-09-27 Semiconductor device and manufacturing method thereof Expired - Fee Related JP5200476B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007252389A JP5200476B2 (en) 2007-09-27 2007-09-27 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007252389A JP5200476B2 (en) 2007-09-27 2007-09-27 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2009088021A JP2009088021A (en) 2009-04-23
JP5200476B2 true JP5200476B2 (en) 2013-06-05

Family

ID=40661104

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007252389A Expired - Fee Related JP5200476B2 (en) 2007-09-27 2007-09-27 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP5200476B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9968988B2 (en) 2012-05-31 2018-05-15 Newfrey Llc Blind rivet fastening device

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009122542A1 (en) * 2008-03-31 2009-10-08 富士通マイクロエレクトロニクス株式会社 Semiconductor device and method for manufacturing the same
KR101797964B1 (en) * 2010-10-01 2017-11-15 삼성전자주식회사 Fabricating method of semiconductor device and the semiconductor device fabricated using the same method
JP2014048194A (en) * 2012-08-31 2014-03-17 Brother Ind Ltd Inspection chip, and inspection unit
KR20140039544A (en) 2012-09-24 2014-04-02 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
CN112017953B (en) * 2020-09-07 2023-10-24 长江存储科技有限责任公司 Epitaxial growth method
CN116230755B (en) * 2023-05-05 2023-09-12 合肥晶合集成电路股份有限公司 Semiconductor structure and manufacturing method thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4369359B2 (en) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 Semiconductor device
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
JP4630728B2 (en) * 2005-05-26 2011-02-09 株式会社東芝 Semiconductor device and manufacturing method thereof
JP4984665B2 (en) * 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP2008263114A (en) * 2007-04-13 2008-10-30 Sony Corp Manufacturing method of semiconductor device, and semiconductor device
JP2009064875A (en) * 2007-09-05 2009-03-26 Toshiba Corp Semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9968988B2 (en) 2012-05-31 2018-05-15 Newfrey Llc Blind rivet fastening device

Also Published As

Publication number Publication date
JP2009088021A (en) 2009-04-23

Similar Documents

Publication Publication Date Title
US7553717B2 (en) Recess etch for epitaxial SiGe
JP4345774B2 (en) Manufacturing method of semiconductor device
US8803248B2 (en) Semiconductor devices and methods of manufacturing the same
US8835263B2 (en) Formation of a selective carbon-doped epitaxial cap layer on selective epitaxial SiGe
US7892931B2 (en) Use of a single mask during the formation of a transistor&#39;s drain extension and recessed strained epi regions
US7981750B2 (en) Methods of fabrication of channel-stressed semiconductor devices
JP5211647B2 (en) Semiconductor device and manufacturing method thereof
JP5359863B2 (en) Semiconductor device and manufacturing method thereof
US20100210083A1 (en) Method for manufacturing semiconductor device
JP5200476B2 (en) Semiconductor device and manufacturing method thereof
KR20090073183A (en) Stressed field effect transistor and method for its fabrication
WO2007034553A1 (en) Semiconductor device and its fabrication method
KR20060026447A (en) Pmos transistor strain optimization with raised junction regions
JP2007227565A (en) Semiconductor device, and its manufacturing method
US20080017931A1 (en) Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
JP4177775B2 (en) Semiconductor substrate, manufacturing method thereof, and semiconductor device
JP5389346B2 (en) MOS field effect transistor and manufacturing method thereof
US8134189B2 (en) Semiconductor device and method of manufacturing the same
JP5130648B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2009094225A (en) Method of manufacturing semiconductor device
JP2008177319A (en) Semiconductor device manufacturing method and semiconductor device
JP2006165480A (en) Semiconductor device
JP2009065020A (en) Semiconductor device and its manufacturing method
US7951662B2 (en) Method of fabricating strained silicon transistor
JP2007059910A (en) Method of forming nmos/pmos transistor having source/drain including stress substance, and device formed by the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100506

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120918

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130128

R150 Certificate of patent or registration of utility model

Ref document number: 5200476

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees