JP5200276B2 - Inline lithography and etching system - Google Patents

Inline lithography and etching system Download PDF

Info

Publication number
JP5200276B2
JP5200276B2 JP2010501280A JP2010501280A JP5200276B2 JP 5200276 B2 JP5200276 B2 JP 5200276B2 JP 2010501280 A JP2010501280 A JP 2010501280A JP 2010501280 A JP2010501280 A JP 2010501280A JP 5200276 B2 JP5200276 B2 JP 5200276B2
Authority
JP
Japan
Prior art keywords
data
wafer
processing
wafers
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010501280A
Other languages
Japanese (ja)
Other versions
JP2010524209A (en
Inventor
ジー ウィンクラー,マーク
イー ウィンター,トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/730,284 external-priority patent/US7596423B2/en
Priority claimed from US11/730,279 external-priority patent/US7783374B2/en
Priority claimed from US11/730,202 external-priority patent/US7531368B2/en
Priority claimed from US11/730,339 external-priority patent/US7935545B2/en
Priority claimed from US11/730,341 external-priority patent/US7650200B2/en
Priority claimed from US11/730,283 external-priority patent/US7373216B1/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010524209A publication Critical patent/JP2010524209A/en
Application granted granted Critical
Publication of JP5200276B2 publication Critical patent/JP5200276B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • General Factory Administration (AREA)

Description

本発明はウエハ処理に関し、より詳細にはサイト依存(S-D)処理及びサブシステムを用いたウエハ処理の改善に関する。   The present invention relates to wafer processing and, more particularly, to site-dependent (S-D) processing and improved wafer processing using subsystems.

集積回路に用いられる現在の製造方法及び工場の設計は多くの装置を必要とする。これら多くの装置は、スタンドアローンのプラットフォームとして設けられているか、又は全体の領域で群をなす-通常2000フィート以上間隔を開けて設けられている-ようにして設けられている。従ってこれらの装置を動かす設備は、工場全体に広く分布していなければならない。これらのプラットフォームに必要とされる典型的な機能は、基板コーティング(密着、BARC、TARC、レジスト、上部コーティング)、ベーキング(塗布後ベーキング及び露光後ベーキング)、描画(露光)、計測(オーバーレイ、限界寸法、欠陥、及び膜厚)、浸漬処理を用いた露光前及び露光後洗浄、(下地の薄膜中でのパターンを画定する)エッチング、並びにエッチング後洗浄(ポリマー及び他の副生成物の除去)である。32nm未満のゲート長を目指す技術では、半導体デバイスの1層の活性層が完成するまでこれらの動作を繰り返すこと-つまり二重BARC、二重若しくは三重パターニング、又は三重描画等-が必要となる。   Current manufacturing methods and factory designs used for integrated circuits require a lot of equipment. Many of these devices are either provided as stand-alone platforms or are grouped in their entire area—typically spaced apart by more than 2000 feet. Therefore, the equipment that operates these devices must be widely distributed throughout the factory. Typical functions required for these platforms are substrate coating (adhesion, BARC, TARC, resist, top coating), baking (post-application baking and post-exposure baking), drawing (exposure), metrology (overlay, limit) Dimensions, defects, and film thickness), pre-exposure and post-exposure cleaning using an immersion process, etching (defining a pattern in the underlying thin film), and post-etch cleaning (removing polymers and other by-products) It is. The technology aiming at a gate length of less than 32 nm requires repeating these operations until one active layer of a semiconductor device is completed, that is, double BARC, double or triple patterning, or triple drawing.

米国特許出願公開第20080243297号明細書US Patent Application Publication No. 20080243297 米国特許第7596423号明細書US Pat. No. 7,756,423 米国特許出願公開第20080243295号明細書US Patent Application Publication No. 20080243295 米国特許出願公開第20080241970号明細書US Patent Application Publication No. 20080241970 米国特許出願公開第20080241971号明細書US Patent Application Publication No. 20080241971 米国特許第6913900号明細書US Pat. No. 6,913,900 国際公開第2005/003911号パンフレットInternational Publication No. 2005/003911 Pamphlet 米国特許第7092110号明細書US Patent No. 7092110 米国特許第7588949号明細書US Pat. No. 7,588,949 米国特許第7388677号明細書U.S. Patent No. 7388677 米国特許第6785638号明細書U.S. Pat. No. 6,785,638

サイモン(Simon Haykin)、「ニューラルネットワーク(Neural Networks)」、プレンティスホール(Prentice Hall)、1999年Simon Haykin, "Neural Networks", Prentice Hall, 1999

15nmゲート技術にとって要求されるゲートレベルの欠陥密度は、ITRS2005のロードマップでは10nmで約0.01/cm2となる予測である。限界寸法制御は、エッチング後のゲート素子については約0.6nm(3σ)を必要とする。これらの性能を備えたリソグラフィ及びエッチング処理装置は存在しない。 The gate level defect density required for 15nm gate technology is expected to be about 0.01 / cm 2 at 10nm in the ITRS2005 roadmap. The critical dimension control requires about 0.6 nm (3σ) for the gate element after etching. There are no lithography and etch processing equipment with these capabilities.

これら先端技術は、受容可能なデバイス結果を維持するため、ウエハ間でのプロセス調節をリアルタイムで行うことを必要とする。欠陥に係る要件により、工場内において装置間での移動を少なくすることが求められる。なぜならこれらの移動は欠陥及び工場のクリーンルーム費用を生じさせるからである。   These advanced technologies require real-time process adjustments between wafers to maintain acceptable device results. Due to the requirements for defects, it is required to reduce movement between devices in a factory. Because these movements cause defects and factory clean room costs.

今日用いられているプラットフォームは製造用の「島」として機能している。このため、最善のCoO開発の余裕はなく、又は最適なプロセス制御も不可能である。今日の300mmトラック設計は、一部の露光装置製造者によって可能と主張されているような、300枚/時間ものスループットを満たすことはできない。   The platform used today functions as an “island” for manufacturing. For this reason, there is no allowance for the best CoO development or optimal process control is not possible. Today's 300mm track designs cannot meet the 300-sheet / hour throughput, as claimed by some lithography tool manufacturers.

本発明は、S-D処理処理及び/又はS-D評価処理を用いたリアルタイムでのウエハ処理方法を供する。一部の実施例では、1つ以上のサブシステム及び/又はシステム内の1つ以上の制御装置が、リアルタイムS-Dパラメータを用いたS-D処理処理及び/又はS-D評価処理の実行に用いられて良い。それに加えて、S-D処理処理及び/又S-D測定処理が履歴データを用いて動作しても良い。   The present invention provides a real-time wafer processing method using S-D processing and / or S-D evaluation processing. In some embodiments, one or more subsystems and / or one or more controllers in the system may be used to perform SD processing and / or S-D evaluation processing using real-time S-D parameters. In addition, S-D processing and / or S-D measurement processing may operate using history data.

他の一部の実施例では、本発明はS-Dを検証する方法及び装置を供する。一の工程では、第1組のS-Dウエハが1つ以上の処理サブシステム内の1つ以上のS-D処理装置によって受け取られて良く、かつ1つ以上のS-D処理装置は1つ以上のS-D搬送サブシステムと結合して良い。各ウエハはそのウエハに関連するデータを有して良い。そのウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。他の工程では、第1組の未検証S-Dウエハが1つ以上のS-D処理装置を用いた第1S-D生成処理を実行することによって生成されて良く、かつ1つ以上の未検証評価部位が各未検証S-Dウエハ上の第1数の評価サイトに生成されて良い。S-Dウエハ状態データが各未検証S-Dウエハについて作成されて良い。S-Dウエハ状態データは、各未検証S-Dウエハについての必要な生成サイト数及び必要な評価サイト数を有して良い。また第1数の未検証S-Dウエハを含む第1組の評価用ウエハが作製されて良い。第1組の評価用ウエハが第1S-D評価処理を用いて評価されて良い。続いて1つ以上のS-D搬送サブシステムと結合する1つ以上のサブシステム内の複数のS-D評価装置について第1動作状態が作成されて良い。第1数の利用可能な評価装置は、1つ以上のS-D評価装置について第1動作状態を用いて決定されて良い。第1S-D搬送シーケンスが、ウエハデータ、S-Dウエハデータ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合を用いて作成されて良い。S-D評価用ウエハの数が利用可能な評価装置の個数である第1数以下であるとき、第1組のS-D評価用ウエハは、第1S-D搬送シーケンスを用いることによって1つ以上の評価サブシステム内の第1数の利用可能な評価装置へ搬送されて良い。S-D評価用ウエハの数が利用可能な評価装置の数である第1数よりも多いとき、第1補正動作がなされる。それに加えて、本発明はS-Dウエハを検証するシステムを供する。当該システムは、第1組のウエハを受け取るように備えられた1つ以上の処理サブシステム内の1つ以上の処理装置、及び該1つ以上の処理装置と結合する1つ以上の搬送サブシステムを有して良い。1つ以上のS-D処理装置は、第1S-D生成処理を実行することによって第1組の未検証S-Dウエハを生成するように備えられていて良い。1つ以上の未検証評価用部位が、各未検証S-Dウエハ上の第1数の評価用サイトにて生成されて良い。当該システムはまた、1つ以上の処理サブシステム内の1つ以上のS-D処理装置及び1つ以上のS-D搬送サブシステムと結合する1つ以上の制御装置をも有して良い。1つ以上の制御装置は、各未検証S-DウエハについてのS-Dウエハ状態データを作成するように備えられていて良い。S-Dウエハ状態データは、各未検証S-Dウエハについて、多数の必要とされる生成用サイト及び多数の必要とされる評価用サイトを有する。これは、第1S-D評価処理を用いて評価される、第1数の未検証S-Dウエハを有する第1組の評価用ウエハを作製するため、1つ以上のS-D搬送サブシステムと結合する1つ以上のサブシステム内の複数のS-D評価装置についての第1動作状態を作成するため、前記の1つ以上のS-D評価装置についての第1動作状態を用いることによって第1数の利用可能な評価装置を決定するため、ウエハデータ、S-Dウエハ状態データ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合を用いて第1S-D搬送シーケンスを作成するため、並びに、S-D評価用ウエハの数が利用可能な評価装置の数である第1数以下であるときに第1補正動作を適用するためである。   In some other embodiments, the present invention provides a method and apparatus for verifying S-D. In one process, a first set of SD wafers may be received by one or more SD processing units in one or more processing subsystems, and the one or more SD processing units may be one or more SD transport sub-units. May be combined with the system. Each wafer may have data associated with that wafer. The wafer data may include historical data and / or real time data. In other processes, a first set of unverified SD wafers may be generated by performing a first SD generation process using one or more SD processing devices, and one or more unverified evaluation sites are present. It may be generated at the first number of evaluation sites on each unverified SD wafer. S-D wafer status data may be generated for each unverified S-D wafer. The S-D wafer state data may include a necessary number of generation sites and a required number of evaluation sites for each unverified S-D wafer. A first set of evaluation wafers including the first number of unverified S-D wafers may be fabricated. The first set of evaluation wafers may be evaluated using the first SD evaluation process. Subsequently, a first operating state may be created for a plurality of S-D evaluation devices in one or more subsystems coupled to one or more S-D transport subsystems. The first number of available evaluation devices may be determined using the first operating state for one or more S-D evaluation devices. The first SD transport sequence may be created using wafer data, S-D wafer data, a first number of S-D evaluation wafers, a first number of available evaluation devices, or a combination thereof. When the number of SD evaluation wafers is less than or equal to the first number of available evaluation devices, the first set of SD evaluation wafers may include one or more evaluation sub-systems by using the first SD transport sequence. It can be transported to the first number of available evaluation devices in the system. When the number of S-D evaluation wafers is greater than a first number that is the number of available evaluation devices, a first correction operation is performed. In addition, the present invention provides a system for verifying S-D wafers. The system includes: one or more processing units in one or more processing subsystems configured to receive a first set of wafers; and one or more transfer subsystems coupled to the one or more processing units You may have. One or more S-D processing apparatuses may be provided to generate a first set of unverified S-D wafers by performing a first S-D generation process. One or more unverified evaluation sites may be generated at the first number of evaluation sites on each unverified SD wafer. The system may also include one or more control devices coupled to one or more SD processing devices and one or more SD transport subsystems in one or more processing subsystems. One or more control devices may be provided to create S-D wafer status data for each unverified S-D wafer. The S-D wafer status data has a number of required generation sites and a number of required evaluation sites for each unverified S-D wafer. This is coupled to one or more SD transport subsystems to produce a first set of evaluation wafers having a first number of unverified SD wafers that are evaluated using a first S-D evaluation process. A first number of available evaluations by using the first operating state for the one or more SD evaluators to create a first operating state for a plurality of SD evaluators in one or more subsystems Create first SD transport sequence using wafer data, SD wafer status data, first number of SD evaluation wafers, first number of available evaluation devices, or a combination thereof to determine equipment Therefore, the first correction operation is applied when the number of SD evaluation wafers is equal to or less than the first number that is the number of available evaluation apparatuses.

一部の追加実施例では、本発明はS-D処理を検証する方法及び装置を供する。検証されたS-D生成処理は、被処理ウエハ上の1つ以上の地点にて1つ以上の検証された評価用部位を生成して良い。リスク因子が減少するとき、処理の検証に必要なサイト数もまた減少し、かつこれによってスループットを向上させることが可能となる。それに加えて、信頼値が上昇するとき、処理の検証に必要とされるサイト数もまた減少し、かつこれによりスループットを向上させることが可能となる。十分な品質の製品では、検証の判断は、より少数のウエハ及びより少数のサイトを用いても可能である。一の工程では、1つ以上のサイト依存(S-D)ウエハが、1つ以上の処理サブシステム内の1つ以上のS-D処理装置と結合可能な1つ以上のS-D搬送サブシステムによって受け取られて良い。各ウエハは関連するウエハデータを有して良い。そのウエハデータは履歴及び/又はリアルタイムデータを有して良い。様々な工程では、各ウエハについてS-Dウエハ状態データが決定されて良く、S-Dウエハ状態データ及びウエハデータを用いることによって第1組の検証用ウエハが作製されて良く、かつ第1組の検証用ウエハは多数のS-Dウエハを有して良い。各検証用ウエハについて、必要とされる検証用サイト数、アクセスされる検証用サイト数、及び他の検証用サイト数が、S-Dウエハ状態データから決定されて良い。次に、第1組の検証用ウエハ中の第1検証用ウエハについて、第1の処理検証シーケンスが、ウエハデータ、S-Dウエハ状態データ、必要な検証用サイト数、検証のためにアクセスされたサイト数、若しくは他の検証用サイト数、又はこれらの結合を用いて作成されて良い。第1検証用ウエハについて、処理検証シーケンスを用いることによって第1S-D検証処理が決定されて良く、かつ第1S-D検証処理は1つ以上の処理を有して良い。第1S-D処理装置が利用可能であるとき、第1検証用ウエハは、第1処理サブシステムと結合するS-D搬送サブシステムを用いることによって、第1処理サブシステム内の第1S-D処理装置へ搬送されて良い。第1S-D処理装置が利用可能でないとき、第1評価用ウエハは、S-D搬送サブシステムを用いることによって、第1期間だけ搬送が猶予(delay)される。   In some additional embodiments, the present invention provides a method and apparatus for verifying SD processing. The verified S-D generation process may generate one or more verified evaluation parts at one or more points on the wafer to be processed. As risk factors decrease, the number of sites required to validate the process also decreases, which can improve throughput. In addition, when the confidence value rises, the number of sites required for processing verification is also reduced, which can improve throughput. For products of sufficient quality, verification decisions can be made using fewer wafers and fewer sites. In one process, one or more site-dependent (SD) wafers may be received by one or more SD transport subsystems that can be combined with one or more SD processing equipment in one or more processing subsystems. . Each wafer may have associated wafer data. The wafer data may include history and / or real time data. In various processes, SD wafer status data may be determined for each wafer, a first set of verification wafers may be created using the SD wafer status data and wafer data, and the first set of verification wafers. May have multiple SD wafers. For each verification wafer, the number of verification sites required, the number of verification sites accessed, and the number of other verification sites may be determined from the S-D wafer state data. Next, for the first verification wafer in the first set of verification wafers, the first processing verification sequence is the wafer data, the SD wafer status data, the number of required verification sites, and the sites accessed for verification. Or a number of other verification sites, or a combination thereof. For the first verification wafer, the first S-D verification process may be determined by using the process verification sequence, and the first S-D verification process may include one or more processes. When the first S-D processing device is available, the first verification wafer uses the SD transport subsystem coupled to the first processing subsystem, thereby allowing the first S-D processing device in the first processing subsystem to be used. Can be transported to When the first S-D processing apparatus is not available, the first evaluation wafer is delayed for the first period by using the S-D transfer subsystem.

さらに他の実施例では、本発明はS-D評価用ライブラリの生成方法を供する。当該方法は、S-D処理シーケンスを用いてウエハ上の1層以上の層内にS-D参照用構造を生成する工程、評価サブシステムを用いることによって前記S-D参照用構造についてのS-D評価データを取得する工程、前記S-D評価データと予測されたライブラリ関連データとを比較する工程、前記評価データについての信頼性データとリスク評価データを作成する工程、リスク評価限界が満たされているときに前記参照用構造を検証された構造として認定する工程、及び、前記の検証された参照用構造に係るデータをS-D評価用ライブラリに保存する工程、を有する。S-D評価用ライブラリ内のデータは複数の種類の波長からなるS-D組、及び、一致条件が見つかったときには一致条件に関するS-D評価用ライブラリデータを用いてS-D参照用構造を認定し、又は一致条件を見つけることができないときには第1補正行為を適用すること、によって特徴付けられる。それに加えて、本発明はS-D評価用ライブラリを生成するシステムを供する。当該システムは、ウエハ上の1層以上の層内にS-D参照用構造を生成するS-D処理サブシステム、評価データを供するS-D評価サブシステム、並びに、前記評価データと予測されたデータとの比較、及びS-D評価用ライブラリ内への検証された参照用構造に係るデータの保存を行う制御装置を有して良い。   In yet another embodiment, the present invention provides a method for generating a library for SD evaluation. The method includes the steps of generating an SD reference structure in one or more layers on the wafer using an SD processing sequence, and obtaining SD evaluation data for the SD reference structure by using an evaluation subsystem. A step of comparing the SD evaluation data with the predicted library-related data, a step of creating reliability data and risk evaluation data for the evaluation data, and the reference structure when the risk evaluation limit is satisfied. A step of authorizing as a verified structure, and a step of storing data relating to the verified reference structure in an SD evaluation library. The data in the SD evaluation library is an SD set consisting of multiple types of wavelengths, and when a matching condition is found, the SD evaluation library data regarding the matching condition is used to certify the SD reference structure or find a matching condition. Characterized by applying the first corrective action when it is not possible. In addition, the present invention provides a system for generating an S-D evaluation library. The system includes an SD processing subsystem that generates an SD reference structure in one or more layers on a wafer, an SD evaluation subsystem that provides evaluation data, and a comparison of the evaluation data with predicted data; and A control device may be provided for storing data related to the verified reference structure in the SD evaluation library.

追加実施例では、本発明は、サイト依存(S-D)処理装置、S-D評価装置、S-D生成装置、若しくはS-D評価装置、又はこれらの結合を用いることによってデュアルダマシン処理を実行するシステム及び方法を供する。   In additional embodiments, the present invention provides a system and method for performing dual damascene processing by using a site dependent (S-D) processor, an S-D evaluator, an S-D generator, or an S-D evaluator, or a combination thereof.

他の追加実施例では、本発明は複数のS-D処理を用いた二重パターニング処理シーケンスを実行する方法を供する。当該方法は処理システム内の第1S-D搬送サブシステムによって第1組のウエハを受け取る工程を有して良い。当該処理システムは、1つ以上のリソグラフィサブシステム、1つ以上のスキャナサブシステム、1つ以上のエッチングサブシステム、1つ以上の熱サブシステム、1つ以上の評価サブシステム、1つ以上の検査サブシステム、1つ以上の再加工サブシステム、若しくは1つ以上の堆積サブシステム、又はこれらの結合を有して良い。   In another additional embodiment, the present invention provides a method for performing a double patterning process sequence using multiple SD processes. The method may include receiving a first set of wafers by a first SD transport subsystem in the processing system. The processing system includes one or more lithography subsystems, one or more scanner subsystems, one or more etching subsystems, one or more thermal subsystems, one or more evaluation subsystems, one or more inspections Subsystems, one or more rework subsystems, or one or more deposition subsystems, or combinations thereof may be included.

本発明の他の態様は以降の詳細な説明及び添付図面から明らかとなる。   Other aspects of the invention will become apparent from the following detailed description and accompanying drawings.

本発明の実施例による処理システムの典型的なブロック図である。1 is an exemplary block diagram of a processing system according to an embodiment of the present invention. 本発明の実施例によるS-D処理を用いたウエハ処理方法の典型的なフローダイアグラムを表している。2 shows an exemplary flow diagram of a wafer processing method using SD processing according to an embodiment of the present invention. 本発明の実施例によるウエハマップの簡略化された図を示している。FIG. 4 shows a simplified diagram of a wafer map according to an embodiment of the present invention. 本発明の実施例による典型的なサブシステムの簡略化されたブロック図を示す。FIG. 4 shows a simplified block diagram of an exemplary subsystem according to an embodiment of the present invention. 本発明の実施例によるS-D部位、S-Dウエハ、及び/又はS-D処理を検証する方法の典型的なフローダイアグラムを表す。FIG. 4 depicts an exemplary flow diagram of a method for verifying an S-D site, an S-D wafer, and / or an S-D process according to an embodiment of the present invention. 本発明の実施例によるS-D評価用ライブラリを生成する方法の典型的なフローダイアグラムを表す。2 represents an exemplary flow diagram of a method for generating an S-D evaluation library according to an embodiment of the present invention. S-D処理を用いたデュアルダマシン構造の生成方法の典型的なフローダイアグラムを表す。A typical flow diagram of a method for generating a dual damascene structure using S-D processing is shown. S-D評価用ライブラリを生成する方法の他の典型的なフローダイアグラムを表す。Fig. 4 represents another typical flow diagram of a method for generating an S-D evaluation library. 複数のモジュールからなるシステムを図示する本発明の実施例のブロック図である。ここで各モジュールはウエハを処理するのに必要な全ての装置を含む。1 is a block diagram of an embodiment of the present invention illustrating a system of modules. FIG. Here, each module includes all the equipment necessary to process the wafer.

本発明は例示として添付された概略図を参照することによって説明される。図中対応する参照番号は対応する部品を表す。   The invention will now be described by way of example with reference to the accompanying schematic drawings. Corresponding reference numbers in the figures represent corresponding parts.

本発明は、サイト依存(S-D)処理、シーケンス、及び/又はサブシステムを用いることによって、上に多数の半導体デバイスを有するウエハを処理する装置及び方法を供する。ウエハが受け取られるとき、そのウエハはサイト依存(S-D)ウエハ又は非サイト依存(N-S-D)ウエハとして識別されて良い。様々な実施例では、S-D搬送シーケンスの実行、S-Dウエハの処理、S-D評価用ライブラリの生成、1つ以上のS-D生成処理及び/又は1つ以上のS-D評価処理を有することのできるS-D処理シーケンスの実行、S-D検証処理の実行を行う装置及び方法が供される。   The present invention provides an apparatus and method for processing a wafer having a number of semiconductor devices thereon by using site-dependent (S-D) processing, sequences, and / or subsystems. When a wafer is received, the wafer may be identified as a site-dependent (S-D) wafer or a non-site-dependent (N-S-D) wafer. In various embodiments, an SD processing sequence that can include executing an SD transfer sequence, processing an SD wafer, generating an SD evaluation library, one or more SD generation processes, and / or one or more SD evaluation processes. An apparatus and a method for executing and executing SD verification processing are provided.

処理システムは、S-D処理装置、S-D評価装置、並びに、S-D処理装置及びS-D評価装置と結合する1つ以上のS-D搬送サブシステムを有して良い。あるいはその代わりに他の構成が用いられても良い。   The processing system may include an S-D processing device, an S-D evaluation device, and one or more SD transport subsystems coupled to the S-D processing device and the S-D evaluation device. Alternatively, other configurations may be used.

1つ以上のサイトがS-Dウエハ上の様々な地点に供されて良い。サイトはプロセスに関連して良い。そのサイトのうちの1つ以上はS-D評価及び/又は検証処理で用いられて良い。S-D評価及び/又は検証処理は、S-D搬送シーケンス、S-Dウエハ、S-D処理、S-D評価用ライブラリ、S-D処理シーケンス、若しくは処理工程で用いられる特定のサイト、又はこれらの結合を評価及び/又は検証するのに用いられて良い。   One or more sites may be served at various points on the S-D wafer. The site may be relevant to the process. One or more of the sites may be used in the S-D evaluation and / or verification process. The SD evaluation and / or verification process evaluates and / or verifies an SD transfer sequence, SD wafer, SD process, SD evaluation library, SD process sequence, or a specific site used in a process, or a combination thereof. May be used for

S-Dウエハは関連するウエハデータを有して良い。そのウエハデータはリアルタイム及び履歴データを有して良い。ウエハデータはS-D及び/又はN-S-Dデータであって良い。それに加えて、ウエハデータは、ウエハについての信頼性データ及び/又はリスクデータを有して良い。S-Dウエハは関連するサイトデータを有して良い。そのサイトデータは、必要なサイト数、アクセスしたサイト数、1つ以上のサイトについての信頼性データ及び/若しくはリスクデータ、サイトランキングデータ、搬送シーケンスデータ、プロセス関連データ、評価/検証関連データ、又はこれらの結合を有して良い。ウエハデータは、S-D搬送シーケンス特性の設定に用いることのできる1つ以上の搬送シーケンス変数を有して良い。スループットの最適化、処理装置の利用の最大化、評価装置の利用の最大化、不具合を有するウエハの可及的速やかな再加工を行うため、S-D搬送シーケンスはリアルタイムで変化して良い。ウエハデータは、S-D処理シーケンス特性の設定に用いることのできる1つ以上の処理シーケンス変数を有して良い。スループットの最適化、処理装置の利用の最大化、評価装置の利用の最大化、不具合を有するウエハの可及的速やかな再加工、オフラインの及び/又は不具合を有する装置の回避、1つ以上のサイトが評価及び/又は検証されたときのウエハの搬送を行うため、S-D搬送シーケンスはリアルタイムで変化して良い。   S-D wafers may have associated wafer data. The wafer data may include real time and historical data. The wafer data may be S-D and / or N-S-D data. In addition, the wafer data may include reliability data and / or risk data about the wafer. The S-D wafer may have associated site data. The site data may include the number of sites required, the number of sites visited, reliability data and / or risk data for one or more sites, site ranking data, transport sequence data, process related data, evaluation / verification related data, or You may have these bonds. The wafer data may include one or more transfer sequence variables that can be used to set SD transfer sequence characteristics. In order to optimize throughput, maximize use of processing equipment, maximize use of evaluation equipment, and reprocess wafers with defects as quickly as possible, the S-D transfer sequence may change in real time. The wafer data may have one or more process sequence variables that can be used to set the S-D process sequence characteristics. Optimize throughput, maximize use of processing equipment, maximize use of evaluation equipment, rework defective wafers as quickly as possible, avoid offline and / or defective equipment, one or more The SD transfer sequence may change in real time to transfer the wafer when the site is evaluated and / or verified.

各S-Dウエハについて、S-D搬送シーケンス及び/又はS-D処理シーケンスもまた、ウエハデータを用いることによって設定されて良い。S-D処理シーケンスは本明細書において詳述される様々な条件に基づいて設定されて良い。S-D搬送シーケンスは本明細書において詳述される様々な条件に基づいて設定されて良い。   For each S-D wafer, an S-D transfer sequence and / or an S-D processing sequence may also be set by using the wafer data. The S-D processing sequence may be set based on various conditions detailed in this specification. The SD transport sequence may be set based on various conditions detailed in this specification.

S-D搬送シーケンスは、各ウエハにとって必要なサイト数、処理を必要とするウエハ数、利用可能なS-D処理装置数、及びS-D搬送サブシステムについての搬入データに基づいて設定されて良い。   The S-D transfer sequence may be set based on the number of sites required for each wafer, the number of wafers that require processing, the number of available S-D processing apparatuses, and the carry-in data for the S-D transfer subsystem.

S-D搬送シーケンスはまた、最小時間内に第1ウエハ上の必要サイトのうちの第1サイトについての信頼性データを取得し、最小時間内に第1ウエハ上の必要サイトのうちの1つ以上のサイトについての信頼性データを取得し、最小時間内に第1ウエハ上の必要サイトのうちの全てのサイトについての信頼性データを取得し、最小時間内に1つ以上の追加ウエハ上の必要サイトのうちの第1サイトについての信頼性データを取得し、最小時間内に1つ以上の追加ウエハ上の必要サイトのうちの1つ以上のサイトについての信頼性データを取得し、最小時間内に1つ以上の追加ウエハ上の必要サイトのうちの全てのサイトについての信頼性データを取得し、最小時間内に第1群に属する全てのウエハ上の第1必要サイトについての信頼性データを取得し、最小時間内に第1群に属する全てのウエハ上の1つ以上の必要サイトについての信頼性データを取得し、及び/又は最小時間内に第1群に属する全てのウエハ上の全ての必要サイトについての信頼性データを取得するように設定されて良い。   The SD transfer sequence also obtains reliability data for the first site of the required sites on the first wafer within the minimum time, and one or more of the required sites on the first wafer within the minimum time. Acquire reliability data for the site, acquire reliability data for all of the required sites on the first wafer within the minimum time, and acquire required data on one or more additional wafers within the minimum time To obtain reliability data for the first site, and obtain reliability data for one or more of the required sites on one or more additional wafers within the minimum time and within the minimum time Obtain reliability data for all of the required sites on one or more additional wafers, and acquire reliability data for the first required sites on all wafers belonging to Group 1 within the minimum time. And the minimum time Obtain reliability data for one or more required sites on all wafers belonging to the first group and / or trust for all required sites on all wafers belonging to the first group within a minimum time May be configured to obtain sex data.

他の実施例では、S-D搬送シーケンスは、最小時間内に第1ウエハ上についてのリスクデータを取得し、最小時間内に1つ以上の追加ウエハ上についてのリスクデータを取得し、及び/又は最小時間内に第1群に属する全てのウエハ上についてのリスクデータを取得するように設定されて良い。それに加えて、搬送シーケンスは、最小時間内に第1ウエハ上についての新たなウエハデータを取得し、最小時間内に1つ以上の追加ウエハ上についての新たなウエハデータを取得し、及び/又は最小時間内に第1群に属する全てのウエハ上についての新たなウエハデータを取得するように設定されて良い。たとえば、S-D及び/又はN-S-Dウエハが用いられて良く、S-D及び/又はN-S-D信頼性データが取得されて良く、かつS-D及び/又はN-S-Dリスクデータが取得されて良い。   In other embodiments, the SD transfer sequence obtains risk data on the first wafer within a minimum time, obtains risk data on one or more additional wafers within a minimum time, and / or minimizes It may be set to acquire risk data on all wafers belonging to the first group within a time period. In addition, the transfer sequence acquires new wafer data on the first wafer within a minimum time, acquires new wafer data on one or more additional wafers within a minimum time, and / or It may be set to acquire new wafer data on all the wafers belonging to the first group within the minimum time. For example, S-D and / or N-S-D wafers may be used, S-D and / or N-S-D reliability data may be acquired, and S-D and / or N-S-D risk data may be acquired.

さらに他の実施例では、S-D搬送シーケンスは、最小時間内に第1処理についてのリスクデータを取得し、最小時間内に1つ以上の追加処理についてのリスクデータを取得し、及び/又は最小時間内に第1ライブラリから第1群に属する全ての処理についてのリスクデータを取得するように設定されて良い。   In yet another embodiment, the SD transport sequence acquires risk data for the first process within a minimum time, acquires risk data for one or more additional processes within the minimum time, and / or the minimum time The risk data for all the processes belonging to the first group may be acquired from the first library.

追加実施例では、S-D搬送シーケンスは、最小時間内に第1ライブラリ関連データを取得し、最小時間内に追加ライブラリ関連データを取得し、及び/又は最小時間内に第1ライブラリの第1サブセットに属する全てのライブラリ関連データを取得するように設定されて良い。たとえばS-D及び/又はN-S-Dライブラリ関連データが取得されて良い。   In additional embodiments, the SD transport sequence acquires the first library related data within a minimum time, acquires the additional library related data within a minimum time, and / or the first subset of the first library within a minimum time. It may be set to acquire all the library related data to which it belongs. For example, S-D and / or N-S-D library related data may be obtained.

それに加えて、S-D搬送シーケンスは、ウエハを、1つ以上の指定された処理装置及び/又は評価装置へ、1つ以上の利用可能な処理装置及び/又は評価装置へ、1つ以上の「高性能(golden)」の処理装置及び/又は評価装置へ、1つ以上の低リスク処理装置及び/又は評価装置へ、1つ以上の高信頼性処理装置及び/又は評価装置へ搬送するように設定されて良い。たとえば、S-D及び/又はN-S-Dウエハが用いられて良く、S-D及び/又はN-S-D処理装置が用いられて良く、かつS-D及び/又はN-S-D評価装置が用いられて良い。   In addition, the SD transfer sequence can transfer the wafer to one or more designated processing equipment and / or evaluation equipment, one or more available processing equipment and / or evaluation equipment, and one or more “high” Set to be transported to one or more low-risk processing devices and / or evaluation devices, to one or more high-reliability processing devices and / or evaluation devices. May be good. For example, S-D and / or N-S-D wafers may be used, S-D and / or N-S-D processing equipment may be used, and S-D and / or N-S-D evaluation equipment may be used.

追加実施例では、1つ以上の処理装置及び/又は評価装置が利用可能でないとき、S-D搬送シーケンスはS-D搬送サブシステムを用いるように設定されて良く、又は、1つ以上の処理装置及び/又は評価装置が利用可能でないとき、S-D搬送シーケンスはS-D搬送サブシステムを用いて所定期間だけウエハを「猶予」及び/又は「保存」するように設定されて良く、又は、1つ以上の処理装置及び/又は評価装置が利用可能でないとき、S-D搬送シーケンスはS-D搬送サブシステムを用いて最小時間内にウエハを他のサブシステムへ搬送するように設定されて良い。   In additional embodiments, when one or more processing devices and / or evaluation devices are not available, the SD transport sequence may be set to use the SD transport subsystem, or one or more processing devices and / or When the evaluation device is not available, the SD transfer sequence may be set to “grace” and / or “save” the wafer for a predetermined period of time using the SD transfer subsystem, or one or more processing devices and When the evaluation device is not available, the SD transfer sequence may be set to transfer wafers to other subsystems within a minimum time using the SD transfer subsystem.

S-D搬送シーケンスはまた、最小時間内に「猶予」及び/又は「保存」されたウエハを1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の新たに利用可能となった処理装置及び/又は評価装置へ搬送し、ある期間後に利用可能となった1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の低リスク処理装置及び/又は評価装置へ搬送し、あるいは1つ以上の高信頼性処理装置及び/又は評価装置へ搬送するように設定されて良い。   The SD transfer sequence also transfers wafers that have been “graced” and / or “stored” within a minimum amount of time to one or more processing and / or evaluation equipment, and one or more newly available processes. Transport to a device and / or evaluation device, transport to one or more processing devices and / or evaluation devices available after a period of time, transport to one or more low risk processing devices and / or evaluation devices, Alternatively, it may be set so as to be conveyed to one or more high-reliability processing devices and / or evaluation devices.

他の追加実施例では、S-D搬送シーケンスは、最小時間内に「猶予」及び/又は「保存」されたウエハを1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の新たに利用可能となった処理装置及び/又は評価装置へ搬送し、ある期間後に利用可能となった1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の低リスク処理装置及び/又は評価装置へ搬送し、あるいは1つ以上の高信頼性処理装置及び/又は評価装置へ搬送するように設定されて良い。   In another additional embodiment, the SD transfer sequence transfers wafers that have been “graced” and / or “stored” within a minimum time to one or more processing and / or evaluation devices, and one or more new Transport to available processing equipment and / or evaluation equipment, transport to one or more processing equipment and / or evaluation equipment available after a period of time, and one or more low risk processing equipment and / or It may be set to be transported to an evaluation device or to one or more high-reliability processing devices and / or evaluation devices.

S-D搬送シーケンスは、前処理及び/又は後処理のため、1つ以上のサブシステムへウエハを搬送するように設定されて良い。たとえば、S-Dウエハデータ-たとえばウエハプロファイルデータ、ウエハ厚さデータ、ウエハ温度データ、若しくは光学データ、又はこれらの結合-が前処理及び/又は後処理中に取得されて良い。エラーが発生するとき、S-D搬送シーケンスは、最小時間内に1つ以上の再加工サブシステムへウエハを搬送するように設定されて良い。   The SD transfer sequence may be set to transfer a wafer to one or more subsystems for pre-processing and / or post-processing. For example, S-D wafer data—eg, wafer profile data, wafer thickness data, wafer temperature data, or optical data, or a combination thereof—may be acquired during pre-processing and / or post-processing. When an error occurs, the SD transfer sequence may be set to transfer the wafer to one or more rework subsystems within a minimum time.

S-D搬送シーケンスは、上に少なくとも1つの検証されたデバイスを備えた状態で処理を続けることを可能にすることで、歩留まりを最大にし、操作者の介入を可能にし、ホストシステムの介入を可能にし、及び/又はスキャナサブシステムによって生じた遅延を最小限にするように設定されて良い。現在の工場システムは、ウエハを搬送するためのS-D搬送サブシステム及び/又はウエハを処理するためのS-D処理サブシステムを有していない。それに加えて、現在の工場システムは、ウエハを処理するため、及び/又は、ウエハの処理後に一のサブシステムから他のサブシステムへS-Dウエハデータをやり取りするためのS-D処理を有していない。ウエハ処理によって生じるS-Dばらつきはウエハ全体にわたって均一ではなく、かつ、S-Dばらつきは、チャンバ間でのばらつき、処理時間、処理用化学物質、長期にわたるチャンバドリフトを有して良い。   The SD transport sequence maximizes yield, allows operator intervention, and allows host system intervention by allowing processing to continue with at least one verified device on top. And / or may be set to minimize delay caused by the scanner subsystem. Current factory systems do not have an SD transfer subsystem for transferring wafers and / or an SD processing subsystem for processing wafers. In addition, current factory systems do not have S-D processing for processing wafers and / or for transferring S-D wafer data from one subsystem to another after processing the wafers. The S-D variation caused by wafer processing is not uniform across the wafer, and the S-D variation may include chamber-to-chamber variation, processing time, processing chemicals, and long-term chamber drift.

部位のサイズが65nmノード未満にまで減少することで、正確な処理及び/又は測定データがより重要となり、かつ取得するのが難しくなる。S-D処理は、これらの極小部位をより正確に処理及び/又は測定するのに用いられて良い。S-Dデータは警告及び/又は制御限界と比較されて良い。動作規則に違反するときには、警報が発生して、処理に問題が生じたことを示唆する。   By reducing the size of the site to less than 65 nm node, accurate processing and / or measurement data becomes more important and difficult to acquire. S-D processing may be used to more accurately process and / or measure these minimal sites. S-D data can be compared to warning and / or control limits. When the operation rule is violated, an alarm is generated to indicate that a problem has occurred in the processing.

図1は本発明の実施例による処理システムの典型的なブロック図を示している。図示された実施例では、処理システム100は、システム制御装置195、第1リソグラフィサブシステム110、スキャナサブシステム115、第2リソグラフィサブシステム120、第3リソグラフィサブシステム125、熱処理サブシステム130、検査サブシステム135、エッチングサブシステム140、堆積サブシステム145、評価サブシステム150、及び再加工サブシステム155を有する。単一のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が図示された実施例には示されているが、多数のサブシステムが用いられても良い。たとえば実施例によっては、多数のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が処理システム100内で用いられて良い。それに加えて、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が、1つ以上のプロセスを処理するのに用いることのできる1つ以上の処理装置を有して良い。   FIG. 1 shows an exemplary block diagram of a processing system according to an embodiment of the present invention. In the illustrated embodiment, the processing system 100 includes a system controller 195, a first lithography subsystem 110, a scanner subsystem 115, a second lithography subsystem 120, a third lithography subsystem 125, a thermal processing subsystem 130, an inspection subsystem. It has a system 135, an etching subsystem 140, a deposition subsystem 145, an evaluation subsystem 150, and a rework subsystem 155. Although a single subsystem (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) is shown in the illustrated embodiment, multiple subsystems may be used. good. For example, in some embodiments, multiple subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) may be used in the processing system 100. In addition, one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) can be used to handle one or more processes. You may have the above processing apparatus.

システム制御装置195は、データ転送サブシステム106を用いることによって、第1リソグラフィサブシステム110、スキャナサブシステム115、第2リソグラフィサブシステム120、第3リソグラフィサブシステム125、熱処理サブシステム130、検査サブシステム135、エッチングサブシステム140、堆積サブシステム145、評価サブシステム150、及び再加工サブシステム155と結合して良い。たとえば第2リソグラフィサブシステム120は(浸漬後)洗浄サブシステム(図示されていない)を有して良い。   The system controller 195 uses the data transfer subsystem 106 to provide a first lithography subsystem 110, a scanner subsystem 115, a second lithography subsystem 120, a third lithography subsystem 125, a thermal processing subsystem 130, an inspection subsystem. 135, etching subsystem 140, deposition subsystem 145, evaluation subsystem 150, and rework subsystem 155 may be combined. For example, the second lithography subsystem 120 may have a cleaning subsystem (not shown) (after immersion).

第1リソグラフィサブシステム110は、第1S-D搬送サブシステム101と111aで結合し、かつ第2S-D搬送サブシステム102と111bで結合して良い。スキャナサブシステム115は、第1S-D搬送サブシステム101と116aで結合し、かつ第2S-D搬送サブシステム102と116bで結合して良い。第2リソグラフィサブシステム120は、第1S-D搬送サブシステム101と121aで結合し、かつ第2S-D搬送サブシステム102と121bで結合して良い。第3リソグラフィサブシステム125は、第1S-D搬送サブシステム101と126aで結合し、かつ第2S-D搬送サブシステム102と126bで結合して良い。熱処理サブシステム130は、第1S-D搬送サブシステム101と131aで結合し、かつ第2S-D搬送サブシステム102と131bで結合して良い。検査サブシステム135は、第1S-D搬送サブシステム101と136aで結合し、かつ第2S-D搬送サブシステム102と136bで結合して良い。エッチングサブシステム140は、第1S-D搬送サブシステム101と141aで結合し、かつ第2S-D搬送サブシステム102と141bで結合して良い。堆積サブシステム145は、第1S-D搬送サブシステム101と146aで結合し、かつ第2S-D搬送サブシステム102と146bで結合して良い。評価サブシステム150は、第1S-D搬送サブシステム101と151aで結合し、かつ第2S-D搬送サブシステム102と151bで結合して良い。再加工サブシステム155は、第1S-D搬送サブシステム101と156aで結合し、かつ第2S-D搬送サブシステム102と156bで結合して良い。あるいはその代わりに他の結合配置が用いられても良い。   The first lithography subsystem 110 may be coupled at the first SD transport subsystem 101 and 111a and may be coupled at the second SD transport subsystem 102 and 111b. The scanner subsystem 115 may be coupled at the first SD transport subsystem 101 and 116a and may be coupled at the second SD transport subsystem 102 and 116b. The second lithography subsystem 120 may be coupled at the first SD transport subsystem 101 and 121a and may be coupled at the second SD transport subsystem 102 and 121b. The third lithography subsystem 125 may be coupled at the first SD transport subsystem 101 and 126a and may be coupled at the second SD transport subsystem 102 and 126b. The heat treatment subsystem 130 may be coupled by the first SD transport subsystems 101 and 131a and may be coupled by the second SD transport subsystems 102 and 131b. The inspection subsystem 135 may be coupled at the first SD transport subsystem 101 and 136a and may be coupled at the second SD transport subsystem 102 and 136b. Etch subsystem 140 may be coupled at first SD transport subsystems 101 and 141a and coupled at second SD transport subsystems 102 and 141b. The deposition subsystem 145 may be coupled at the first SD transport subsystems 101 and 146a and may be coupled at the second SD transport subsystems 102 and 146b. The evaluation subsystem 150 may be coupled by the first SD transport subsystems 101 and 151a and may be coupled by the second SD transport subsystems 102 and 151b. The rework subsystem 155 may be coupled at the first SD transport subsystem 101 and 156a and may be coupled at the second SD transport subsystem 102 and 156b. Alternatively, other coupling arrangements may be used.

それに加えて、第3搬送サブシステム103は、第1S-D搬送サブシステム101と結合し、かつ第2S-D搬送サブシステム102と結合して良い。第3搬送サブシステム103は、他の搬送サブシステム及び/又は処理システム(図示されていない)と結合して良い。たとえば搬送システム(101、102、及び103)は、供給装置105と結合する搬送装置104を用いて、ウエハを受け取り、ウエハを搬送し、ウエハの位置合わせをし、ウエハを保存し、及び/又はウエハの搬送を猶予して良い。あるいはその代わりに他の搬送手段が用いられても良い。   In addition, the third transport subsystem 103 may be coupled to the first SD transport subsystem 101 and may be coupled to the second SD transport subsystem 102. The third transport subsystem 103 may be coupled with other transport subsystems and / or processing systems (not shown). For example, the transfer system (101, 102, and 103) uses a transfer device 104 coupled to a supply device 105 to receive a wafer, transfer the wafer, align the wafer, store the wafer, and / or Wafer transfer may be delayed. Alternatively, other transport means may be used.

製造実行システム(MES)180が、データ転送サブシステム106を用いることによってシステム制御装置195と結合して良い。あるいはその代わりに、工場レベル及び/又はホストシステムが用いられて良く、かつ他の結合手法が用いられても良い。代替実施例では、1つ以上の追加サブシステムが必要とされて良い。たとえばシステム制御装置195は他の処理システム及び/又はサブシステム(図示されていない)と結合して良い。あるいはその代わりに、他の構成が用いられて良く、かつ他の結合手法が用いられても良い。   A manufacturing execution system (MES) 180 may be coupled to the system controller 195 by using the data transfer subsystem 106. Alternatively, factory level and / or host system may be used, and other coupling techniques may be used. In alternative embodiments, one or more additional subsystems may be required. For example, the system controller 195 may be coupled to other processing systems and / or subsystems (not shown). Alternatively, other configurations may be used and other combining techniques may be used.

第1リソグラフィサブシステム110は1つ以上の処理装置112を有して良い。その1つ以上の処理装置112は、内部搬送装置113と結合して良く、かつ/又は第1S-D搬送サブシステム101と111aで結合して良い。スキャナサブシステム115は1つ以上の処理装置117を有して良い。その1つ以上の処理装置117は、内部搬送装置118と結合して良く、かつ/又は第1S-D搬送サブシステム101と116aで結合して良い。第2リソグラフィサブシステム120は1つ以上の処理装置122を有して良い。その1つ以上の処理装置122は、内部搬送装置123と結合して良く、かつ/又は第1S-D搬送サブシステム101と121aで結合して良い。第3リソグラフィサブシステム125は1つ以上の処理装置127を有して良い。その1つ以上の処理装置127は、内部搬送装置128と結合して良く、かつ/又は第1S-D搬送サブシステム101と126aで結合して良い。熱処理サブシステム130は1つ以上の処理装置132を有して良い。その1つ以上の処理装置132は、内部搬送装置133と結合して良く、かつ/又は第1S-D搬送サブシステム101と131aで結合して良い。検査サブシステム135は1つ以上の処理装置137を有して良い。その1つ以上の処理装置137は、内部搬送装置138と結合して良く、かつ/又は第1S-D搬送サブシステム101と136aで結合して良い。エッチングサブシステム140は1つ以上の処理装置142を有して良い。その1つ以上の処理装置142は、内部搬送装置143と結合して良く、かつ/又は第1S-D搬送サブシステム101と141aで結合して良い。堆積サブシステム145は1つ以上の処理装置147を有して良い。その1つ以上の処理装置147は、内部搬送装置148と結合して良く、かつ/又は第1S-D搬送サブシステム101と146aで結合して良い。評価サブシステム150は1つ以上の処理装置152を有して良い。その1つ以上の処理装置152は、内部搬送装置153と結合して良く、かつ/又は第1S-D搬送サブシステム101と151aで結合して良い。再加工サブシステム155は1つ以上の処理装置157を有して良い。その1つ以上の処理装置157は、内部搬送装置158と結合して良く、かつ/又は第1S-D搬送サブシステム101と156aで結合して良い。様々な数の処理装置が1つのサブシステム内で用いられて良い。処理装置は、直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえば処理装置は、ツール、モジュール、チャンバ、センサ、及び/又は他のデバイスを有して良い。   The first lithography subsystem 110 may include one or more processing devices 112. The one or more processing devices 112 may be coupled with the internal transport device 113 and / or coupled with the first SD transport subsystems 101 and 111a. The scanner subsystem 115 may include one or more processing devices 117. The one or more processing devices 117 may be coupled with the internal transport device 118 and / or coupled with the first SD transport subsystems 101 and 116a. The second lithography subsystem 120 may include one or more processing devices 122. The one or more processing devices 122 may be coupled with the internal transport device 123 and / or may be coupled with the first SD transport subsystems 101 and 121a. The third lithography subsystem 125 may include one or more processing devices 127. The one or more processing devices 127 may be coupled with the internal transport device 128 and / or coupled with the first SD transport subsystems 101 and 126a. The thermal processing subsystem 130 may include one or more processing devices 132. The one or more processing devices 132 may be coupled with the internal transport device 133 and / or may be coupled with the first SD transport subsystems 101 and 131a. The inspection subsystem 135 can include one or more processing devices 137. The one or more processing devices 137 may be coupled with the internal transport device 138 and / or may be coupled with the first SD transport subsystems 101 and 136a. Etching subsystem 140 may include one or more processing devices 142. The one or more processing devices 142 may be coupled with the internal transport device 143 and / or coupled with the first SD transport subsystems 101 and 141a. The deposition subsystem 145 can include one or more processing devices 147. The one or more processing devices 147 may be coupled with the internal transport device 148 and / or may be coupled with the first SD transport subsystems 101 and 146a. Evaluation subsystem 150 may include one or more processing devices 152. The one or more processing devices 152 may be coupled with the internal transport device 153 and / or may be coupled with the first SD transport subsystems 101 and 151a. The rework subsystem 155 can include one or more processing devices 157. The one or more processing devices 157 may be coupled with the internal transport device 158 and / or coupled with the first SD transport subsystems 101 and 156a. Various numbers of processing devices can be used within a subsystem. The processing device may be coupled in series and / or in parallel and may have one or more input ports and / or one or more output ports. For example, the processing apparatus may include tools, modules, chambers, sensors, and / or other devices.

一部の実施例では、サブシステムが追加搬送装置を有して良い。第1リソグラフィサブシステム110は、第2S-D搬送サブシステム102と111bで結合可能な1つ以上の内部搬送装置113を有して良い。スキャナサブシステム115は、第2S-D搬送サブシステム102と116b結合可能な1つ以上の内部搬送装置118を有して良い。第2リソグラフィサブシステム120は、第2S-D搬送サブシステム102と121bで結合可能な1つ以上の内部搬送装置123を有して良い。第3リソグラフィサブシステム125は、第2S-D搬送サブシステム102と126bで結合可能な1つ以上の内部搬送装置128を有して良い。熱処理サブシステム130は、第2S-D搬送サブシステム102と131bで結合可能な1つ以上の内部搬送装置133を有して良い。検査サブシステム135は、第2S-D搬送サブシステム102と136bで結合可能な1つ以上の内部搬送装置138を有して良い。エッチングサブシステム140は、第2S-D搬送サブシステム102と141bで結合可能な1つ以上の内部搬送装置143を有して良い。堆積サブシステム145は、第2S-D搬送サブシステム102と146bで結合可能な1つ以上の内部搬送装置148を有して良い。評価サブシステム150は、第2S-D搬送サブシステム102と151bで結合可能な1つ以上の内部搬送装置153を有して良い。再加工サブシステム155は、第2S-D搬送サブシステム102と156bで結合可能な1つ以上の内部搬送装置158を有して良い。他の実施例では、任意の数の搬送装置及び/又は搬送サブシステムが1つのシステム内で用いられて良い。搬送装置及び/又は搬送サブシステムは直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。   In some embodiments, the subsystem may have additional transport devices. The first lithography subsystem 110 may include one or more internal transport devices 113 that can be coupled by the second SD transport subsystems 102 and 111b. The scanner subsystem 115 may include one or more internal transport devices 118 that can be coupled to the second SD transport subsystem 102 and 116b. The second lithography subsystem 120 may include one or more internal transport devices 123 that can be coupled by the second SD transport subsystems 102 and 121b. The third lithography subsystem 125 may include one or more internal transport devices 128 that can be coupled by the second SD transport subsystems 102 and 126b. The heat treatment subsystem 130 may include one or more internal transfer devices 133 that can be coupled by the second SD transfer subsystems 102 and 131b. The inspection subsystem 135 may include one or more internal transport devices 138 that can be coupled by the second SD transport subsystems 102 and 136b. Etching subsystem 140 may include one or more internal transport devices 143 that can be coupled by second SD transport subsystems 102 and 141b. The deposition subsystem 145 may include one or more internal transport devices 148 that can be coupled by the second SD transport subsystems 102 and 146b. The evaluation subsystem 150 may include one or more internal transport devices 153 that can be coupled by the second SD transport subsystems 102 and 151b. The rework subsystem 155 may include one or more internal transport devices 158 that can be coupled by the second SD transport subsystems 102 and 156b. In other embodiments, any number of transport devices and / or transport subsystems may be used in a system. The transport device and / or transport subsystem may be coupled in series and / or in parallel and may have one or more input ports and / or one or more output ports.

第1リソグラフィサブシステム110は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置114を有して良い。スキャナサブシステム115は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置119を有して良い。第2リソグラフィサブシステム120は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置124を有して良い。第3リソグラフィサブシステム125は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置129を有して良い。熱処理サブシステム130は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置134を有して良い。検査サブシステム135は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置139を有して良い。エッチングサブシステム140は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置144を有して良い。堆積サブシステム145は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置149を有して良い。評価サブシステム150は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置154を有して良い。再加工サブシステム155は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置159を有して良い。あるいはその代わりに他の結合配置が用いられても良い。他の実施例では、任意の数の制御装置が1つのシステム内で用いられて良い。制御装置は、直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえば制御装置は、8ビット、16ビット、32ビット、及び/又は64ビットのプロセッサを有して良い。   The first lithography subsystem 110 may include one or more controllers 114 that can be combined with the system controller 195 and / or other controllers by using the data transfer subsystem 106. The scanner subsystem 115 may include one or more controllers 119 that can be coupled with the system controller 195 and / or other controllers by using the data transfer subsystem 106. The second lithography subsystem 120 may include one or more controllers 124 that can be combined with the system controller 195 and / or other controllers by using the data transfer subsystem 106. The third lithography subsystem 125 may include one or more controllers 129 that can be coupled to the system controller 195 and / or other controllers by using the data transfer subsystem 106. The thermal processing subsystem 130 may include one or more controllers 134 that can be coupled to the system controller 195 and / or other controllers by using the data transfer subsystem 106. The inspection subsystem 135 may include one or more controllers 139 that can be coupled with the system controller 195 and / or other controllers by using the data transfer subsystem 106. Etch subsystem 140 may include one or more controllers 144 that may be coupled to system controller 195 and / or other controllers by using data transfer subsystem 106. The deposition subsystem 145 may include one or more controllers 149 that can be coupled to the system controller 195 and / or other controllers by using the data transfer subsystem 106. The evaluation subsystem 150 may include one or more controllers 154 that can be coupled to the system controller 195 and / or other controllers by using the data transfer subsystem 106. The rework subsystem 155 may include one or more controllers 159 that can be coupled to the system controller 195 and / or other controllers by using the data transfer subsystem 106. Alternatively, other coupling arrangements may be used. In other embodiments, any number of controllers may be used in a system. The controller may be coupled in series and / or in parallel and may have one or more input ports and / or one or more output ports. For example, the controller may have an 8-bit, 16-bit, 32-bit, and / or 64-bit processor.

それに加えて、イントラネット、インターネット、並びに有線及び/又は無線接続を用いることによって、サブシステム(110、115、120、125、130、135、140、145、150、及び155)が、互いに結合し、かつ他の装置と結合して良い。制御装置(114、119、124、129、134、139、144、149、154、159、及び195)が必要に応じて互いに結合して良い。   In addition, subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) can be coupled together by using intranet, internet, and wired and / or wireless connections, It may be combined with other devices. Control devices (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) may be coupled together as required.

リアルタイムS-D処理を実行するときには、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)が用いられて良い。制御装置はリアルタイムデータを受け取って、サブシステム、処理装置、プロセス、レシピ、プロファイル、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、リアルタイムデータを用いることによってリアルタイムS-D処理を実行し、かつ本明細書に記載されているようにリアルタイムS-Dデータを供して良い。一部の実施例では、1つ以上の制御装置が、MES180との1つ以上のSECSメッセージのやり取り、S-D情報の読み取り及び/若しくは除去、S-D情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSとしてのS-D情報の送信に用いられて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、リアルタイムデータを用いることによってS-D処理を実行し、かつリアルタイムS-Dデータを供して良い。たとえば制御装置は、リアルタイムデータを含むメッセージの受信、処理、及び/又は送信に用いられて良い。   When performing real-time S-D processing, one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) may be used. The controller may receive real-time data and update subsystems, processing devices, processes, recipes, profiles, and / or model data. One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) perform real-time SD processing by using real-time data, and are described herein. Real-time SD data may be provided as described. In some embodiments, one or more controllers may exchange one or more SECS messages with MES 180, read and / or remove SD information, feed forward and / or feedback SD information, and / or SECS. It may be used for transmission of SD information. One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) perform SD processing by using real-time data and provide real-time SD data Good. For example, the controller can be used to receive, process, and / or transmit messages that include real-time data.

それに加えて、制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置(114、119、124、129、134、139、144、149、154、及び159)によって実行される命令の保存に用いられて良く、かつ処理システム100内の様々なコンピュータ/プロセッサによる命令の実行中での一時的な変数又は他の中間情報の記憶に用いられて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)又は他のシステム構成要素は、コンピュータによる読み取り可能媒体からデータ及び/又は命令を読み取る手段を有して良く、かつデータ及び/又は命令をコンピュータによる読み取り可能媒体へ書き込む手段を有して良い。   In addition, the control devices (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) may have a memory (not shown) as required. For example, memory (not shown) may be used to store instructions executed by information and control devices (114, 119, 124, 129, 134, 139, 144, 149, 154, and 159), and It may be used to store temporary variables or other intermediate information during execution of instructions by various computers / processors in processing system 100. One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, and 159) or other system components are means for reading data and / or instructions from a computer readable medium And means for writing data and / or instructions to a computer readable medium.

処理システム100は、メモリ内に含まれ、かつ/又はメッセージ内で受信される1つ以上の命令からなる1つ以上のシーケンスを実行する処理システム内のコンピュータ/プロセッサに応答して、本発明の処理工程の一部又は全部を実行して良い。係る命令は他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受信されて良い。   The processing system 100 is responsive to a computer / processor in the processing system executing one or more sequences of one or more instructions contained in memory and / or received in a message. Some or all of the processing steps may be performed. Such instructions may be received from another computer, a computer readable medium, or a network connection.

コンピュータによる読み取り可能媒体のうちの任意の1つ又は任意の組合せに記憶されることで、本発明は、処理システムの制御、本発明を実施するデバイス又は装置の駆動、及び人間であるユーザーとの処理システム100との相互作用を実現するソフトウエアを有する。係るソフトウエアは、デバイスドライバ、オペレーティングシステム、開発ツール、及びアプリケーションソフトウエアを有して良いが、これらに限定されるわけではない。係るコンピュータによる読み取り可能媒体は、本発明を実施する際に実行される処理の一部又は全部(処理が分配されている場合)を実行する本発明に係るコンピュータプログラム製品をさらに有する。   Stored in any one or any combination of computer readable media, the present invention provides control of a processing system, driving a device or apparatus that implements the present invention, and a human user. Software for realizing interaction with the processing system 100 is included. Such software may include, but is not limited to, device drivers, operating systems, development tools, and application software. The computer-readable medium further includes a computer program product according to the present invention that executes part or all of the processing executed when the present invention is implemented (when processing is distributed).

本明細書で用いられているように「コンピュータによる読み取り可能媒体」とは、実行するためにプロセッサへ命令を供することに関与する任意の媒体を指称する。コンピュータによる読み取り可能媒体は任意の形態をとって良い。その任意の形態には、不揮発性媒体、揮発性媒体、及び伝送媒体が含まれるが、これらに限定されるわけではない。   As used herein, “computer readable medium” refers to any medium that participates in providing instructions to a processor for execution. The computer readable medium may take any form. Any form thereof includes, but is not limited to, non-volatile media, volatile media, and transmission media.

サブシステム(110、115、120、125、130、135、140、145、150、及び155)は処理装置(図示されていない)を有して良い。実施例によっては、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム構成要素を用いるように備えられていて良い。別な実施例では、外部のサブシステム及び/又は装置が含まれても良い。処理装置は1つ以上のエッチング装置、堆積装置、ALD装置、測定装置、イオン化装置、研磨装置、コーティング装置、現像装置、露光装置、及び熱処理装置を有して良い。それに加えて、たとえばCD走査電子顕微鏡(CDSEM)装置、透過型電子顕微鏡(TEM)装置、集束イオンビーム(FIB)装置、ODP装置、原子間力顕微鏡(AFM)装置、又は他の光計測装置を含む測定装置が供されても良い。サブシステム及び/又は処理装置は、それぞれ異なるインターフェース要件を有して良い。制御装置は、これらのそれぞれ異なるインターフェース要件を満たすように備えられていて良い。   The subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) may have processing equipment (not shown). In some embodiments, an integrated system may be provided that uses system components from Tokyo Electron Limited (TEL). In other embodiments, external subsystems and / or devices may be included. The processing apparatus may include one or more etching apparatus, deposition apparatus, ALD apparatus, measurement apparatus, ionization apparatus, polishing apparatus, coating apparatus, developing apparatus, exposure apparatus, and heat treatment apparatus. In addition, for example, a CD scanning electron microscope (CDSEM) device, a transmission electron microscope (TEM) device, a focused ion beam (FIB) device, an ODP device, an atomic force microscope (AFM) device, or other optical measurement device. Including a measuring device may be provided. Each subsystem and / or processing device may have different interface requirements. Controllers may be provided to meet these different interface requirements.

1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)は制御コンポーネント、GUIコンポーネント、及び/又はデータベースコンポーネント(図示されていない)を有して良い。たとえばGUIコンポーネント(図示されていない)は、操作の容易なインターフェースを供する。その操作の容易なインターフェースによって、ユーザーは、状態の監視、サイト依存(S-D)及び/若しくは非S-D処理、戦略、計画、エラー、故障、データベース、規則、レシピ、モデル化アプリケーション、シミュレーション、並びに/又はスプレッドシートアプリケーション、eメールメッセージ、並びに診断用スクリーンの生成/監視/編集を可能にする。当業者にとっては明らかであるように、GUIコンポーネントは全機能にインターフェースを供することを要せず、かつこれらの機能のサブセット又は本明細書で列挙されていない他の機能についてインターフェースを供して良い。   One or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) have control components, GUI components, and / or database components (not shown). good. For example, a GUI component (not shown) provides an easy-to-operate interface. Its easy-to-operate interface allows users to monitor conditions, site-dependent (SD) and / or non-SD processing, strategies, plans, errors, faults, databases, rules, recipes, modeling applications, simulations, and / or Allows creation / monitoring / editing of spreadsheet applications, email messages, and diagnostic screens. As will be apparent to those skilled in the art, the GUI component does not need to provide an interface for all functions, and may provide an interface for a subset of these functions or other functions not listed herein.

1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)は、及び/又はシステム制御装置195は、MES180と情報をやり取りするデータ転送システム190及び他のサブシステムと結合して良い。データ転送システム190は有線及び無線のコンポーネントを有して良い。   One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, and 159) and / or the system controller 195 may include a data transfer system 190 that exchanges information with the MES 180 and May be combined with other subsystems. Data transfer system 190 may include wired and wireless components.

サブシステム(110、115、120、125、130、135、140、145、150、及び155)及び/又は制御装置(114、119、124、129、134、139、144、149、154、及び159)は、高性能プロセス制御(APC) アプリケーション、装置異常検出及び分類(FDC)アプリケーション、並びに/又はラン・トゥー・ラン(R2R)アプリケーションを有して良い。一部の実施例では、S-DであるAPCアプリケーション、S-DであるFDCアプリケーション、及び/又はS-DであるR2Rアプリケーションが実行されて良い。   Subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) and / or controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, and 159) ) May include an advanced process control (APC) application, an equipment anomaly detection and classification (FDC) application, and / or a run-to-run (R2R) application. In some embodiments, an APC application that is S-D, an FDC application that is S-D, and / or an R2R application that is S-D may be executed.

一部の実施例では、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、S-Dプロセス最適化処理、S-Dモデル最適化処理、若しくはS-Dライブラリ最適化処理、又はこれらを結合した最適化処理を実行して良い。S-D最適化処理は、ウエハデータ、モデル、レシピ、及びプロファイルデータを用いて処理の更新及び/又は最適化を行って良い。たとえばS-D最適化処理は、リアルタイムで動作して良い。リアルタイムS-D最適化を用いることによって、より正確なプロセス結果を実現することができる。65nmノード未満で同様な幾何学的手法では、より正確な結果が求められる。   In some embodiments, one or more of the controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) is an SD process optimization process, an SD model optimization process Alternatively, an SD library optimization process or an optimization process combining these may be executed. In the S-D optimization process, the process may be updated and / or optimized using wafer data, a model, a recipe, and profile data. For example, the S-D optimization process may operate in real time. By using real-time S-D optimization, more accurate process results can be achieved. Similar geometric techniques below the 65nm node require more accurate results.

プロセスレシピ、プロファイル、モデル、及び/若しくはプロセス結果に影響を及ぼすと考えられる材料並びに/又はプロセス結果は、1つのウエハ内のサイト間で、ウエハ間で、及びロット間で変化する恐れがある。これらのばらつきは、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)での変化及び/又は問題によって引き起こされると考えられる。不均一な膜及び/又は不均一なプロセスは問題を生じさせる恐れがある。それに加えて、装置間でのばらつき、チャンバ間でのばらつき、及びチャンバのドリフトは時間の経過と共に問題となる。エンドポイント及び犠牲層を用いた底部CDの制御の性質に起因して、エッチングプロセス中では、厚さ及び/又は均一性は、1つのウエハ内でのサイト間で、ウエハ間で、及びロット間で変化すると考えられる。それに加えて、厚さのばらつきは光学特性及び他の物理的特性の変化を引き起こす恐れがある。S-D処理は、「オーバーエッチング」によって生じる問題を解決又は緩和するのに用いられて良い。   Materials and / or process results that may affect process recipes, profiles, models, and / or process results may vary from site to site within a wafer, wafer to wafer, and lot to lot. These variations are believed to be caused by changes and / or problems in one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155). Non-uniform films and / or non-uniform processes can cause problems. In addition, device-to-device variation, chamber-to-chamber variation, and chamber drift become a problem over time. Due to the nature of the control of the bottom CD using endpoints and sacrificial layers, during the etching process, thickness and / or uniformity can vary from site to site within a single wafer, from wafer to wafer, and from lot to lot. It is thought that will change. In addition, thickness variations can cause changes in optical properties and other physical properties. The S-D process may be used to solve or mitigate the problems caused by “overetch”.

S-D処理からの出力データ及び/又はメッセージは、プロセスの正確さ及び精度を最適化するために後続の処理において用いられて良い。データは、リアルタイム変数としてリアルタイムでS-D計算処理へ受け渡されて良い。それにより現在のモデルのデフォルト値が上書きされ、かつ正確な結果を実現するための調査空間が絞り込まれる。情報は、ライブラリに基づくシステム、若しくはリアルタイム再帰工程、又はこれらの結合において用いられることで、処理が最適化されて良い。   The output data and / or messages from the S-D process may be used in subsequent processes to optimize process accuracy and precision. Data may be passed to the S-D calculation process in real time as a real time variable. This overrides the default values of the current model and narrows the search space to achieve accurate results. Information may be used in a library-based system, or a real-time recursive process, or a combination thereof, to optimize processing.

たとえば150のような評価サブシステムは、一体化されたオプティカル・ディジタル・プロフィロメトリ(iODP)装置(図示されていない)を有して良い。あるいはその代わりに他の計測システムが用いられても良い。iODP装置はティンバーテクノロジー(Timbre Technologies)社(東京エレクトロン株式会社)から販売されている。たとえばODP技術は、限界寸法(CD)データ、構造プロファイルデータ、又はビアプロファイルデータを取得するのに用いられて良い。iODPデータについての波長の範囲は、約200nm未満から約900nmよりも大きな値であって良い。典型的なiODP装置は、ODPプロファイラライブラリ、プロファイラアプリケーションサーバ(PAS)、及びODPプロファイラソフトウエアを有して良い。ODPプロファイラライブラリは、光学スペクトルについての用途特定データベース、及びそれに対応する半導体プロファイル、CD、及び膜厚を有して良い。PASは光ハードウエア及びコンピュータネットワークと接続する少なくとも1つのコンピュータを有して良い。PASは、データ通信、ODPライブラリ操作、測定処理、結果の発生、結果の解析、及び結果の出力を供するように備えられていて良い。ODPプロファイラソフトウエアは、PASにインストールされたソフトウエアを有して良い。そのソフトウエアは、計測レシピ、ODPプロファイラライブラリ、ODPプロファイラデータ、ODPプロファイラ検索/一致結果、ODPプロファイラ計算/解析結果、データ通信、及び様々な計測要素やコンピュータネットワークに対するインターフェースを管理する。   For example, an evaluation subsystem such as 150 may have an integrated optical digital profilometry (iODP) device (not shown). Alternatively, other measurement systems may be used. iODP equipment is available from Timbre Technologies (Tokyo Electron Limited). For example, ODP technology may be used to obtain critical dimension (CD) data, structural profile data, or via profile data. The wavelength range for iODP data can be less than about 200 nm to greater than about 900 nm. A typical iODP device may include an ODP profiler library, a profiler application server (PAS), and ODP profiler software. The ODP profiler library may include an application specific database for optical spectra, and corresponding semiconductor profiles, CDs, and film thicknesses. The PAS may have at least one computer connected to optical hardware and a computer network. The PAS may be provided to provide data communication, ODP library manipulation, measurement processing, result generation, result analysis, and result output. The ODP profiler software may include software installed on the PAS. The software manages measurement recipes, ODP profiler library, ODP profiler data, ODP profiler search / match results, ODP profiler calculation / analysis results, data communication, and interfaces to various measurement elements and computer networks.

たとえば150のような評価サブシステムは、偏光リフレクトメトリ、分光エリプソメトリ、リフレクトメトリ、又はデバイスのプロファイル、正確な限界寸法(CD)、及びウエハ上の複数の層の膜厚を測定する他の光学測定手法を用いて良い。一体化された計測プロセス(iODP)はインラインで実行されて良い。それにより、一体化されたプロセスでは、解析を行うためにウエハを壊すこと、又は外部システムからのデータを長期間待つ必要がなくなる。ODP技術は、インラインプロファイル及びCDを測定する既存の薄膜計測システムと併用されて良く、かつTELの処理システムと一体化されることで、リアルタイムでのプロセス監視及び制御を行うことができる。典型的な光計測システムは特許文献6に記載されている。   Evaluation subsystems such as 150 can be used for polarization reflectometry, spectroscopic ellipsometry, reflectometry, or other optical profiles that measure device profile, accurate critical dimension (CD), and thickness of multiple layers on a wafer. Measurement techniques may be used. An integrated metrology process (iODP) may be performed inline. As a result, the integrated process eliminates the need to break the wafer or perform long periods of data from external systems for analysis. ODP technology can be used in conjunction with existing thin film metrology systems that measure inline profiles and CDs and can be integrated with TEL processing systems for real-time process monitoring and control. A typical optical measurement system is described in Patent Document 6.

シミュレーションによる回折信号のS-Dライブラリを生成する他の処理は、機械学習システム(MLS)の使用を有して良い。シミュレーションによる回折信号のライブラリを生成する前に、MLSは既知の入力及び出力データを用いることによって訓練される。一の典型的実施例では、シミュレーションによる回折信号は、たとえば逆誤差伝播法、動径基底関数法、サポートベクタ、カーネル回帰分析等の機械学習アルゴリズムを用いる機械学習システム(MLS)を用いて生成されて良い。機械学習システム及びアルゴリズムのより詳細な説明については、非特許文献1及び特許文献7を参照のこと。   Another process for generating an S-D library of simulated diffraction signals may include the use of a machine learning system (MLS). Prior to generating a library of simulated diffraction signals, the MLS is trained by using known input and output data. In one exemplary embodiment, simulated diffraction signals are generated using a machine learning system (MLS) that uses machine learning algorithms such as inverse error propagation, radial basis function, support vectors, kernel regression analysis, etc. Good. See Non-Patent Document 1 and Patent Document 7 for a more detailed description of machine learning systems and algorithms.

計測モデルの最適化の詳細な説明については、特許文献8、特許文献9、及び特許文献10を参照のこと。   See Patent Literature 8, Patent Literature 9, and Patent Literature 10 for a detailed explanation of the optimization of the measurement model.

回帰分析に基づく処理が用いられるとき、パターニングされた構造を外して測定された測定回折信号はシミュレーションによる回折信号と比較されて良い。シミュレーションによる回折信号は、プロファイルパラメータの組に基づいて繰り返し生成されることで、測定回折信号と比較して最も一致するシミュレーションによる回折信号を生成するプロファイルパラメータの組についての収束値を得ることができる。回帰分析に基づく手法のより詳細な説明については特許文献11を参照のこと。   When a process based on regression analysis is used, the measured diffraction signal measured off the patterned structure may be compared with the simulated diffraction signal. The simulated diffraction signal is repeatedly generated based on the set of profile parameters, so that a convergence value can be obtained for the set of profile parameters that generate the simulated diffraction signal that most closely matches the measured diffraction signal. . See Patent Document 11 for a more detailed explanation of the approach based on regression analysis.

ライブラリに基づくプロセスが用いられるとき、光計測データライブラリは、S-D及び/若しくは最適化されたレシピ並びに/又はモデルを用いることによって、生成及び/又は改善されて良い。光計測データデータライブラリは、シミュレーションによる回折信号の対及び対応するプロファイルパラメータの組を有して良い。光計測データ-たとえばシミュレーションによる回折信号のライブラリ及び対応するプロファイルパラメータの組-の生成についての詳細な説明は特許文献6に記載されている。回帰分析及び/又はライブラリに基づいたプロセスはS-D及び/又は非S-D工程を有して良い。   When a library-based process is used, an optical metrology data library may be generated and / or improved by using SD and / or optimized recipes and / or models. The optical metrology data data library may include simulated diffraction signal pairs and corresponding sets of profile parameters. A detailed description of the generation of optical measurement data—for example, a library of diffraction signals by simulation and a corresponding set of profile parameters—is described in US Pat. A process based on regression analysis and / or library may have S-D and / or non-S-D steps.

1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、APC、R2R、FDC、及び/又はS-D処理を実行して良い。APC、R2R、FDC、及び/又はS-D処理は、リアルタイムS-D処理を供する、制御戦略、制御計画、制御モデル、及び/又はレシピマネージャとして動作することができる。S-D制御及び/又は解析の戦略/計画は、ウエハ処理シーケンス内での複数の処理工程をカバーし、かつリアルタイム及び/又は収集されたデータの解析、並びにエラー条件の設定に用いられて良い。S-D解析処理は、条件が一致するときに実行されて良い。S-D解析処理の実行中、1つ以上の解析計画が実行されて良い。データ不具合、実行上の問題、又は制御問題が生じたときに、S-D計画はエラーを生成して良い。S-Dデータ収集計画及び/又は解析は、1つのウエハについての1つ以上の評価用サイトでのデータを拒否するか、又はS-D処理の失敗によるデータを拒否して良い。たとえばダイナミックS-D条件の一致は、各サイトで特別な構成にすることを可能にする。   One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) may perform APC, R2R, FDC, and / or S-D processing. APC, R2R, FDC, and / or S-D processing can operate as a control strategy, control plan, control model, and / or recipe manager that provides real-time S-D processing. S-D control and / or analysis strategies / plans cover multiple processing steps within a wafer processing sequence and can be used to analyze real-time and / or collected data and set error conditions. The S-D analysis process may be executed when the conditions match. During the execution of the S-D analysis process, one or more analysis plans may be executed. An SD plan may generate an error when a data failure, execution problem, or control problem occurs. The S-D data collection plan and / or analysis may reject data at one or more evaluation sites for a wafer or reject data due to failure of the S-D process. For example, the matching of dynamic S-D conditions allows a special configuration at each site.

一の実施例では、S-D処理の失敗はS-D処理を中断しなくても良い。たとえばS-D処理は、限界を超えたときの失敗を含んで良い。たとえばうまくいったS-D処理は、限界に接近しているときに警告メッセージを生成することができる。S-D処理エラーについての予め特定された失敗行為はデータベース内に保存され、かつエラーが起こったときにはそのデータベースから取得されて良い。   In one embodiment, the failure of the S-D process may not interrupt the S-D process. For example, S-D processing may include failure when a limit is exceeded. For example, a successful SD process can generate a warning message when it is approaching its limit. Pre-specified failure actions for S-D processing errors are stored in a database and may be obtained from the database when an error occurs.

一部の実施例では、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が、データ転送システム190を介して受け取られたS-Dデータを用いてS-D処理を実行して良い。   In some embodiments, one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) receive SD data received via the data transfer system 190. Can be used to perform SD processing.

25枚のウエハからなるロット(以降、25ウエハロットと呼ぶ。)が処理システム内で処理されているとき、その処理のスループットは、25の並列処理経路を供することによって改善可能である。しかしこれは現実的ではない。しかしS-D処理システム100は、1つ以上の25ウエハロットを効率的かつ費用対効果よく処理するのに用いられて良い。それに加えて、S-D処理システム100は、25ウエハロットとはウエハ数の異なるロットを効率的かつ費用対効果よく処理するのにも用いられて良い。   When a lot of 25 wafers (hereinafter referred to as a 25 wafer lot) is being processed in the processing system, the throughput of the processing can be improved by providing 25 parallel processing paths. But this is not realistic. However, the SD processing system 100 can be used to efficiently and cost effectively process one or more 25 wafer lots. In addition, the S-D processing system 100 may be used to efficiently and cost-effectively process lots having a different number of wafers than the 25 wafer lot.

搬送サブシステム(101、102、及び103)及び搬送装置(113、118、123、128、133、138、143、148、153、及び158)は、S-D搬送シーケンス及び/又は処理を用いて、1つ以上のウエハロット内の1つ以上のウエハを効率的かつ費用対効果よく搬送、位置合わせ、搬送の猶予、及び/又は保存を行って良い。一部のS-D処理は、ウエハ依存する処理、ロット依存する処理、及び/又は製品依存する処理であって良い。   The transport subsystem (101, 102, and 103) and transport device (113, 118, 123, 128, 133, 138, 143, 148, 153, and 158) can use the SD transport sequence and / or processing to One or more wafers in one or more wafer lots may be efficiently and cost-effectively transferred, aligned, delayed, and / or stored. Some S-D processes may be wafer dependent processes, lot dependent processes, and / or product dependent processes.

第1リソグラフィサブシステム110は1つ以上の処理装置112を有して良い。その処理装置112は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。搬送装置113、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、第1リソグラフィサブシステム110は1つ以上の処理装置112を有して良い。その処理装置112は、S-D処理及び/又は非S-D処理を用いることによって、コーティング処理、熱処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置112は、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を有する1層以上のマスク層の堆積に用いられて良く、かつ1つ以上の処理装置112は、1層以上のマスク層を熱処理(ベーキング)するのに用いられて良い。それに加えて、1つ以上の処理装置112は、1層以上のマスク層の測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置113は、S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置113、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The first lithography subsystem 110 may include one or more processing devices 112. The processing device 112 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The transport device 113, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 can transport, measure, and inspect one or more wafers by using SD processing and / or non-SD processing. , Alignment and / or storage. In some embodiments, the first lithography subsystem 110 may include one or more processing devices 112. The processing apparatus 112 may perform coating processing, heat treatment, inspection processing, alignment processing, and / or storage processing on one or more wafers by using S-D processing and / or non-S-D processing. For example, one or more processing units 112 may be used to deposit one or more mask layers having a photoresist material and / or an anti-reflective coating (ARC) material, and the one or more processing units 112 may be It may be used for heat-treating (baking) one or more mask layers. In addition, one or more processing devices 112 may be used to measure and / or inspect one or more mask layers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more wafers. One or more control devices 113 may perform S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transfer device 113, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport a defective wafer to the rework subsystem.

他の実施例では、第1リソグラフィサブシステム110は、汚染を生じさせる恐れのあるプロセスを実行する1つ以上の処理装置112を有して良い。1つ以上の処理装置112は他のサブシステムから隔離されて良い。これにより、欠陥を低減して、汚染の恐れを最小限に抑制することができる。1つ以上の処理装置112は、ウエハ経路及び/又は重要な処理領域内で設定可能な周辺の欠陥レベルを監視する浮遊微粒子粒子カウンタを有して良い。警告条件についての検出レベルが設定されて良い。たとえば、これらのプロセスは「汚い」ベーキングプロセスを有して良く、かつこれにより、これらの「汚い」プロセスは他のシステムから隔離することが可能となる。それに加えて、1つ以上の再加工処理が、他のサブシステムから隔離された処理装置によって実行されて良い。   In other embodiments, the first lithography subsystem 110 may include one or more processing units 112 that perform processes that can cause contamination. One or more processing devices 112 may be isolated from other subsystems. This can reduce defects and minimize the risk of contamination. One or more processing devices 112 may include suspended particulate particle counters that monitor peripheral defect levels that can be set within the wafer path and / or critical processing areas. A detection level for the warning condition may be set. For example, these processes may have “dirty” baking processes, and this allows these “dirty” processes to be isolated from other systems. In addition, one or more rework processes may be performed by a processing device that is isolated from other subsystems.

スキャナサブシステム115は1つ以上の処理装置117を有して良い。その処理装置117は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置118、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、スキャナサブシステム115は1つ以上の処理装置117を有して良い。その処理装置117は、S-D処理及び/又は非S-D処理を用いることによって、露光処理、熱処理、乾燥処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。それに加えて、スキャナサブシステム115は湿式露光処理及び/又は乾式露光処理の実行に用いられて良い。湿式露光処理及び/又は乾式露光処理はS-Dであって良い。他の処理シーケンスでは、スキャナサブシステム115は極紫外(EUV)露光処理の実行に用いられて良い。極紫外(EUV)露光処理はS-Dであって良い。たとえば、1つ以上の処理装置117は、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を含む1層以上のマスク層の露光に用いられて良く、かつ1つ以上の処理装置117は、1層以上のマスク層のパターニングに用いられて良い。それに加えて、1つ以上の処理装置117は、1層以上の被パターニング層の測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置113は、S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置118、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The scanner subsystem 115 may include one or more processing devices 117. The processing apparatus 117 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 118, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 can transfer, measure, or transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, scanner subsystem 115 may include one or more processing devices 117. The processing apparatus 117 performs exposure processing, heat treatment, drying processing, measurement processing, inspection processing, alignment processing, and / or storage processing on one or more wafers by using SD processing and / or non-SD processing. You can do it. In addition, the scanner subsystem 115 may be used to perform wet exposure processing and / or dry exposure processing. The wet exposure process and / or the dry exposure process may be S-D. In other processing sequences, the scanner subsystem 115 may be used to perform an extreme ultraviolet (EUV) exposure process. The extreme ultraviolet (EUV) exposure process may be S-D. For example, one or more processing units 117 may be used to expose one or more mask layers that include a photoresist material and / or an anti-reflective coating (ARC) material, and the one or more processing units 117 are It may be used for patterning one or more mask layers. In addition, one or more processing devices 117 may be used to measure and / or inspect one or more patterned layers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more wafers. One or more control devices 113 may perform S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transport device 118, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport the defective wafer to the rework subsystem.

第2リソグラフィサブシステム120は1つ以上の処理装置112を有して良い。その処理装置112は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置123、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、第2リソグラフィサブシステム120は1つ以上の処理装置122を有して良い。その処理装置122は、S-D処理及び/又は非S-D処理を用いることによって、洗浄処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置122は、浸漬後の洗浄処理の実行に用いられて良く、かつ1つ以上の処理装置122は、1層以上のマスク層を熱処理(乾燥処理)するのに用いられて良い。それに加えて、1つ以上の処理装置122は、1つ以上の洗浄及び/又は乾燥させたウエハの測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置124は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に洗浄されたか否か、又は再加工処理が必要か否かを判断して良い。たとえばウエハスポット及び/又は他の異常が検出されても良い。内部搬送装置123、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The second lithography subsystem 120 may include one or more processing devices 112. The processing device 112 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 123, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 can transfer, measure, and transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the second lithography subsystem 120 may include one or more processing devices 122. The processing apparatus 122 performs cleaning processing, heat treatment, measurement processing, inspection processing, alignment processing, and / or storage processing on one or more wafers by using SD processing and / or non-SD processing. good. For example, one or more processing units 122 may be used to perform a cleaning process after immersion, and one or more processing units 122 are used to heat treat (dry) one or more mask layers. It ’s good. In addition, one or more processing devices 122 may be used to measure and / or inspect one or more cleaned and / or dried wafers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more wafers. One or more controllers 124 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been accurately cleaned or whether rework processing is necessary. For example, wafer spots and / or other anomalies may be detected. The internal transfer device 123, the first SD transfer subsystem 101, and / or the second SD transfer subsystem 102 may transfer a defective wafer to the rework subsystem.

第3リソグラフィサブシステム125は1つ以上の処理装置127を有して良い。その処理装置127は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置128、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、第3リソグラフィサブシステム125は1つ以上の処理装置127を有して良い。その処理装置127は、S-D処理及び/又は非S-D処理を用いることによって、現像処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置127は、1層以上のパターニングされたマスク層-フォトレジスト材料及び/又は反射防止コーティング材料を含んで良い-を現像するのに用いられて良く、かつ1つ以上の処理装置127は、1層以上のパターニングされたマスク層の熱処理(ベーキング)に用いられて良い。それに加えて、1つ以上の処理装置127は、1つ以上の洗浄及び/又は乾燥させたウエハの測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置129は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。たとえばウエハスポット及び/又は他の異常が検出されても良い。内部搬送装置128、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The third lithography subsystem 125 may include one or more processing devices 127. The processing device 127 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 128, the first SD transfer subsystem 101, and / or the second SD transfer subsystem 102 can transfer, measure, or transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the third lithography subsystem 125 may include one or more processing devices 127. The processing device 127 performs development processing, heat treatment, measurement processing, inspection processing, alignment processing, and / or storage processing on one or more wafers by using SD processing and / or non-SD processing. good. For example, one or more processing devices 127 may be used to develop one or more patterned mask layers--which may include a photoresist material and / or an anti-reflective coating material--and one or more. The processing device 127 may be used for heat treatment (baking) of one or more patterned mask layers. In addition, one or more processing devices 127 may be used to measure and / or inspect one or more cleaned and / or dried wafers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more wafers. One or more control devices 129 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. For example, wafer spots and / or other anomalies may be detected. The internal transport device 128, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport the defective wafer to the rework subsystem.

他の実施例では、第3リソグラフィサブシステム125は、汚染を生じさせる恐れのあるプロセスを実行する1つ以上の処理装置127を有して良い。1つ以上の処理装置127は他のサブシステムから隔離されて良い。これにより、欠陥を低減して、汚染の恐れを最小限に抑制することができる。1つ以上の処理装置127は、ウエハ経路及び/又は重要な処理領域内で設定可能な周辺の欠陥レベルを監視する浮遊微粒子カウンタを有して良い。警告条件についての検出レベルが設定されて良い。たとえば、これらのプロセスは「汚い」ベーキングプロセスを有して良く、かつこれにより、これらの「汚い」プロセスは他のシステムから隔離することが可能となる。それに加えて、1つ以上の再加工処理が、他のサブシステムから隔離された処理装置によって実行されて良い。   In other embodiments, the third lithography subsystem 125 may include one or more processing units 127 that perform processes that can cause contamination. One or more processing units 127 may be isolated from other subsystems. This can reduce defects and minimize the risk of contamination. One or more processing devices 127 may include a suspended particulate counter that monitors peripheral defect levels that can be set within the wafer path and / or critical processing areas. A detection level for the warning condition may be set. For example, these processes may have “dirty” baking processes, and this allows these “dirty” processes to be isolated from other systems. In addition, one or more rework processes may be performed by a processing device that is isolated from other subsystems.

他の実施例では、第1リソグラフィサブシステム110は、汚染を生じさせる恐れのあるプロセスを実行する1つ以上の処理装置112を有して良い。1つ以上の処理装置112は他のサブシステムから隔離されて良い。これにより、欠陥を低減して、汚染の恐れを最小限に抑制することができる。1つ以上の処理装置112は、ウエハ経路及び/又は重要な処理領域内で設定可能な大気での欠陥レベルを監視する大気粒子カウンタを有して良い。警告条件についての検出レベルが設定されて良い。たとえば、これらのプロセスは「汚い」ベーキングプロセスを有して良く、かつこれにより、これらの「汚い」プロセスは他のシステムから隔離することが可能となる。それに加えて、1つ以上の再加工処理が、他のサブシステムから隔離された処理装置によって実行されて良い。   In other embodiments, the first lithography subsystem 110 may include one or more processing units 112 that perform processes that can cause contamination. One or more processing devices 112 may be isolated from other subsystems. This can reduce defects and minimize the risk of contamination. One or more processing units 112 may include atmospheric particle counters that monitor atmospheric defect levels that can be set within the wafer path and / or critical processing areas. A detection level for the warning condition may be set. For example, these processes may have “dirty” baking processes, and this allows these “dirty” processes to be isolated from other systems. In addition, one or more rework processes may be performed by a processing device that is isolated from other subsystems.

熱処理サブシステム130は1つ以上の処理装置132を有して良い。その処理装置132は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置133、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、熱処理サブシステム130は1つ以上の処理装置132を有して良い。その処理装置132は、S-D処理及び/又は非S-D処理を用いることによって、ベーキング処理、アニーリング処理、スパイクアニーリング処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置132は、1つ以上のウエハの温度の上昇及び/又は制御を行うのに用いられて良く、かつ1つ以上の処理装置132は、1つ以上のウエハの温度の降温及び/又は制御を行うのに用いられて良い。それに加えて、1つ以上の処理装置132は、1つ以上のウエハの測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置134は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置133、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The thermal processing subsystem 130 may include one or more processing devices 132. The processing device 132 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 133, the first S-D transfer subsystem 101, and / or the second S-D transfer subsystem 102 can transfer and measure one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the thermal processing subsystem 130 may include one or more processing devices 132. The processing device 132 uses one or more of SD processing and / or non-SD processing to perform one or more of baking processing, annealing processing, spike annealing processing, heat treatment, measurement processing, inspection processing, alignment processing, and / or storage processing. May be performed on a single wafer. For example, one or more processing units 132 may be used to increase and / or control the temperature of one or more wafers, and the one or more processing units 132 may be used to increase the temperature of one or more wafers. Can be used to cool and / or control the temperature. In addition, one or more processing devices 132 may be used to measure and / or inspect one or more wafers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more wafers. One or more controllers 134 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transfer device 133, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport a defective wafer to the rework subsystem.

検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを評価、処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって、評価処理、検査処理、粒子検出処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上のS-D評価装置137は光検査の実行に用いられて良く、かつ1つ以上のS-D評価処理装置137は、1つ以上のウエハ上での短波長での検査に用いられて良い。それに加えて、1つ以上のS-D評価装置137は、1つ以上のウエハ上での粒子の検出に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置139は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The inspection subsystem 135 can include one or more processing devices 137. The processing device 137 may evaluate, process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 138, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 can transfer, measure, and / or transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the inspection subsystem 135 may include one or more processing devices 137. The processing device 137 can perform evaluation processing, inspection processing, particle detection processing, measurement processing, alignment processing, verification processing, and / or storage processing using one or more wafers by using SD processing and / or non-SD processing. Good to run on. For example, one or more SD evaluation devices 137 may be used to perform optical inspection, and one or more SD evaluation processing devices 137 may be used for inspection at one or more wafers at short wavelengths. good. In addition, one or more S-D evaluation devices 137 may be used to detect particles on one or more wafers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more surfaces of one or more wafers. One or more controllers 139 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transfer device 138, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport the defective wafer to the rework subsystem.

検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを評価、処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって、評価処理、検査処理、粒子検出処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上のS-D評価装置137は光検査の実行に用いられて良く、かつ1つ以上のS-D評価処理装置137は、1つ以上のウエハ上での短波長での検査に用いられて良い。それに加えて、1つ以上のS-D評価装置137は、1つ以上のウエハ上での粒子の検出に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置139は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The inspection subsystem 135 can include one or more processing devices 137. The processing device 137 may evaluate, process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 138, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 can transfer, measure, and / or transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the inspection subsystem 135 may include one or more processing devices 137. The processing device 137 can perform evaluation processing, inspection processing, particle detection processing, measurement processing, alignment processing, verification processing, and / or storage processing using one or more wafers by using SD processing and / or non-SD processing. Good to run on. For example, one or more SD evaluation devices 137 may be used to perform optical inspection, and one or more SD evaluation processing devices 137 may be used for inspection at one or more wafers at short wavelengths. good. In addition, one or more S-D evaluation devices 137 may be used to detect particles on one or more wafers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more surfaces of one or more wafers. One or more controllers 139 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transfer device 138, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport the defective wafer to the rework subsystem.

エッチングサブシステム140は1つ以上の処理装置142を有して良い。その処理装置142は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置143、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、エッチングサブシステム140は1つ以上の処理装置142を有して良い。その処理装置142は、S-D処理及び/又は非S-D処理を用いることによって、エッチング処理、化学的酸化物除去(COR)処理、アッシング処理、検査処理、再加工処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置142は1つ以上のS-D及び/又は非S-Dプラズマエッチング処理を用いることによるパターニングされたウエハの生成及び/又は改質に用いられて良く、かつ1つ以上の処理装置142は1つ以上のS-D及び/又は非S-Dの非プラズマエッチング処理を用いることによるパターニングされたウエハの生成及び/又は改質に用いられて良い。それに加えて、1つ以上の処理装置142は、1つ以上のウエハからの層の材料及び/又はプロセス残余物の除去に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置144は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置143、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   Etching subsystem 140 may include one or more processing devices 142. The processing apparatus 142 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 143, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 can transfer, measure, and / or transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the etching subsystem 140 may include one or more processing devices 142. The processing device 142 uses an SD process and / or a non-SD process to perform an etching process, a chemical oxide removal (COR) process, an ashing process, an inspection process, a rework process, a measurement process, an alignment process, and a verification process. Processing and / or storage processing may be performed on one or more wafers. For example, one or more processing units 142 may be used to create and / or modify a patterned wafer by using one or more SD and / or non-SD plasma etching processes, and one or more processes The apparatus 142 may be used to create and / or modify a patterned wafer by using one or more SD and / or non-SD non-plasma etch processes. In addition, one or more processing units 142 may be used to remove layer material and / or process residue from one or more wafers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more surfaces of one or more wafers. One or more controllers 144 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transfer device 143, the first SD transfer subsystem 101, and / or the second SD transfer subsystem 102 may transfer a defective wafer to the rework subsystem.

堆積サブシステム145は1つ以上の処理装置147を有して良い。その処理装置147は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置148、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、堆積サブシステム145は1つ以上の処理装置147を有して良い。その処理装置147は、S-D処理及び/又は非S-D処理を用いることによって、堆積処理、検査処理、測定処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置147は、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)処理、プラズマ原子層堆積(PEALD)処理、及び/又はプラズマ化学気相成長(PECVD)処理を実行するのに用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置149は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置148、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The deposition subsystem 145 can include one or more processing devices 147. The processing apparatus 147 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 148, the first SD transfer subsystem 101, and / or the second SD transfer subsystem 102 can transfer, measure, or transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the deposition subsystem 145 may include one or more processing devices 147. The processing device 147 may perform deposition processing, inspection processing, measurement processing, alignment processing, and / or storage processing on one or more wafers by using S-D processing and / or non-S-D processing. For example, one or more processing devices 147 may include physical vapor deposition (PVD) processing, chemical vapor deposition (CVD) processing, ionized physical vapor deposition (iPVD) processing, atomic layer deposition (ALD) processing, plasma atomic layer It can be used to perform a deposition (PEALD) process and / or a plasma enhanced chemical vapor deposition (PECVD) process. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more surfaces of one or more wafers. One or more control devices 149 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transport device 148, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport a defective wafer to the rework subsystem.

評価サブシステム150は1つ以上の処理装置152を有して良い。その処理装置152は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを評価、測定、検査、位置合わせ、検証、及び/又は保存して良い。内部搬送装置153、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、評価サブシステム150は1つ以上の処理装置152を有して良い。その処理装置152は、S-D処理及び/又は非S-D処理を用いることによって、評価処理、検査処理、温度制御処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置152はウエハ上の部位及び/又は構造の測定に使用可能な光計測を実行するのに用いられて良く、かつ1つ以上の処理装置152はウエハ表面の測定を実行するのに用いられて良い。それに加えて、S-D評価装置は、1つ以上のウエハの1面以上の表面のウエハ曲率の決定、又は1つ以上のウエハの1面以上の表面の測定及び/若しくは検査に用いられて良い。S-D評価装置152はS-D処理及び/又は非S-D処理を実行して良い。1つ以上の制御装置154は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置153、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   Evaluation subsystem 150 may include one or more processing devices 152. The processing apparatus 152 may evaluate, measure, inspect, align, verify, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 153, the first SD transfer subsystem 101, and / or the second SD transfer subsystem 102 can transfer, measure, and transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the evaluation subsystem 150 may include one or more processing units 152. The processing device 152 uses one or more wafers to perform evaluation processing, inspection processing, temperature control processing, measurement processing, alignment processing, verification processing, and / or storage processing by using SD processing and / or non-SD processing. Good to run on. For example, one or more processing units 152 may be used to perform optical measurements that can be used to measure sites and / or structures on the wafer, and one or more processing units 152 may perform measurements on the wafer surface. Can be used to execute. In addition, the S-D evaluation apparatus may be used to determine the wafer curvature of one or more surfaces of one or more wafers, or to measure and / or inspect one or more surfaces of one or more wafers. The S-D evaluation device 152 may perform S-D processing and / or non-S-D processing. One or more controllers 154 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transfer device 153, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport a defective wafer to the rework subsystem.

再加工サブシステム155は1つ以上の処理装置157を有して良い。その処理装置157は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置158、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、再加工サブシステム155は1つ以上の処理装置157を有して良い。その処理装置157は、S-D処理及び/又は非S-D処理を用いることによって、洗浄処理、エッチング処理、層の除去処理、アッシング処理、検査処理、残余物除去処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置157は1つ以上のS-D及び/又は非S-Dプラズマエッチング処理を用いることによるパターニングされたウエハの除去に用いられて良く、かつ1つ以上の処理装置157は1つ以上のS-D及び/又は非S-Dの非プラズマエッチング処理を用いることによるパターニングされたウエハの除去に用いられて良い。それに加えて、1つ以上の処理装置157は、1つ以上のウエハからの損傷を受けた材料の除去に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置159は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置158、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。   The rework subsystem 155 can include one or more processing devices 157. The processing device 157 may process, measure, inspect, align, and / or store one or more wafers by using S-D processing and / or non-S-D processing. The internal transfer device 158, the first SD transfer subsystem 101, and / or the second SD transfer subsystem 102 can transfer, measure, and transfer one or more wafers by using SD processing and / or non-SD processing. It may be inspected, aligned and / or stored. In some embodiments, the rework subsystem 155 may include one or more processing devices 157. The processing device 157 uses an SD process and / or a non-SD process to perform a cleaning process, an etching process, a layer removal process, an ashing process, an inspection process, a residue removal process, a measurement process, an alignment process, and a verification process. And / or storage processing may be performed on one or more wafers. For example, one or more processing units 157 may be used to remove a patterned wafer by using one or more SD and / or non-SD plasma etching processes, and one or more processing units 157 are one. It can be used to remove patterned wafers by using the above SD and / or non-SD non-plasma etching processes. In addition, one or more processing devices 157 may be used to remove damaged material from one or more wafers. S-D processing and / or non-S-D processing may be used to measure and / or inspect one or more surfaces of one or more wafers. One or more control devices 159 may perform S-D processing and / or non-S-D processing to determine whether the wafer has been processed correctly or whether rework processing is necessary. The internal transport device 158, the first SD transport subsystem 101, and / or the second SD transport subsystem 102 may transport a defective wafer to the rework subsystem.

各サブシステムは1つ以上のウエハを並列処理することができる。1つ以上のS-D処理及び/又は非S-D処理が実行されて良い。   Each subsystem can process one or more wafers in parallel. One or more S-D processes and / or non-S-D processes may be performed.

1つ以上の定式化されたメッセージはサブシステム間でやり取りされて良い。制御装置はメッセージを処理し、かつ新たなデータを取り出して良い。新たなデータが利用可能であるとき、制御装置は新たなデータを用いて、ウエハロットに現在用いられているレシピ、プロファイル、及び/若しくはモードを更新するか、又は次のウエハロットについてのレシピ、プロファイル、及び/若しくはモードを更新して良い。制御装置が新たなデータを用いて現在処理されているウエハロットについてのレシピデータ、プロファイルデータ、及び/又はモデル化データを更新するとき、その制御装置は、現在のウエハが処理される前にレシピ、プロファイル、及び/又はモデルが更新可能であるか否かを判断することができる。現在のウエハが処理される前にレシピ、プロファイル、及び/又はモデルが更新可能であるとき、その現在のウエハは、更新されたレシピ、プロファイル、及び/又はモデルを用いることによって処理されて良い。現在のウエハが処理される前にレシピ、プロファイル、及び/又はモデルが更新できないとき、その現在のウエハは、更新されていないレシピ、プロファイル、及び/又はモデルを用いることによって処理されて良い。たとえば新たな3Dエッチング用レシピ、プロファイル、及び/又はモデルが利用可能であるとき、エッチングサブシステム及び/又はエッチング制御装置は、新たなS-Dエッチング用レシピ、プロファイル、及び/又はモデルをいつ使用するのかを決定することができる。   One or more formulated messages may be exchanged between subsystems. The controller may process the message and retrieve new data. When new data is available, the controller uses the new data to update the recipe, profile, and / or mode currently used for the wafer lot, or the recipe, profile, And / or update the mode. When the controller updates the recipe data, profile data, and / or modeling data for the currently processed wafer lot with the new data, the controller will update the recipe before the current wafer is processed, It can be determined whether the profile and / or model is updatable. When the recipe, profile, and / or model is updatable before the current wafer is processed, the current wafer may be processed by using the updated recipe, profile, and / or model. When the recipe, profile, and / or model cannot be updated before the current wafer is processed, the current wafer may be processed by using an unupdated recipe, profile, and / or model. For example, when a new 3D etch recipe, profile, and / or model is available, when will the etch subsystem and / or etch controller use the new SD etch recipe, profile, and / or model? Can be determined.

1つ以上の評価処理は、S-D損傷評価データ及び/又は非S-D損傷評価データを供して良い。S-D損傷評価データ及び/又は非S-D損傷評価データには、各異なるサイト、ウエハ、及び/又はロットについての、損傷した層、部位、及び/又は構造のデータが含まれて良い。1つ以上の処理サブシステムは、損傷評価データを用いて、処理用レシピデータ、処理用プロファイルデータ、及び/若しくはモデル化データの更新並びに/又は最適化を行って良い。たとえばエッチングサブシステム140は損傷評価データを用いて、エッチング用化学物質及び/若しくはエッチング時間を更新並びに/又は最適化して良い。それに加えて、堆積サブシステム145及び/又はリソグラフィサブシステム(110、120、及び125)は損傷評価データを用いて、レシピデータ、プロファイルデータ、及び/若しくはモデル化データを更新並びに/又は最適化して良い。   One or more evaluation processes may provide S-D damage evaluation data and / or non-S-D damage evaluation data. S-D damage assessment data and / or non-S-D damage assessment data may include damaged layer, site, and / or structure data for each different site, wafer, and / or lot. One or more processing subsystems may use the damage assessment data to update and / or optimize processing recipe data, processing profile data, and / or modeling data. For example, the etch subsystem 140 may use the damage assessment data to update and / or optimize the etch chemistry and / or etch time. In addition, the deposition subsystem 145 and / or the lithography subsystem (110, 120, and 125) uses the damage assessment data to update and / or optimize recipe data, profile data, and / or modeling data. good.

S-D処理は、様々な回数及び/若しくはサイトでの孤立した及び/若しくは入れ子の構造の生成、改質、並びに/又は評価に用いられて良い。たとえば、ウエハの厚さデータは孤立した及び/又は入れ子の構造の付近でそれぞれ異なっていて良く、かつウエハ厚さデータは開口領域及び/又は溝アレイ領域付近でそれぞれ異なっていて良い。処理サブシステムは、孤立した及び/又は入れ子の構造について新たなS-Dデータを用いて、S-D処理用レシピ及び/若しくは処理時間の更新並びに/又は最適化を行って良い。S-D処理は端点検出(EPD)データ及び処理時間を用いて、計算精度を改善して良い。ウエハ及び/又はロットが処理されている一方で、S-Dデータが生成されて、かつこのデータは処理システムによってリアルタイムでフィードバック及び/又はフィードフォワードされることで、現在のウエハが処理されている前、又はウエハロット中の追加のウエハが処理される前に、処理、測定、及び/又はシミュレーション用レシピを更新して良い。あるいはその代わりに非S-Dデータが用いられても良い。EPDデータがS-D処理を停止するのに用いられるとき、そのEPD時間データ及び処理速度データは、S-D膜厚の計算並びに/又は推定に用いられて良い。処理中、監視及び/又は検証用ウエハが周期的に用いられ、かつS-D測定処理は、S-D処理-たとえばエッチング、堆積、リソグラフィ、洗浄、及び研磨-前後でのS-D膜厚の検証に用いられて良い。   The S-D process may be used to create, modify, and / or evaluate isolated and / or nested structures at various times and / or sites. For example, wafer thickness data may be different near isolated and / or nested structures, and wafer thickness data may be different near the open area and / or the trench array area. The processing subsystem may update and / or optimize the S-D processing recipe and / or processing time using the new S-D data for isolated and / or nested structures. S-D processing may improve calculation accuracy using end point detection (EPD) data and processing time. While wafers and / or lots are being processed, SD data is generated and this data is fed back and / or feedforward in real time by the processing system so that the current wafer is processed, Alternatively, processing, measurement, and / or simulation recipes may be updated before additional wafers in the wafer lot are processed. Alternatively, non-S-D data may be used instead. When EPD data is used to stop S-D processing, the EPD time data and processing speed data may be used for calculation and / or estimation of S-D film thickness. During processing, monitoring and / or verification wafers are used periodically, and SD measurement processing is used to verify SD film thickness before and after SD processing-eg etching, deposition, lithography, cleaning, and polishing. good.

評価サブシステム150のデータは、S-Dパターニング若しくは非パターニング構造に関する測定及び/又はシミュレーションによる信号を有して良い。S-D信号は、処理状態データ、及びウエハ、ロット、レシピ、サイト、又はウエハ位置データを用いて保存されて良い。測定データは、パターニングされた構造プロファイルに関連する変数、計測装置の種類及びその関連変数、モデル化において変動する変数について用いられる範囲、並びにモデル化において一定である変数の値を含んで良い。ライブラリプロファイルデータ及びS-Dデータは、固定及び/若しくは変動のプロファイルデータ(たとえばCD、側壁角、N&Kパラメータ)、並びに/又は計測装置パラメータ(たとえば波長、入射角、及び/又は方位角)を有して良い。   The data of the evaluation subsystem 150 may comprise measurement and / or simulation signals related to S-D patterned or non-patterned structures. The S-D signal may be stored using process state data and wafer, lot, recipe, site, or wafer position data. The measurement data may include variables associated with the patterned structural profile, the type of metrology device and its associated variables, ranges used for variables that vary in modeling, and values of variables that are constant in modeling. Library profile data and SD data have fixed and / or variable profile data (eg CD, sidewall angle, N & K parameters) and / or instrument parameters (eg wavelength, incident angle, and / or azimuth) good.

一部の実施例では、S-D処理は、測定、予測、及び/又はシミュレーションされたデータを用いることによって、光計測データレシピ、構造、及び/又はモデルを最適化して良い。S-D処理は、条件/識別情報-たとえばデータを組織化してインデックスを付す手段としてのサイトID、ウエハID、スロットID、ロットID、レシピ、状態、及びパターニングされた構造のID-を利用して良い。一部の例では、ライブラリデータは、製品、デバイス、ウエハ、処理、ロット、レシピ、サイト、位置、パターニング及び/又は非パターニング構造に関連する検証されたデータを有して良い。S-Dデータは下地膜のデータを有して良い。その下地膜のデータはS-D処理によって用いられることで、リアルタイムの更新及び/又は補正が成されて良い。処理中、一部の測定サイトは下地膜及び/又は構造からの干渉のために測定できない。S-D干渉に基づくマップは、測定用に用いることが可能なサイト位置を決定するために生成及び利用されて良い。それに加えて、生成可能なS-D干渉プロファイル及び/又はモデルは、これらの問題の解決に用いられて良い。   In some embodiments, the S-D process may optimize the optical metrology data recipe, structure, and / or model by using measured, predicted, and / or simulated data. SD processing may use condition / identification information, such as site ID, wafer ID, slot ID, lot ID, recipe, state, and patterned structure ID as a means of organizing and indexing data. . In some examples, the library data may include verified data related to products, devices, wafers, processes, lots, recipes, sites, locations, patterned and / or non-patterned structures. The S-D data may include data on the base film. The data of the underlying film may be used in the S-D process, and real-time updating and / or correction may be performed. During processing, some measurement sites cannot be measured due to interference from the underlying film and / or structure. A map based on S-D interference may be generated and used to determine site locations that can be used for measurement. In addition, S-D interference profiles and / or models that can be generated can be used to solve these problems.

それに加えて、S-D処理は、S-D信号及び対応するS-Dプロファイルパラメータの組のライブラリの生成、更新、及び/又は最適化を行って良い。S-D処理は、訓練された機械学習システム(MLS)からのデータ組を生成、更新、及び/又は最適化して良い。MLSはライブラリデータのサブセットによって訓練されて良い。変更及び/又は更新された値は、性能を改善させるために保存及び/又は使用されて良い。S-D及び/又は非S-Dライブラリ並びにデータベースが用いられて良い。   In addition, the S-D process may generate, update, and / or optimize a library of sets of S-D signals and corresponding S-D profile parameters. S-D processing may generate, update, and / or optimize data sets from a trained machine learning system (MLS). MLS can be trained with a subset of library data. The changed and / or updated values can be stored and / or used to improve performance. S-D and / or non-S-D libraries and databases may be used.

介入及び/又は判定規則は、S-D戦略、計画、モデル、サブシステム、装置、又は処理内で定義されて良い。介入及び/又は判定規則は、一致条件に出会うときには必ず実行するようにされて良い。介入及び/又は判定規則は、様々な処理用であって良く、かつデータベース内で保持されていて良い。   Intervention and / or decision rules may be defined within an SD strategy, plan, model, subsystem, device, or process. Intervention and / or decision rules may be implemented whenever a matching condition is encountered. Intervention and / or decision rules may be for various processes and may be maintained in a database.

一部の例では、MES180は一部のシステム処理を監視するように備えられて良く、かつ工場レベルの介入及び/又は判定規則が、どの処理を監視してどのデータを用いて良いのかを判断するのに用いられて良い。それに加えて、工場レベルの介入及び/又は判定規則は、処理が変更、中断、及び/又は中止するときにどのようにデータを管理するのかを判断するのに用いられても良い。それに加えて、MES180はS-D設定情報及びS-D更新情報を供して良い。データは、GEM SECS通信プロトコルを用いてやり取りされて良い。   In some examples, MES 180 may be equipped to monitor some system processes, and factory-level interventions and / or decision rules determine which processes are monitored and which data can be used. Can be used to In addition, factory level intervention and / or decision rules may be used to determine how data is managed when a process is changed, interrupted, and / or stopped. In addition, the MES 180 may provide S-D setting information and S-D update information. Data may be exchanged using the GEM SECS communication protocol.

一般には、規則では、半導体処理システムの動的状態及び/又は製品の処理状態に基づいて、S-D処理を変更することが可能となる。一部の設定情報は、処理システム及び/又はサブシステムが最初に設定されるときに、その処理システム及び/又はサブシステムによって決定されて良い。それに加えて、規則はS-D処理についての制御階層を設定するのに用いられて良い。規則は、いつ処理が中断及び/若しくは中止されて良いのか、並びに/又は、処理が中断及び/若しくは中止されるときに何ができるのかを判断するのに用いられて良い。それに加えて、処理規則は、如何なる補正行為が行われるべきかを判断するのに用いられて良い。処理シーケンス及び搬送シーケンスに関する規則はまた、どのウエハが処理及び/又は搬送されるべきかを判断するのに用いられて良い。ウエハを処理する典型的な方法は、1つ以上のウエハ及び関連するウエハデータを受け取る手順、並びに各ウエハについての処理シーケンス及び/又は状態データを設定する手順を有して良い。   In general, the rules allow the S-D process to be changed based on the dynamic state of the semiconductor processing system and / or the processing state of the product. Some configuration information may be determined by the processing system and / or subsystem when the processing system and / or subsystem is first configured. In addition, rules can be used to set up a control hierarchy for SD processing. Rules can be used to determine when a process can be interrupted and / or stopped and / or what can be done when the process is interrupted and / or stopped. In addition, the processing rules may be used to determine what corrective action should be taken. Rules regarding the processing sequence and transfer sequence may also be used to determine which wafers should be processed and / or transferred. A typical method for processing a wafer may include a procedure for receiving one or more wafers and associated wafer data, and a procedure for setting a processing sequence and / or status data for each wafer.

ウエハ状態データは、処理シーケンスから決定可能な順序づけされた状態変数(SQn,m)を有して良い。一部の実施例では、処理シーケンスはMES180から取得されて良く、かつ修正できない。他の実施例では、疑似的(修正可能)処理シーケンスが設定されて良く、かつ順序づけされた状態及び/又は処理開始時間が、サブシステムコンピュータ及び/又はオペレータによって変更されて良い。たとえば開始時間が変更された追加シーケンス状態は、追加処理工程の設定、処理工程を実行しながらのウエハの保持、計算を実行しながらのウエハの保持、装置がオフライン状態であるときの様々な装置へのウエハの搬送、並びに/又は、失敗条件の補正及び/若しくは解析に用いられて良い。それに加えて、追加シーケンス工程及び/又は猶予された開始時間は、S-Dデータ及び/又はメッセージが生成、処理、送信、及び/又は受信されると同時にウエハを保持及び/又は搬送するのに用いられて良い。 The wafer state data may include ordered state variables (SQ n, m ) that can be determined from the processing sequence. In some embodiments, the processing sequence may be obtained from MES 180 and cannot be modified. In other embodiments, a pseudo (modifiable) process sequence may be established and the ordered state and / or process start time may be changed by the subsystem computer and / or operator. For example, the additional sequence state in which the start time is changed can be set as an additional processing step, holding a wafer while executing the processing step, holding a wafer while executing a calculation, and various devices when the device is in an offline state. It may be used for wafer transfer to and / or correction and / or analysis of failure conditions. In addition, additional sequence steps and / or delayed start times are used to hold and / or transport wafers at the same time that SD data and / or messages are generated, processed, transmitted, and / or received. Good.

一部の例では、S-D搬送サブシステムは、装着データを用いて、どこへウエハを搬送すべきかを判断して良い。他の例では、S-D搬送サブシステムは、処理シーケンスデータを用いて、どこへウエハを搬送すべきかを判断して良い。さらに他の例では、S-D搬送サブシステムは、信頼性データを用いて、どこへウエハを搬送すべきかを判断して良い。あるいはその代わりに、他の処理が用いられても良い。   In some examples, the SD transport subsystem may use the mounting data to determine where to transport the wafer. In another example, the SD transport subsystem may use process sequence data to determine where to transport the wafer. In yet another example, the SD transport subsystem may use the reliability data to determine where to transport the wafer. Alternatively, other processing may be used.

信頼性データは、ウエハ上で行われた各処理の評価を含んで良い。S-D処理からの処理データが予想された値に近いとき、そのS-D処理についての信頼値は高いと考えられる。そしてS-D処理からの処理データが予想された値に近くないとき、そのS-D処理についての信頼値は低いと考えられる。たとえば信頼値は0から9の範囲であって良い。ここで0は失敗条件を表し、9は正常な動作を表す。   The reliability data may include an evaluation of each process performed on the wafer. When the processing data from the S-D process is close to the expected value, the confidence value for the S-D process is considered high. When the processing data from the S-D process is not close to the expected value, the confidence value for the S-D process is considered low. For example, the confidence value may range from 0 to 9. Here, 0 represents a failure condition, and 9 represents normal operation.

ウエハ状態データは、ウエハ数(WN)データ、処理シーケンス(PS)データ、ステップカウンタ(SC)データ、処理型(PT)のデータ、処理状態(PS)データ、サイト依存性(SD)データ、状態(ST)データ、及び猶予時間(DT)データを有して良い。ウエハ数(WN)データはウエハの識別に用いられて良い。ウエハ数(WN)データはウエハの識別に用いられて良い。処理シーケンス(PS)データは処理シーケンスの識別に用いられて良い。ステップカウンタ(SC)データはウエハについての処理工程数の識別に用いられて良い。処理型(PT)のデータは各処理工程で行われた処理の種類の立証に用いられて良い。サイト依存性(SD)データは、サイト依存の数であり、かつ各処理工程で実行されたS-D処理の種類の立証に用いられた1つ以上のサイトの立証に用いられて良い。状態(ST)データは、処理工程が実行されたか否か、及び処理工程がうまくいったか否かを判断するのに用いられて良い。猶予時間(DT)データはタイミングデータを有して良い。猶予時間データは、ウエハの順序づけ、計算、処理、及び/又は測定を猶予するのに用いられて良い。   Wafer status data includes wafer count (WN) data, processing sequence (PS) data, step counter (SC) data, processing type (PT) data, processing status (PS) data, site dependency (SD) data, status (ST) data and grace time (DT) data may be included. Wafer number (WN) data may be used to identify the wafer. Wafer number (WN) data may be used to identify the wafer. Processing sequence (PS) data may be used to identify the processing sequence. Step counter (SC) data may be used to identify the number of processing steps for a wafer. The processing type (PT) data may be used to verify the type of processing performed in each processing step. Site dependency (SD) data is the number of site dependencies and may be used to verify one or more sites used to verify the type of S-D processing performed at each processing step. The state (ST) data may be used to determine whether the processing step has been performed and whether the processing step has been successful. The grace time (DT) data may include timing data. The grace time data may be used to grace wafer sequencing, calculations, processing, and / or measurements.

一部の実施例では、ウエハデータは可変データを有して良い。たとえば、フィードフォワードデータが第1の値であるとき、そのデータ及び/又はメッセージはフィードフォワードされて良く、かつ、フィードフォワードデータが第2の値であるとき、そのデータ及び/又はメッセージはフィードフォワードされない。S-D変数が第1の値であるとき、S-D処理が実行されて良く、かつ、S-D変数が第2の値であるとき、非S-D処理が実行されて良い。   In some embodiments, the wafer data may include variable data. For example, when the feed forward data is a first value, the data and / or message may be feed forward, and when the feed forward data is a second value, the data and / or message is feed forward. Not. When the S-D variable is the first value, the S-D process may be executed, and when the S-D variable is the second value, the non-S-D process may be executed.

一部の実施例では、入出力メッセージは、失敗メッセージ、応答メッセージ、エラーメッセージ、S-Dメッセージ、フィードバックメッセージ、非S-Dメッセージ、内部メッセージ、外部メッセージ、最適化メッセージ、状態メッセージ、タイミングメッセージ、処理結果メッセージ、及び/又は他のメッセージを有して良い。それに加えて、メッセージは、リアルタイムの命令、設定、計算、及び/又は上書き情報を有して良い。データは、S-D処理変数/パラメータとしてリアルタイムで用いられて良く、現在のレシピデータ、プロファイル、及び/又はモデル失敗値の上書きに用いられて良く、現在の搬送シーケンスデータの上書きに用いられて良く、現在の開始時間の上書きに用いられて良く、かつレシピ、プロファイル、及び/又はモデル、並びに関連する精度限界を決定する調査空間を絞るのに用いられて良い。   In some embodiments, the input / output message is a failure message, response message, error message, SD message, feedback message, non-SD message, internal message, external message, optimization message, status message, timing message, processing result message. And / or other messages. In addition, the message may have real-time instructions, settings, calculations, and / or overwrite information. Data may be used in real time as SD process variables / parameters, may be used to overwrite current recipe data, profiles, and / or model failure values, may be used to overwrite current transfer sequence data, It can be used to override the current start time and can be used to narrow the search space for determining recipes, profiles, and / or models, and associated accuracy limits.

様々な実施例では、1つ以上の入力メッセージが、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)によって受信及び/又は処理されて良く、かつ、1つ以上の出力メッセージが、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)によって生成及び/又は送信されて良い。一部の例では、入力メッセージは、S-Dデータ及び非S-Dデータを有する定式化されたメッセージであって良い。制御装置は、定式化されたメッセージを処理して、S-Dメッセージ及び/又はそれとは別個の非S-Dメッセージをサブシステム用に生成して良い。S-DメッセージはS-Dウエハデータを有して良い。そのS-Dウエハデータは、ライブラリ及びデータベース内での調査時間の減少、計算エラーの減少、精度の改善に用いられて良い。たとえばライブラリ空間内でのプロファイル空間が小さくなればS-Dデータを用いた識別が可能である。それに加えて、S-D厚さ及び/又は温度データが用いられて良く、S-D処理はこのデータを用いてプリファイルライブラリからプロファイルをリアルタイムで決定して良い。それにより測定時間が減少して、かつスループットが増大する。制御装置は入力メッセージをリアルタイムで検討して、いつその入力メッセージが、その制御装置が使用可能なS-Dメッセージを含んでいるのかを判断し、かつ/あるいは、制御装置はどのようにしてS-Dメッセージをリアルタイムで取り出すのかを判断して良い。メッセージはXMLフォーマット及び/又はSMLフォーマットを用いて良い。システムは、多数のサブシステムのため、送られ、分配され、及び/又は解析されるS-Dメッセージで、例外処理を与えかつ運用する。   In various embodiments, one or more input messages are received and / or processed by one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, and 159). In addition, one or more output messages may be generated and / or transmitted by one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, and 159). In some examples, the input message may be a formulated message having S-D data and non-S-D data. The controller may process the formulated message and generate an S-D message and / or a separate non-S-D message for the subsystem. The S-D message may include S-D wafer data. The S-D wafer data can be used to reduce search time in libraries and databases, reduce calculation errors, and improve accuracy. For example, if the profile space in the library space is reduced, identification using S-D data is possible. In addition, S-D thickness and / or temperature data may be used, and the S-D process may use this data to determine a profile from the pre-file library in real time. Thereby, the measurement time is reduced and the throughput is increased. The controller reviews the input message in real time to determine when the input message contains an SD message that the controller can use and / or how the controller reads the SD message. You may judge whether to take out in real time. The message may use XML format and / or SML format. The system provides and operates exception handling with S-D messages that are sent, distributed, and / or parsed for multiple subsystems.

たとえば、一部のデバイス/製品は20-30nmゲート構造を必要とすると思われ、かつ製造されるどのウエハにも100万個オーダーでこれらの構造が存在すると考えられる。S-D処理は、構造が正しいことを保証するために行われなければならないテスト回数を最小限に減らすために用いられて良い。   For example, some devices / products may require 20-30 nm gate structures, and every wafer manufactured will have these structures on the order of 1 million. S-D processing may be used to minimize the number of tests that must be performed to ensure that the structure is correct.

処理シーケンスはまた、スキャナサブシステムを含む他のサブシステムのスループットに依存しても良い。S-D搬送システムは、全体のスループットを最大にするように備えられて良い。たとえばS-D搬送シーケンスは、遅いサブシステム-たとえばスキャナサブシステム-によって引き起こされるスループット問題を最小限に抑制するように設定され、かつ使用されて良い。一部の実施例では、S-D搬送サブシステムは、信頼値の低い及び/又はリスクの高いウエハの搬送を猶予して良い。他の実施例では、再加工処理シーケンスが比較的短時間で設定及び実行されるときには、S-D搬送サブシステムは信頼値の低い及び/又はリスクの高いウエハをすぐに再加工処理シーケンスへ送って良い。   The processing sequence may also depend on the throughput of other subsystems, including the scanner subsystem. An SD transport system can be provided to maximize overall throughput. For example, the SD transport sequence may be set up and used to minimize throughput problems caused by slow subsystems, such as scanner subsystems. In some embodiments, the SD transfer subsystem may grace the transfer of low confidence and / or high risk wafers. In other embodiments, when the rework sequence is set up and executed in a relatively short time, the SD transfer subsystem may immediately send a low confidence and / or high risk wafer to the rework sequence. .

S-D処理はウエハ上の特定の位置で特定の結果を生成して良い。処理が十分なものであるときには、信頼値は高くなり、評価に必要なウエハの個数は最小となり、1つのウエハ上の1つのサイトは、ウエハ及び/又は複数のウエハからなる群を代表するのに用いられて良い。処理が十分なものであるときには、1つのウエハ上での全サイトからの処理結果は(均一性限界の範囲内で)同一である。製品が開発されるとき、多数のサイトでの評価部位/特性/構造は、低リスク処理を確立するのに用いられて良い。   S-D processing may produce specific results at specific locations on the wafer. When processing is sufficient, the confidence value is high, the number of wafers required for evaluation is minimized, and one site on one wafer represents a group of wafers and / or multiple wafers. May be used for When processing is sufficient, the processing results from all sites on one wafer are the same (within uniformity limits). When a product is developed, assessment sites / characteristics / structures at multiple sites can be used to establish a low risk treatment.

処理システム100は1つ以上のS-D処理の検証に用いられて良い。   The processing system 100 may be used to verify one or more SD processes.

一部の実施例では、1つ以上のウエハが1つ以上のS-D搬送サブシステム(101、102)によって受け取られ、かつそのS-D搬送サブシステム(101、102)は処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合して良い。各ウエハは上に1層以上の層を有して良く、かつ関連するウエハデータを有して良い。そのウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。S-D搬送サブシステムは、いつウエハを再加工サブシステム又は保存地点へ送るのかを決定する業務規則を用いて良い。これらの業務規則はウエハの処理に伴って(追加層を設けることで)異なっていて良い。   In some embodiments, one or more wafers are received by one or more SD transfer subsystems (101, 102), and the SD transfer subsystems (101, 102) are one or more in processing system 100. The subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) may be combined. Each wafer may have one or more layers above it and may have associated wafer data. The wafer data may include historical data and / or real time data. The SD transport subsystem may use business rules that determine when to send a wafer to a rework subsystem or storage point. These business rules may differ with the processing of the wafer (by providing additional layers).

たとえば「高品質のウエハ」(golden wafer)が「高性能」S-D処理シーケンスを用いて製造されて良い。ウエハ上の一部の位置では、1つ以上のゲート構造付近に測定用構造が作製されて良い。これらの位置では、CDSEMデータが第1ウエハを用いることによって処理され、かつ第1信頼性データを比較中に得ることができる。信頼性データは信頼限界と比較されて良い。第1信頼限界が第1デルタを伴っていない場合、そのウエハについての処理(測定)シーケンスは変更され、かつウエハ上の1つ以上の追加サイトから測定データが得られて良い。信頼性データが悪い場合、そのウエハは再加工されて良い。2つ以上のサイトでの信頼性データが悪い場合、そのウエハは再加工されて良い。2つ以上のウエハについての信頼性データが悪い場合、群全体が再加工されて良い。   For example, a “golden wafer” may be manufactured using a “high performance” S-D processing sequence. At some positions on the wafer, a measurement structure may be fabricated near one or more gate structures. At these locations, CDSEM data is processed by using the first wafer, and the first reliability data can be obtained during the comparison. The reliability data can be compared to a confidence limit. If the first confidence limit is not accompanied by the first delta, the processing (measurement) sequence for the wafer may be changed and measurement data may be obtained from one or more additional sites on the wafer. If the reliability data is bad, the wafer can be reworked. If reliability data at two or more sites is poor, the wafer can be reworked. If the reliability data for two or more wafers is poor, the entire group may be reworked.

S-D搬送システムは、全体のスループットを最大化するように備えられて良い。たとえば、S-D搬送シーケンスは、遅いサブシステム-たとえばスキャナサブシステム-によって引き起こされるスループット問題を最小限に抑制するように設定され、かつ使用されて良い。一部の実施例では、S-D搬送サブシステムは、信頼値の低い及び/又はリスクの高いウエハの搬送を猶予して良い。他の実施例では、再加工処理シーケンスが比較的短時間で設定及び実行されるときには、S-D搬送サブシステムは信頼値の低い及び/又はリスクの高いウエハをすぐに再加工処理シーケンスへ送って良い。   An SD transport system can be provided to maximize the overall throughput. For example, the S-D transport sequence may be set and used to minimize throughput problems caused by slow subsystems, such as the scanner subsystem. In some embodiments, the SD transfer subsystem may grace the transfer of low confidence and / or high risk wafers. In other embodiments, when the rework sequence is set up and executed in a relatively short time, the SD transfer subsystem may immediately send a low confidence and / or high risk wafer to the rework sequence. .

S-D処理はウエハ上の特定の位置で特定の結果を生成して良い。処理が十分なものであるときには、信頼値は高くなり、評価に必要なウエハの個数は最小となり、1つのウエハ上の1つのサイトは、ウエハ及び/又は複数のウエハからなる群を代表するのに用いられて良い。処理が十分なものであるときには、1つのウエハ上での全サイトからの処理結果は(均一性限界の範囲内で)同一である。製品が開発されるとき、多数のサイトでの評価部位/特性/構造は、低リスク処理を確立するのに用いられて良い。   S-D processing may produce specific results at specific locations on the wafer. When processing is sufficient, the confidence value is high, the number of wafers required for evaluation is minimized, and one site on one wafer represents a group of wafers and / or multiple wafers. May be used for When processing is sufficient, the processing results from all sites on one wafer are the same (within uniformity limits). When a product is developed, assessment sites / characteristics / structures at multiple sites can be used to establish a low risk treatment.

製品が開発されるとき、多数のサイトでの評価部位/特性/構造は、低リスク処理を確立するのに用いられて良い。   When a product is developed, assessment sites / characteristics / structures at multiple sites can be used to establish a low risk treatment.

処理システム100は1つ以上のS-D処理の検証に用いられて良い。   The processing system 100 may be used to verify one or more SD processes.

一部の実施例では、1つ以上のウエハは1つ以上のS-D搬送サブシステム(101、102)によって受け取られ、かつS-D搬送サブシステム(101、102)は処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合して良い。各ウエハは上に1層以上の層を有して良く、関連するウエハデータを有して良く、かつ履歴データ及び/又はリアルタイムデータを有して良い。S-D搬送サブシステムは、いつウエハを再加工サブシステム又は保存地点へ送るのかを決定する業務規則を用いて良い。これらの業務規則はウエハの処理に伴って(追加層を設けることで)異なっていて良い。   In some embodiments, one or more wafers are received by one or more SD transfer subsystems (101, 102), and the SD transfer subsystem (101, 102) is one or more in processing system 100 It may be combined with subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155). Each wafer may have one or more layers above it, may have associated wafer data, and may have historical data and / or real-time data. The SD transport subsystem may use business rules that determine when to send a wafer to a rework subsystem or storage point. These business rules may differ with the processing of the wafer (by providing additional layers).

1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、ウエハデータ及び/又はウエハ状態データを用いて、ウエハ状態データの決定、第1未検証S-D処理の決定を行うように備えられて良い。第1未検証S-D処理は、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)を用いて実行される。   One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) may use the wafer data and / or wafer state data to determine wafer state data, A first unverified SD process decision may be made. The first unverified S-D process is performed using one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155).

1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1未検証S-D処理を用いた被処理S-Dウエハの第1個数の設定、ウエハデータ及び第1未検証S-D処理を用いた各S-Dウエハについての必要な検証サイト数の設定、第1処理サブシステム内の1つ以上のS-D処理装置についての動作状態データの決定、1つ以上のS-D搬送サブシステム(101、102)内の1つ以上のS-D搬送装置104についての搬入データの決定、ウエハデータ、ウエハ状態データ、動作状態データ、搬入データ、若しくは必要な検証サイト数、又はこれらの結合を用いたS-Dウエハの第1個数に含まれる第1S-Dウエハについての第1搬送シーケンスの設定、並びに、第1S-D処理シーケンスが利用可能でないときに第1処理サブシステムと結合するS-D搬送サブシステムを用いて第1期間中第1S-Dウエハの搬送猶予を行うように備えられて良い。   One or more control devices (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) are used for the first number of SD wafers to be processed using the first unverified SD process. Setting, setting of wafer data and the required number of verification sites for each SD wafer using the first unverified SD processing, determining operating state data for one or more SD processing devices in the first processing subsystem, 1 Determination of incoming data, wafer data, wafer status data, operational status data, incoming data, or number of verification sites required for one or more SD transfer devices 104 in one or more SD transfer subsystems (101, 102); Or the setting of the first transfer sequence for the first S-D wafer included in the first number of SD wafers using these combinations, and the first processing subsystem when the first S-D processing sequence is not available. 1st period using SD transport subsystem to combine Or provided so as to convey grace of the 1S-D wafer.

1つ以上のS-D搬送サブシステム(101、102)は、第1S-Dウエハを、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内のS-D処理装置(112、117、122、127、132、137、142、147、及び157)のうちの1つへ搬送するように備えられて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、1つ以上のS-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第1期間だけ第1S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを有して良い。第1期間後、猶予された第1S-Dウエハは1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内で処理されて良い。   One or more SD transfer subsystems (101, 102) can transfer the first S-D wafer to one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155). It may be provided to transport to one of the SD processing devices (112, 117, 122, 127, 132, 137, 142, 147, and 157). In addition, the one or more SD transfer subsystems (101, 102) can only transfer the first S-D wafer for a first period by using the transfer device 104 in the one or more SD transfer subsystems (101, 102). And the transfer device 104 may include two or more wafers. After the first period, the deferred first SD wafer may be processed in one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155).

第1S-Dウエハの搬送後、第1未検証S-D処理が第1S-Dウエハを用いて実行されて良く、かつ第1未検証S-D処理中、第1組のS-D検証用部位が第1被処理S-Dウエハ上に生成されて良い。第1組のS-D検証用部位は、第1被処理S-Dウエハ上の第1サイトでの第1検証用部位を有して良い。   After the transfer of the first S-D wafer, a first unverified SD process may be performed using the first S-D wafer, and the first set of SD verification sites is the first target during the first unverified SD process. It may be generated on a processed SD wafer. The first set of S-D verification sites may include a first verification site at a first site on the first processed S-D wafer.

第1未検証S-D処理が第1ウエハ上で実行されるとき、第1被処理S-Dウエハが生成されて良い。第1S-D評価装置(137、152)が利用可能であるとき、第1被処理S-Dウエハは、検査サブシステム135及び評価サブシステム150と結合する1つ以上のS-D搬送サブシステム(101、102)を用いることによって、検査サブシステム135内の第1S-D評価装置137又は第1評価サブシステム150内の第1S-D評価装置152へ搬送されて良い。第1S-D評価装置が利用可能でないとき、第1S-Dウエハは、1つ以上のS-D搬送サブシステム(101、102)を用いて第2期間だけ搬送が猶予されて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、S-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第2期間だけ第1被処理S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを支持して良い。第2期間後、第1被処理S-Dウエハは検査サブシステム135及び/又は評価サブシステム150内で評価されて良い。   When the first unverified S-D process is performed on the first wafer, a first processed S-D wafer may be generated. When the first S-D evaluation device (137, 152) is available, the first processed SD wafer is one or more SD transfer subsystems (101, 102) coupled with the inspection subsystem 135 and the evaluation subsystem 150. ) May be transported to the first S-D evaluation device 137 in the inspection subsystem 135 or the first S-D evaluation device 152 in the first evaluation subsystem 150. When the first S-D evaluation apparatus is not available, the first S-D wafer may be deferred for a second period using one or more S-D transfer subsystems (101, 102). In addition, one or more SD transfer subsystems (101, 102) transfer the first processed SD wafer only for the second period by using the transfer device 104 in the SD transfer subsystem (101, 102). A grace period may be provided and the transfer device 104 may support more than one wafer. After the second period, the first processed SD wafer may be evaluated in the inspection subsystem 135 and / or the evaluation subsystem 150.

評価処理が実行されるとき、第1サイトが用いられて良い。一部の例では、評価の決定は、第1サイトからのデータを用いることによって行われて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、a)第1被処理ウエハ上の必要なサイト数から第1未検証S-D処理によって生成された第1未検証部位を有する第1サイトを選び、b)第1S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する第1サイトから第1未検証データを取得し、c)第1S-Dウエハ上の第1サイトについて、検証された測定及び/又は検査データを有する第1検証データを設定し、d)第1未検証データと第1検証データとの間の第1差異を用いることによって第1信頼値を設定し、e)第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての第1リスク因子を設定し、f)第1リスク因子又はこれらの結合を用いた第1未検証S-D処理についての第1全リスク因子を設定し、g)第1リスク因子が新たな閾値以下であるときには、関連する第1リスク因子を有する第1の検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やし、h)前記第1リスク因子が新たな閾値以上であるときには、関連する第2リスク因子を有する第1の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす、ように備えられて良い。ここで第1未検証S-D処理は、関連する信頼性データ、リスクデータ、及び/又は検証データを有する。   When the evaluation process is executed, the first site may be used. In some examples, the evaluation decision may be made by using data from the first site. One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) are: a) first unverified from the number of required sites on the first wafer to be processed Select the first site with the first unverified site generated by the SD process, b) the first unverified data from the first site with unverified measurement and / or inspection data present on the first S-D wafer C) setting first verification data having verified measurement and / or inspection data for the first site on the first S-D wafer; and d) first unverified data and first verification data; E) set the first confidence value by using the first difference between, e) the first confidence value, the first difference, or the wafer data, or a combination thereof, by using the first confidence value, the first difference for the first unverified SD process. 1) Set risk factors, and f) Set the first total risk factor for the first unverified SD treatment using the first risk factor or a combination of these. G) when the first risk factor is below the new threshold, certify the first unverified SD process as the first verified process with the associated first risk factor and determine the number of sites required Decrease by 1 and increase the number of accessed sites by 1; h) When the first risk factor is greater than or equal to a new threshold, the first unverified SD as the first unverified process with the associated second risk factor You may be prepared to certify the process and increase the number of sites required by one and reduce the number of sites accessed by one. Here, the first unverified SD process has associated reliability data, risk data, and / or verification data.

一部の例では、評価処理が実行されるとき、第1S-Dウエハ上に追加サイトが用いられて良い。たとえば、評価の決定は、第1サイトからのデータ及び第1S-Dウエハ上の1つ以上の追加サイトからのデータを用いることによって行われて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、a)第1S-Dウエハ上の必要なサイト数から第1検証S-D処理を用いて生成された新たな未検証部位を有する新たなサイトを選び、b)第1S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する新たなサイトから新たな未検証データを取得し、c)新たなサイトについて新たな検証データを設定し、d)新たな未検証データと新たな検証データとの間の新たな差異を用いることによって第1S-Dウエハ上の新たなサイトについての新たな信頼値を設定し、e)新たな信頼値、新たな差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての新たな第1リスク因子を設定し、f)ウエハデータ、若しくは新たな第1リスク因子、又はこれらの結合を用いた第1未検証S-D処理についての新たな第1全リスク因子を設定し、g)新たな第1の全リスク因子が新たな閾値以下であるときには、関連する新たな第1の全リスク因子を有する新たな検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やし、h)第1リスク因子が新たな閾値以上であるときには、関連する第2リスク因子を有する新たな未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らし、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返し、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止めるように備えられて良い。   In some examples, additional sites may be used on the first S-D wafer when the evaluation process is performed. For example, the evaluation decision may be made by using data from the first site and data from one or more additional sites on the first S-D wafer. One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) are: a) the first verification SD from the required number of sites on the first S-D wafer. Select a new site with a new unverified site generated using the process, b) New unverified from a new site with unverified measurement and / or inspection data present on the first S-D wafer New data on the first S-D wafer by acquiring data, c) setting new verification data for the new site, and d) using the new difference between the new unverified data and the new verification data New confidence values for new sites, and e) new first risk factors for first unverified SD processing by using new confidence values, new differences, or wafer data, or a combination of these. F) wafer data, or new first risk factor, or a combination of these Set a new first total risk factor for the first unverified SD process used, and g) if the new first total risk factor is less than or equal to the new threshold, the associated new first total risk factor Certify the first unverified SD process as a new verified process that has a reduced number of required sites and increase the number of accessed sites by one, and h) the first risk factor is above the new threshold , Certify the first unverified SD process as a new unverified process with the associated second risk factor, increase the required number of sites by one and reduce the number of accessed sites by one, i) It may be provided to repeat steps a) to h) when the required number of sites is greater than 0, and to stop verification of the first wafer when j) the required number of sites is equal to zero.

他の例では、評価処理が実行されるとき、追加S-Dウエハ上のサイトが用いられて良い。たとえば、評価の決定は、1つ以上のS-Dウエハ上のサイトからのデータを用いることによって行われて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、ウエハデータ、処理状態データ、必要な検証用サイト数、検証用にアクセスされたサイト数、又はこれらの結合を用いて、第1組のS-Dウエハ中の追加S-Dウエハについての追加の処理検証シーケンスを設定し、かつ追加S-Dウエハについての第1未検証S-D処理を決定するようにも備えられて良い。ここで第1未検証S-D処理は、追加の処理検証シーケンスを用いて決定され、かつ1つ以上の処理を有する。   In another example, a site on an additional SD wafer may be used when the evaluation process is performed. For example, the evaluation decision may be made by using data from sites on one or more SD wafers. One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) have access to wafer data, process status data, number of required verification sites, and verification Set the additional process verification sequence for the additional SD wafers in the first set of SD wafers and determine the first unverified SD process for the additional SD wafers using the number of sites or a combination thereof You may be prepared as well. Here, the first unverified SD process is determined using an additional process verification sequence and includes one or more processes.

1つ以上のS-D搬送サブシステム(101、102)は、追加S-Dウエハを、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内のS-D処理装置(112、117、122、127、132、137、142、147、及び157)のうちの1つへ搬送するように備えられて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、1つ以上のS-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第2期間だけ第1S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを有して良い。第2期間後、猶予された第1S-Dウエハは1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内で処理されて良い。   One or more SD transfer subsystems (101, 102) can store additional SD wafers in one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155). It may be provided for transport to one of the SD processing devices (112, 117, 122, 127, 132, 137, 142, 147, and 157). In addition, the one or more SD transfer subsystems (101, 102) can transfer the first S-D wafer for a second period by using the transfer device 104 in the one or more SD transfer subsystems (101, 102). And the transfer device 104 may include two or more wafers. After the second period, the deferred first SD wafer may be processed in one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155).

追加S-Dウエハの搬送後、第1未検証S-D処理が追加S-Dウエハを用いて実行されて良く、かつ第1未検証S-D処理中、第1組のS-D検証用部位が追加の被処理S-Dウエハ上に生成されて良い。第1組のS-D検証用部位は、追加の被処理S-Dウエハ上の第1サイトでの第1検証用部位を有して良い。   After the additional SD wafer is transferred, the first unverified SD process may be performed using the additional SD wafer, and during the first unverified SD process, the first set of SD verification sites is on the additional SD wafer to be processed. May be generated. The first set of S-D verification sites may include a first verification site at a first site on an additional processed S-D wafer.

第1未検証S-D処理が追加のウエハ上で実行されるとき、追加の被処理S-Dウエハが生成されて良い。第1S-D評価装置(137、152)が利用可能であるとき、追加の被処理S-Dウエハは、検査サブシステム135及び評価サブシステム150と結合する1つ以上のS-D搬送サブシステム(101、102)を用いることによって、検査サブシステム135内の第1S-D評価装置137又は第1評価サブシステム150内の第1S-D評価装置152へ搬送されて良い。第1S-D評価装置が利用可能でないとき、追加の被処理S-Dウエハは、1つ以上のS-D搬送サブシステム(101、102)を用いて第3期間だけ搬送が猶予されて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、S-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第3期間だけ追加の被処理S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを支持して良い。第3期間後、第1被処理S-Dウエハは検査サブシステム135及び/又は評価サブシステム150内で評価されて良い。   When the first unverified S-D process is performed on an additional wafer, an additional processed S-D wafer may be generated. When the first S-D evaluation device (137, 152) is available, the additional processed SD wafer is one or more SD transfer subsystems (101, 102) coupled with the inspection subsystem 135 and the evaluation subsystem 150. ) May be transported to the first S-D evaluation device 137 in the inspection subsystem 135 or the first S-D evaluation device 152 in the first evaluation subsystem 150. When the first S-D evaluation apparatus is not available, additional processed S-D wafers may be deferred for a third period using one or more S-D transfer subsystems (101, 102). In addition, one or more SD transfer subsystems (101, 102) can transfer additional processed SD wafers for a third period by using the transfer device 104 in the SD transfer subsystem (101, 102). A grace period may be provided and the transfer device 104 may support more than one wafer. After the third period, the first processed SD wafer may be evaluated in the inspection subsystem 135 and / or the evaluation subsystem 150.

追加の被処理S-Dウエハ上の第1サイトが用いられるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a1)追加の被処理S-Dウエハ上の必要なサイト数から、関連する第1検証用部位を有する第1サイトを選ぶ工程、b1)追加の被処理S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する第1サイトから追加の未検証データを取得する工程、c1)追加のS-Dウエハ上の第1サイトを用いることによって追加の被処理S-Dウエハについて追加検証データを設定する工程であって、前記追加の検証データは検証された測定及び/又は検査データを有する工程、d1)追加の未検証データと追加の検証データとの間の追加の差異を用いることによって追加の被処理S-Dウエハ上の第1サイトについての追加の信頼値を設定する工程、e1)追加の信頼値、追加の差異、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての追加リスク因子を設定する工程、f1)追加のリスク因子、追加の信頼値、追加の差異、第1リスク因子、第1信頼値、第1差異、又はウエハデータを用いた第1未検証S-D処理についての追加の全リスク因子を設定する工程、g1)追加の全リスク因子が追加の閾値以下であるときには、関連する追加の全リスク因子を有する検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やす工程、h1)追加の全リスク因子が新たな閾値以上であるときには、関連する追加第2リスク因子を有する追加の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i1)必要サイト数が0よりも大きいときにはa1)-h2)を繰り返す工程、かつj1)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。   When a first site on an additional processed SD wafer is used, one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) perform the following steps May be provided to perform. The following steps are: a1) a step of selecting a first site having an associated first verification site from the required number of sites on the additional SD wafer to be processed; b1) existing on the additional SD wafer to be processed Obtaining additional unverified data from a first site having unverified measurement and / or inspection data to be performed; c1) additional verification for additional processed SD wafers by using the first site on the additional SD wafer Setting data, wherein the additional verification data comprises verified measurement and / or inspection data; d1) using an additional difference between additional unverified data and additional verification data E1) an additional confidence value, an additional difference, a first confidence value, a first difference, or wafer data, or these data By using a bond F1) Additional risk factors, additional confidence values, additional differences, first risk factors, first confidence values, first differences, or wafer data Setting additional total risk factors for the first unverified SD process using g1, and when all additional risk factors are less than or equal to the additional threshold, verified processes with all associated additional risk factors Certifying the first unverified SD process and reducing the required number of sites by one and increasing the number of accessed sites by one, h1) relevant when all additional risk factors are above the new threshold Approve the first unverified SD process as an additional unverified process with an additional second risk factor, increase the required number of sites by 1 and reduce the number of accessed sites by 1, i1) the required number of sites If greater than 0, repeat a1) -h2) And j1) stopping the verification of the first wafer when the required number of sites is equal to zero.

追加の被処理S-Dウエハ上の追加の必要サイトが用いられるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a2)追加の被処理S-Dウエハ上の必要なサイト数から、第1未検証S-D処理によって生成された第1検証用部位を有する新たなサイトを選ぶ工程、b2)追加の被処理S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する新たなサイトから追加の新たな未検証データを取得する工程、c2)追加の被処理S-Dウエハ上の新たなサイトを用いることによって追加の被処理S-Dウエハについて新たな追加検証データを設定する工程であって、前記新たな追加検証データは検証された測定及び/又は検査データを有する工程、d2)新たな追加の未検証データと新たな追加の検証データとの間の新たな追加の差異を用いることによって追加の被処理S-Dウエハ上の新たなサイト用いて新たな追加の信頼値を設定する工程、e2)新たな追加の信頼値、新たな追加の差異、追加の信頼値、追加の差異、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての新たな追加リスク因子を設定する工程、f2)新たな追加のリスク因子、新たな追加の信頼値、新たな追加の差異、追加のリスク因子、追加の信頼値、追加の差異、第1リスク因子、第1信頼値、第1差異、又はウエハデータを用いた第1未検証S-D処理についての新たな追加の全リスク因子を設定する工程、g2)新たな全リスク因子が新たな追加の閾値限界以下であるときには、関連する新たな追加の全リスク因子を有する検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やす工程、h2)追加の第1リスク因子が新たな閾値以上であるときには、関連する追加第2リスク因子を有する追加の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i2)必要サイト数が0よりも大きいときにはa2)-h2)を繰り返す工程、かつj2)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。   When additional required sites on additional processed SD wafers are used, one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) are: It may be provided to perform the process. The following steps are: a2) a step of selecting a new site having a first verification site generated by the first unverified SD process from the necessary number of sites on the additional SD wafer to be processed; b2) additional Obtaining additional new unverified data from a new site having unverified measurement and / or inspection data existing on the processed SD wafer, and c2) creating a new site on the additional processed SD wafer. A step of setting new additional verification data for an additional SD wafer to be processed, wherein the new additional verification data includes verified measurement and / or inspection data; d2) Setting a new additional confidence value using a new site on an additional processed SD wafer by using a new additional difference between the verification data and the new additional verification data, e2) a new Additional confidence values, New additional risk factors for the first unverified SD process by using new additional differences, additional confidence values, additional differences, first confidence values, first differences, or wafer data, or combinations thereof F2) new additional risk factors, new additional confidence values, new additional differences, additional risk factors, additional confidence values, additional differences, first risk factor, first confidence value, Setting a new additional all risk factor for the first difference or first unverified SD process using wafer data, g2) relevant if all new risk factors are below the new additional threshold limit Certifying the first unverified SD process as a verified process with all the additional risk factors to be added, reducing the number of required sites by one and increasing the number of accessed sites by one, h2) additional The first risk factor is above the new threshold Certifying the first unverified SD process as an additional unverified SD process with an associated additional second risk factor, increasing the required number of sites by one and reducing the number of accessed sites by one, i2 A) repeating steps a2) to h2) when the required number of sites is greater than 0, and j2) stopping the verification of the first wafer when the required number of sites is equal to zero.

猶予された被処理S-Dウエハ上の追加の必要サイトが用いられるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a3)猶予された被処理S-Dウエハ上の残りのサイト数から第1検証用部位を有するサイトを選ぶ工程、b3)猶予された被処理S-Dウエハ上に存在する未検証の測定及び/又は検査データを有するサイトから猶予された未検証データを取得する工程、c3)猶予された被処理S-Dウエハ上のサイトを用いることによって猶予された被処理S-Dウエハについて猶予された検証データを設定する工程であって、前記猶予された検証データは検証された測定及び/又は検査データを有する工程、d3)猶予された未検証データと猶予された検証データとの間の猶予された差異を用いることによって猶予された被処理S-Dウエハ上のサイトについての猶予された信頼値を設定する工程、e3)猶予された信頼値、猶予された差異、追加の信頼値、追加の差異、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての猶予された追加リスク因子を設定する工程、f3)猶予されたリスク因子、猶予された信頼値、猶予された差異、第1リスク因子、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いた第1未検証S-D処理についての猶予された全リスク因子を設定する工程、g3)猶予された全リスク因子が猶予された閾値限界以下であるときには、関連する猶予された全リスク因子を有する検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やす工程、h3)猶予された全リスク因子が追加の閾値以上であるときには、関連する追加第2リスク因子を有する追加の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i3)必要サイト数が0よりも大きいときにはa3)-h3)を繰り返す工程、かつj3)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。   When additional required sites on the deferred processed SD wafer are used, one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) are: It may be provided to execute the process. The following steps are: a3) a step of selecting a site having a first verification site from the number of remaining sites on the post-processed SD wafer; b3) unverified existing on the post-processed SD wafer. Obtaining unverified data that has been suspended from a site that has measurement and / or inspection data; c3) deferred verification for a deferred SD wafer by using a site on the deferred SD wafer. Setting the data, wherein the graced verification data comprises validated measurement and / or inspection data; d3) a grace period between the graced unverified data and the graced validation data. Setting a graced confidence value for a site on a processed SD wafer that is graced by using a difference, e3) a graced confidence value, a graced difference, an additional confidence value, an additional difference, 1 letter Setting a deferred additional risk factor for the first unverified SD process by using the confidence value, first difference, or wafer data, or a combination thereof; f3) a deferred risk factor, a deferred confidence. Setting the value, graced difference, first risk factor, first confidence value, first difference, or wafer data, or all graced risk factors for the first unverified SD process using a combination thereof , G3) Qualify the first unverified SD treatment as a validated treatment with all relevant graced risk factors when all graced risk factors are below the grace threshold threshold, and the number of sites required H3) when all postponed risk factors are greater than or equal to an additional threshold, the first as an additional unverified process with an associated second risk factor Approve the verification SD process, increase the required number of sites by 1 and decrease the number of accessed sites by 1, i3) repeat the steps a3) -h3) when the required number of sites is greater than 0, and j3 ) The step of stopping the verification of the first wafer when the required number of sites is equal to zero.

様々な実施例では、1つ以上の処理装置は、1つ以上のS-Dリソグラフィ関連処理装置、1つ以上のS-Dスキャナ関連処理装置、1つ以上のS-D検査関連処理装置、1つ以上のS-D測定関連処理装置、1つ以上のS-D評価関連処理装置、1つ以上のS-Dエッチング関連処理装置、1つ以上のS-D堆積関連処理装置、1つ以上のS-D熱処理関連処理装置、1つ以上のS-Dコーティング関連処理装置、1つ以上のS-D位置合わせ関連処理装置、1つ以上のS-D研磨関連処理装置、1つ以上のS-D保存関連処理装置、1つ以上のS-D搬送関連処理装置、1つ以上のS-D洗浄関連処理装置、1つ以上のS-D再加工関連処理装置、1つ以上のS-D酸化関連処理装置、1つ以上のS-D窒化関連処理装置、若しくは1つ以上のS-外部処理装置、又はこれらの結合を有して良い。   In various embodiments, the one or more processing devices are one or more SD lithography related processing devices, one or more SD scanner related processing devices, one or more SD inspection related processing devices, one or more SD measurements. Related processing equipment, one or more SD evaluation related processing equipment, one or more SD etching related processing equipment, one or more SD deposition related processing equipment, one or more SD heat treatment related processing equipment, one or more SD coatings Related processing equipment, one or more SD alignment related processing equipment, one or more SD polishing related processing equipment, one or more SD storage related processing equipment, one or more SD transport related processing equipment, one or more SD Cleaning related processing equipment, one or more SD rework related processing equipment, one or more SD oxidation related processing equipment, one or more SD nitriding related processing equipment, or one or more S-external processing equipment, or these May have a bond.

それに加えて、第1未検証S-D処理はリアルタイムでの実行が可能であり、かつ、1つ以上のS-Dリソグラフィ関連処理装置、1つ以上のS-Dスキャナ関連処理装置、1つ以上のS-D検査関連処理装置、1つ以上のS-D測定関連処理装置、1つ以上のS-D評価関連処理装置、1つ以上のS-Dエッチング関連処理装置、1つ以上のS-D堆積関連処理装置、1つ以上のS-D熱処理関連処理装置、1つ以上のS-Dコーティング関連処理装置、1つ以上のS-D位置合わせ関連処理装置、1つ以上のS-D研磨関連処理装置、1つ以上のS-D保存関連処理装置、1つ以上のS-D搬送関連処理装置、1つ以上のS-D洗浄関連処理装置、1つ以上のS-D再加工関連処理装置、1つ以上のS-D酸化関連処理装置、1つ以上のS-D窒化関連処理装置、若しくは1つ以上のS-外部処理装置、又はこれらの結合を有して良い。   In addition, the first unverified SD process can be executed in real time, and one or more SD lithography related processing devices, one or more SD scanner related processing devices, and one or more SD inspection related processings. Equipment, one or more SD measurement related processing equipment, one or more SD evaluation related processing equipment, one or more SD etching related processing equipment, one or more SD deposition related processing equipment, one or more SD heat treatment related processing Equipment, one or more SD coating related processing equipment, one or more SD alignment related processing equipment, one or more SD polishing related processing equipment, one or more SD storage related processing equipment, one or more SD transport related Processing equipment, one or more SD cleaning related processing equipment, one or more SD rework related processing equipment, one or more SD oxidation related processing equipment, one or more SD nitriding related processing equipment, or one or more S -It may have an external processor or a combination of these.

一部の実施例では、未検証データは、S-D強度データ、S-D透過率データ、S-D吸収率データ、S-D反射率データ、S-D回折データ、S-D光学特性データ、S-D画像データ、又はこれらの結合を有して良い。検証データは、履歴データ、ライブラリデータ、光計測データ、イメージングデータ、粒子データ、CD-走査電子顕微鏡(CD-SEM)データ、透過型電子顕微鏡(TEM)データ、及び/又は集束イオンビーム(FIB)データを有して良い。閾値限界はS-Dデータを有して良い。そのS-Dデータには、適合度データ、CDデータ、精度データ、波長データ、側壁データ、粒子データ、プロセスデータ、履歴データ、又はこれらの結合が含まれる。   In some embodiments, the unverified data includes SD intensity data, SD transmission data, SD absorption data, SD reflectance data, SD diffraction data, SD optical property data, SD image data, or a combination thereof. You can do it. Verification data includes historical data, library data, optical measurement data, imaging data, particle data, CD-scanning electron microscope (CD-SEM) data, transmission electron microscope (TEM) data, and / or focused ion beam (FIB) May have data. The threshold limit may include S-D data. The S-D data includes goodness-of-fit data, CD data, accuracy data, wavelength data, sidewall data, particle data, process data, history data, or a combination thereof.

一例では、第1組のS-D検証部位が、露光されたマスクを現像することによって第1S-D被処理ウエハ上に生成される。他の例では、第1組のS-D検証部位は、1層以上の層をエッチングすることによって第1S-D被処理ウエハ上に生成される。他の例では、第1組のS-D検証部位は、堆積されたマスク層を露光することによって第1S-D被処理ウエハ上に生成される。   In one example, a first set of SD verification sites is generated on the first SD processed wafer by developing the exposed mask. In another example, a first set of S-D verification sites is generated on a first S-D processed wafer by etching one or more layers. In another example, a first set of S-D verification sites is generated on a first S-D processed wafer by exposing a deposited mask layer.

本明細書に開示されている様々な実施例では、ウエハは1層以上の層を有して良い。その1層以上の層には、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの結合が含まれる。   In various embodiments disclosed herein, a wafer may have one or more layers. The one or more layers include a semiconductor material, a carbon material, a dielectric material, a glass material, a ceramic material, a metal material, an oxide material, a mask material, or a planarizing material, or a combination thereof.

一部の例では、リソグラフィ関連処理装置は、S-D及び/若しくはS-Dであるマスク層の堆積処理、マスク層の露光処理、並びに/又は現像処理を実行して良く、かつ、評価装置は、S-D及び/若しくはS-Dであるマスク層の堆積処理、マスク層の露光処理、並びに/又は現像処理の検証に用いられて良い。   In some examples, the lithography-related processing device may perform SD and / or SD mask layer deposition processing, mask layer exposure processing, and / or development processing, and the evaluation device may be SD and / or SD. It may also be used for verification of mask layer deposition processing, which is SD, mask layer exposure processing, and / or development processing.

S-D搬送シーケンスは、使用されるS-D搬送サブシステム、使用される搬送装置の数、搬送回数、及び/又は搬送速度を決定するのに用いられて良い。   The SD transport sequence may be used to determine the SD transport subsystem used, the number of transport devices used, the number of transports, and / or the transport speed.

S-Dウエハ状態データは、必要サイト数、アクセスされた(評価/完了した)サイト数、若しくは残りのサイト数、又はこれらの結合に依存して良い。S-D処理状態データは、必要処理数、完了した処理数、若しくは残りの処理数、又はこれらの結合に依存して良い。一場合によっては、すでに測定されたサイトで優れた結果が得られたときには、実際に実行される評価数は本来の数よりも少なくて良い。   The S-D wafer status data may depend on the number of sites required, the number of sites accessed (evaluated / completed), or the number of remaining sites, or a combination thereof. The S-D process status data may depend on the number of required processes, the number of completed processes, or the number of remaining processes, or a combination thereof. In some cases, when excellent results are obtained at an already measured site, the actual number of evaluations performed may be less than the original number.

スループット時間は、1つ以上のウエハを処理するのに必要な処理装置数の決定に用いられて良い。   Throughput time may be used to determine the number of processing devices required to process one or more wafers.

S-D処理が検証されたとき、S-D処理及びS-D処理に関連するデータはライブラリ及び/又はデータベース内に保存されて良い。   When the S-D process is verified, the S-D process and the data associated with the S-D process may be stored in a library and / or database.

製品が開発されるとき、1つ以上のS-Dライブラリが、生成、精緻化、更新、及び/又は使用されて良い。S-D評価ライブラリはサイト依存するS-D部位、特性、構造、処理、像、及び/又は光学データを有して良い。   As the product is developed, one or more S-D libraries may be generated, refined, updated, and / or used. The S-D evaluation library may include site-dependent S-D sites, properties, structures, processes, images, and / or optical data.

処理システム100は、S-D生成処理及び/又はS-D評価処理を用いて、1つ以上のS-D評価ライブラリについてのS-Dデータを生成して良い。   The processing system 100 may generate S-D data for one or more S-D evaluation libraries using an S-D generation process and / or an S-D evaluation process.

一部の実施例では、1つ以上のウエハが、S-D搬送サブシステム(101、102)と結合する1つ以上の処理装置(112、117、122、127、132、137、142、147、及び157)によって受け取られ、かつそのS-D搬送サブシステム(101、102)は処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合して良い。各ウエハは上に1層以上の層を有して良く、かつ関連するウエハデータを有して良い。そのウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。   In some embodiments, one or more wafers are coupled to one or more processing equipment (112, 117, 122, 127, 132, 137, 142, 147, and 157) and its SD transport subsystem (101, 102) is one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) in the processing system 100 ). Each wafer may have one or more layers above it and may have associated wafer data. The wafer data may include historical data and / or real time data.

1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1組のS-Dウエハについてのウエハデータを受け取るように備えられて良い。   One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) may be provided to receive wafer data for the first set of SD wafers. .

1つ以上の処理装置(112、117、122、127、132、137、142、147、及び157)は、1つ以上の第1S-D生成処理を実行して良い。ここで第1数の評価用サイトに1つ以上のライブラリ関連参照用部位を有する第1組の被処理S-Dウエハが生成される。   One or more processing devices (112, 117, 122, 127, 132, 137, 142, 147, and 157) may perform one or more first S-D generation processes. Here, a first set of processed S-D wafers having one or more library-related reference sites at the first number of evaluation sites are generated.

1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、各被処理S-Dウエハについて、多数の必要な生成サイト及び多数の必要な評価サイトを有するS-Dウエハ状態データを設定し、第1S-D評価処理を用いて評価されるべきである、第1数の被処理S-Dウエハを有する第1組の評価用ウエハを設定し、1つ以上のS-D搬送サブシステムと結合する1つ以上のサブシステム内の複数のS-D評価装置についての動作状態を設定し、1つ以上のS-D評価装置についての第1動作状態を用いることによって第1数の利用可能な評価装置を決定し、ウエハデータ、S-Dウエハデータ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合を用いることによって第1S-D搬送シーケンスを設定し、かつS-D評価用ウエハの個数が利用可能な評価装置の個数である第1数よりも多いときに第1補正行為を適用するように備えられて良い。   One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) have a number of required production sites and a number of required for each processed SD wafer. Set up SD wafer status data with an evaluation site, set up a first set of evaluation wafers with a first number of SD wafers to be processed that should be evaluated using the first S-D evaluation process, and 1 First by setting operating states for a plurality of SD evaluation devices in one or more subsystems coupled to one or more SD transport subsystems and using a first operating state for one or more SD evaluation devices First S-D by determining the number of available evaluation devices and using wafer data, SD wafer data, the first number of SD evaluation wafers, or the first number of available evaluation devices, or a combination thereof Set the transfer sequence and use the number of wafers for SD evaluation When more than the first number is the number of the evaluation device may be adapted for applying a first corrective actions.

S-D評価用ウエハの数が利用可能な評価用ウエハの個数である第1数以下であるとき、第1組のS-D評価用ウエハは、第1S-D搬送シーケンスを用いることによって、1つ以上の評価サブシステム(135、150)内の第1数の利用可能な評価装置(137、152)へ搬送されて良い。1つ以上のS-D搬送サブシステム(101、102)は検査サブシステム135及び評価サブシステム150と結合して良い。   When the number of SD evaluation wafers is less than or equal to the first number, which is the number of available evaluation wafers, the first set of SD evaluation wafers can be more than one by using the first SD transport sequence. It may be conveyed to the first number of available evaluation devices (137, 152) in the evaluation subsystem (135, 150). One or more SD transport subsystems (101, 102) may be coupled to the inspection subsystem 135 and the evaluation subsystem 150.

それに加えて、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、a)ウエハデータ、第1S-D生成処理からのデータ、S-Dウエハ状態データ、若しくはS-D評価ライブラリ生成規則、又はこれらの結合を用いることによって各S-D評価用ウエハについて必要な評価サイト数を決定し、b)第1被処理ウエハ上の必要なサイト数から、第1 S-D生成処理を用いて生成された第1ライブラリ関連の参照用部位を有する第1サイトを選び、c)第1S-D評価用ウエハ上に存在する第1ライブラリ関連の測定及び/又は検査データを有する第1サイトから第1ライブラリ関連の評価データを取得し、d)第1S-D評価用ウエハ上の第1サイトについて、予測された測定及び/又は検査データを有する第1予測データを設定し、e)第1ライブラリ関連の評価データと第1予測データを用いて計算された第1ライブラリ関連の差異を用いることによって第1S-D評価用ウエハ上の第1サイトについての第1信頼値を設定し、f)第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1 S-D評価用ウエハ上の第1サイトについての第1リスク因子を設定し、g)第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いた第1S-D評価用ウエハ上の第1サイトについての第1全リスク因子を設定し、h)第1全リスク因子が第1ライブラリ関連の生成基準以下であるときには、関連する第1全リスク因子を有する第1検証サイトとして第1S-D評価用ウエハ処理上の第1サイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やし、かつ第1サイトに関連するデータをS-D評価ライブラリ内の検証されたデータとして保存し、かつh)前記第1の検証されたサイトが検証されたライブラリ関連データを有するときには、関連する第2リスク因子を有する第1の未検証サイトとして第1サイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす、ように備えられて良い。ここで第1検証されたサイトは、検証されたライブラリ関連データを有する。   In addition, one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) a) wafer data, data from the first S-D generation process The number of evaluation sites required for each SD evaluation wafer is determined by using the SD wafer status data, the SD evaluation library generation rule, or a combination thereof, and b) from the required number of sites on the first wafer to be processed. Selecting a first site having a reference site related to the first library generated using the first SD generation process, and c) measurement related to the first library existing on the first S-D evaluation wafer and / or Obtain evaluation data related to the first library from the first site having inspection data, and d) first prediction data having predicted measurement and / or inspection data for the first site on the first S-D evaluation wafer. E) Evaluation data related to the first library and the first schedule Set the first confidence value for the first site on the first S-D evaluation wafer by using the difference related to the first library calculated using the data, f) First confidence value, first library related The first risk factor for the first site on the first SD evaluation wafer by using the difference or wafer data, or a combination thereof, and g) the first risk factor, the first confidence value, the first Set the first total risk factor for the first site on the first S-D evaluation wafer using the library-related differences, or wafer data, or a combination of these, and h) the first total risk factor is the first library If it is below the relevant generation criteria, the first site on the first S-D evaluation wafer process is certified as the first verification site with the first relevant all risk factors, and the number of required sites is reduced by one. Increase the number of sites visited by one, Storing data associated with the first site as validated data in the SD assessment library, and h) when the first validated site has validated library-related data, the associated second risk factor The first site may be certified as the first unverified site with the number of sites required increased by one and the number of sites accessed may be reduced by one. Here, the first verified site has verified library-related data.

S-D評価ライブラリが生成されるとき、第1S-D評価用ウエハ上の新たなサイトが用いられ、かつ1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の必要なサイト数から、第1S-D生成処理を用いて生成された新たなライブラリ関連の参照用部位を有する新たなサイトを選ぶ工程、b)第1S-D評価用ウエハ上に存在するライブラリ関連の測定及び/又は検査データを有する新たなサイトから新たなライブラリ関連の評価データを取得する工程、c)第1S-D評価用ウエハ上の新たなサイトについて新たな予測データを設定する工程であって、前記新たな予測データは新たに予測された測定及び/又は検査データを有する工程、d)新たなライブラリ関連データと新たな予測データを用いることによって計算された新たなライブラリ関連の差異を用いることによって第1S-D評価用ウエハ上の新たなサイトについての新たな信頼値を設定する工程、e)新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1 S-D評価用ウエハ上の新たなサイトについての新たなリスク因子を設定する工程、f)新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いた第1S-D評価用ウエハ上の新たなサイトについての新たな全リスク因子を設定する工程、g)新たな全リスク因子が新たなライブラリ関連の生成基準限界以下であるときには、関連する新たな全リスク因子を有する新たな検証されたサイトとして第1 S-D評価用ウエハ上の新たなサイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ新たなサイトに関連するデータを評価ライブラリ内の検証されたデータとして保存する工程、h)新たな全リスク因子が新たなライブラリ関連の生成基準限界以上であるときには、関連する新たな第2リスク因子を有する新たな未検証サイトとして第1 S-D評価用ウエハ上の新たなサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返す工程、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。   When the SD evaluation library is generated, a new site on the first S-D evaluation wafer is used and one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154 159 and 195) may be provided to perform the following steps. The following steps are: a) a new site having a new library-related reference site generated using the first S-D generation process from the number of necessary sites on the first S-D evaluation wafer. Selecting step, b) obtaining new library-related evaluation data from a new site having library-related measurement and / or inspection data existing on the first S-D evaluation wafer, c) first S-D evaluation Setting new prediction data for a new site on the wafer for processing, wherein the new prediction data includes newly predicted measurement and / or inspection data; d) new library related data and new Setting a new confidence value for a new site on the first S-D evaluation wafer by using a new library-related difference calculated by using a new prediction data, e) a new confidence value, new Setting new risk factors for new sites on the first SD evaluation wafer by using library related differences, first confidence values, first library related differences, or wafer data, or a combination thereof F) a new risk factor, a new confidence value, a new library related difference, a first risk factor, a first confidence value, a first library related difference, or wafer data, or a first S using these combinations -D, setting all new risk factors for new sites on the evaluation wafer, g) if all new risk factors are below the new library-related generation criteria limit, all related new risk factors Qualify new sites on the 1st SD evaluation wafer as new verified sites with reduced number of sites required and increased number of accessed sites by 1 And storing the data associated with the new site as verified data in the assessment library, h) if all new risk factors are greater than or equal to the new library-related generation criteria limit, Certifying a new site on the first SD evaluation wafer as a new unverified site with the second risk factor, increasing the required number of sites by one and reducing the number of accessed sites by one, i) A) repeating steps a) to h) when the required number of sites is greater than 0, and j) stopping the verification of the first wafer when the required number of sites is equal to zero.

S-D評価ライブラリが生成されるとき、第1S-D評価用ウエハ上の追加のサイトが用いられ、かつ1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a1)追加のS-D評価用ウエハを選ぶ工程、b1)追加のS-D評価用ウエハについての第1数の必要なサイトを決定する工程、c1)追加のS-D評価用ウエハ上の第1数の必要サイト数から追加サイトを選ぶ工程であって、前記追加サイトは、第1S-D生成処理を用いて生成された追加のライブラリ関連参照(評価)用部位を有する工程、d1)追加のS-D評価用ウエハ上の追加サイトから追加のライブラリ関連評価データを取得する工程であって、前記追加サイトは追加のライブラリ関連の測定及び/又は検査データを有する工程、e1)追加のS-D評価用ウエハ上の追加サイトについて追加の予測データを設定する工程、f1)追加のライブラリ関連評価データと追加の予測データを用いることによって計算された追加のライブラリ関連の差異を用いることによって追加のS-D評価用ウエハ上の追加サイトについて追加の信頼値を設定する工程、g1)追加の信頼値、追加のライブラリ関連の差異、新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加のS-D評価用ウエハ上の追加サイトについての追加のリスク因子を設定する工程、h1)追加のリスク因子、追加の信頼値、追加のライブラリ関連の差異、新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いた追加のS-D評価用ウエハ上の追加サイトについて追加の全リスク因子を設定する工程、i1)追加の全リスク因子が追加のライブラリ関連の生成基準限界以下であるときには、関連する追加の全リスク因子を有する追加の検証されたサイトとして追加の S-D評価用ウエハ上の追加サイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ追加サイトに関連するデータを評価ライブラリ内の検証されたデータとして保存する工程、j1)追加の全リスク因子が追加のライブラリ関連の生成基準限界以上であるときには、関連する追加の第2リスク因子を有する追加の未検証サイトとして追加のS-D評価用ウエハ上の追加サイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、k1)必要サイト数が0よりも大きいときにはa1)-j1)を繰り返す工程、かつl1)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。   When the SD evaluation library is generated, additional sites on the first S-D evaluation wafer are used and one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154 159 and 195) may be provided to perform the following steps. The following steps are: a1) a step of selecting an additional SD evaluation wafer, b1) a step of determining the first required site for the additional SD evaluation wafer, c1) on the additional SD evaluation wafer Selecting an additional site from the first required number of sites, wherein the additional site includes an additional library-related reference (evaluation) site generated using the first S-D generation process, d1 A) obtaining additional library-related evaluation data from an additional site on an additional SD evaluation wafer, wherein the additional site has additional library-related measurement and / or inspection data; e1) additional SD Setting additional prediction data for additional sites on the evaluation wafer; f1) by using additional library-related differences calculated by using additional library-related evaluation data and additional prediction data. G1) additional confidence values, additional library-related differences, new confidence values, new library-related differences, first Setting additional risk factors for additional sites on additional SD evaluation wafers by using confidence values, first library related differences, or wafer data, or combinations thereof, h1) additional risk factors, Additional confidence values, additional library-related differences, new risk factors, new confidence values, new library-related differences, first risk factors, first confidence values, first library-related differences, or wafer data, Or the process of setting all additional risk factors for additional sites on additional SD evaluation wafers using these combinations, i1) additional all risk factors are associated with additional libraries When below the production criteria limit, additional sites on additional SD evaluation wafers are qualified as additional verified sites with all associated additional risk factors and are accessed with one fewer site requirement. Storing the data related to the additional sites as verified data in the evaluation library, j1) When all the additional risk factors are above the additional library-related generation criteria limit Qualify additional sites on additional SD evaluation wafers as additional unverified sites with additional second risk factors associated with them, increasing the number of required sites by one and reducing the number of accessed sites by one Steps k1) Repeat steps a1) to j1) when the required number of sites is greater than 0, and l1) Stop verification of the first wafer when the required number of sites is equal to 0.

一部の例では、第1補正行為が実行されるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1数のS-D処理ウエハと第1数の利用可能な処理装置との差異を用いることによって第1数の猶予されたS-Dウエハを決定するように備えられて良く、かつ1つ以上のS-D搬送サブシステム(101、102)内の1つ以上の搬送装置104は、第1期間の間、第1数の猶予されたウエハを保存及び/又は猶予するように備えられて良い。   In some examples, when the first corrective action is performed, one or more control devices (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) are One or more SD transport subsystems may be provided to determine the first number of deferred SD wafers by using the difference between the number of SD processing wafers and the first number of available processing equipment. One or more transfer devices 104 in (101, 102) may be provided to store and / or suspend a first number of graced wafers during a first time period.

他の例では、第1補正行為が実行されるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1数のS-D評価用ウエハと第1数の利用可能な評価装置の差異を用いて第1数の猶予されたS-Dウエハを決定し、第1の猶予されたS-D評価用ウエハについての更新されたS-Dウエハ状態データを決定し、第1処理サブシステム内の1つ以上のS-D処理装置についての更新された動作状態データを決定し、第1の猶予されたS-D評価用ウエハについての第1の更新された搬送シーケンスを決定し、更新された動作状態データを用いることによって1つ以上の新たに利用可能となったS-D処理装置を特定し、かつ第1の新たに利用可能となったS-D評価装置が利用可能でないときには第2補正行為を適用するように備えられて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)内の1つ以上の搬送装置104は、1つ以上の新たに利用可能となったS-D処理装置が利用可能となったときには、第1の更新された搬送シーケンスを用いることによって、1つ以上の猶予されたウエハを搬送するように備えられて良い。   In another example, when the first corrective action is performed, one or more control devices (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) are the first number. The difference between the first SD evaluation wafer and the first available evaluation device is used to determine the first number of deferred SD wafers and an updated SD wafer for the first deferred SD evaluation wafer Determine status data, determine updated operational status data for one or more SD processing devices in the first processing subsystem, and first updated for the first deferred SD evaluation wafer By determining the transfer sequence and using the updated operating state data, one or more newly available SD processing devices are identified and used by the first newly available SD evaluation device It may be provided to apply a second corrective action when not possible. In addition, one or more transport devices 104 in one or more SD transport subsystems (101, 102) can be used when one or more newly available SD processing devices are available. By using the first updated transfer sequence, it may be provided to transfer one or more deferred wafers.

追加の例では、補正行為は、処理を中止する工程、処理を中断する工程、1つ以上のS-D評価用ウエハを再評価する工程、1つ以上のS-D評価用ウエハを再測定する工程、1つ以上のS-D評価用ウエハを再検査する工程、1つ以上のS-D評価用ウエハを再加工する工程、1つ以上のS-D評価用ウエハを保存する工程、1つ以上のS-D評価用ウエハを洗浄する工程、1つ以上のS-D評価用ウエハの搬送を猶予する工程、若しくは1つ以上のS-D評価用ウエハを取り除く工程、又はこれらを結合した工程を有して良い。   In an additional example, the corrective action includes the steps of suspending the process, suspending the process, reevaluating one or more SD evaluation wafers, re-measuring one or more SD evaluation wafers, 1 Re-inspecting one or more SD evaluation wafers, reworking one or more SD evaluation wafers, storing one or more SD evaluation wafers, cleaning one or more SD evaluation wafers A step of delaying the conveyance of one or more SD evaluation wafers, a step of removing one or more SD evaluation wafers, or a step of combining them.

1組の追加処理工程は、被処理S-DウエハについてのS-D信頼性マップを計算する工程であって、前記S-D信頼性マップのうちの第1S-D信頼性マップは、各被処理S-Dウエハ上の第1数の評価サイトで生成された1つ以上のライブラリ関連の参照用部位についての信頼性データを有する、工程、及び、被処理S-Dウエハについての信頼性マップを用いることによって第1組の評価用ウエハを設定する工程を有して良い。   One set of additional processing steps is a step of calculating an SD reliability map for the SD wafer to be processed, and the first SD reliability map of the SD reliability maps is calculated on each SD wafer to be processed. A first set of evaluations by using a reliability map for the process and SD wafer to be processed, having reliability data for one or more library-related reference sites generated at the first number of evaluation sites There may be a step of setting a wafer.

第2組の追加処理工程は、被処理S-DウエハについてのS-D信頼性マップを計算する工程であって、前記S-D信頼性マップのうちの第1S-D信頼性マップは、各被処理S-Dウエハ上の第1数の評価サイトで生成された1つ以上のライブラリ関連の参照用部位についての信頼性データを有する、工程、第1S-D信頼性マップでの1つ以上の値が第1信頼性限界内でないときには、必要な評価サイトの数を1つ以上減らす工程、及び、第1S-D信頼性マップでの1つ以上の値が第1信頼性限界内であるときには、必要な評価サイトの数を1つ以上増やす工程、を有して良い。   The second set of additional processing steps is a step of calculating an SD reliability map for the SD wafer to be processed, and the first SD reliability map of the SD reliability maps is calculated on each SD wafer to be processed. Process, with reliability data for one or more library-related reference sites generated at the first number of evaluation sites, at least one value in the first S-D reliability map is the first reliability If not within the limits, reduce the number of required evaluation sites by one or more, and if one or more values in the first S-D reliability map are within the first reliability limits, A step of increasing the number by one or more.

第3組の追加処理工程は、被処理S-DウエハについてのS-Dリスク評価マップを計算する工程であって、前記S-D信頼性マップのうちの第1リスク評価は、各被処理S-Dウエハ上の第1数の評価サイトで生成された1つ以上のライブラリ関連の参照用部位についてのリスク評価を有する、工程、第1S-Dリスク評価での1つ以上の値が第1信頼性限界内でないときには、必要な評価サイトの数を1つ以上減らす工程、及び、第1S-Dリスク評価での1つ以上の値が第1信頼性限界内であるときには、必要な評価サイトの数を1つ以上増やす工程、を有して良い。   The third set of additional processing steps is a step of calculating an SD risk evaluation map for the SD wafer to be processed, and the first risk evaluation in the SD reliability map is the first risk evaluation on each SD wafer to be processed. A risk assessment for one or more library-related reference sites generated at a number of assessment sites, when one or more values in the first S-D risk assessment are not within the first confidence limits, The process of reducing the number of required evaluation sites by one or more, and when one or more values in the first S-D risk evaluation are within the first reliability limit, increase the number of required evaluation sites by one or more A process.

代替実施例では、第1組の非S-Dウエハが決定され、これらのウエハは第1非S-D処理シーケンスを用いて処理され、かつ第1非S-D処理シーケンスは1つ以上の非S-D処理を有して良い。第1組の非S-Dウエハは、S-D搬送サブシステムを用いることによって、1つ以上の第1サブシステム内の1つ以上の第1非S-D処理装置へ搬送されて良く、かつ第1非S-D処理シーケンスは、1つ以上の第1サブシステム内の1つ以上の第1非S-D処理装置の決定に用いられて良い。   In an alternative embodiment, a first set of non-SD wafers is determined, these wafers are processed using a first non-SD process sequence, and the first non-SD process sequence has one or more non-SD processes. Good. The first set of non-SD wafers may be transferred to one or more first non-SD processing equipment in one or more first subsystems by using the SD transfer subsystem and the first non-SD processing. The sequence may be used to determine one or more first non-SD processing devices in one or more first subsystems.

一部の実施例では、S-D評価ライブラリデータは、適合度データ、生成規則データ、S-D測定データ、S-D検査データ、S-D検証データ、S-Dマップデータ、S-D信頼性データ、S-D精度データ、S-Dプロセスデータ、若しくはS-D均一性データ、又はこれらの結合を含んで良い。   In some examples, the SD evaluation library data includes fitness data, production rule data, SD measurement data, SD inspection data, SD verification data, SD map data, SD reliability data, SD accuracy data, SD process data, Or SD uniformity data, or a combination thereof.

図2は、本発明の実施例によるS-D処理を用いたウエハ処理方法の典型的フローダイアグラムを表している。ウエハは1層以上の層を有して良い。前記1層以上の層には、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、ドーピング材料、マスク材料、若しくは平坦化材料、又はこれらの結合が含まれる。場合によっては、S-D処理は製造サイクル全体を通じて用いられても良い。また場合によっては、より重要な処理工程が実行されるときには、S-D処理は製造サイクルの早い段階で用いられても良い。一部の例では、S-D処理は、nMOS構造とpMOS構造との間での移動度の差を生じさせ、テスト構造の位置を特定し、線幅の粗さ及び/又は線端部の粗さを改善し、かつオーバーレイ問題を改善するのに用いられて良い。   FIG. 2 shows an exemplary flow diagram of a wafer processing method using SD processing according to an embodiment of the present invention. The wafer may have one or more layers. The one or more layers include a semiconductor material, a carbon material, a dielectric material, a glass material, a ceramic material, a metal material, an oxide material, a doping material, a mask material, a planarizing material, or a combination thereof. In some cases, the S-D process may be used throughout the manufacturing cycle. Also, in some cases, when more important processing steps are performed, the S-D process may be used early in the manufacturing cycle. In some cases, the SD process creates a mobility difference between the nMOS and pMOS structures, locates the test structure, and provides linewidth roughness and / or line end roughness. And can be used to improve overlay problems.

一部の例では、ウエハデータは、リアルタイムデータ、履歴データ、S-D信頼性データ、非S-D信頼性データ、S-Dリスクデータ、非S-Dリスクデータ、S-D限界データ、若しくは非S-D限界データ、又はこれらの結合を有して良い。   In some examples, the wafer data is real-time data, historical data, SD reliability data, non-SD reliability data, SD risk data, non-SD risk data, SD limit data, or non-SD limit data, or a combination thereof. You may have.

205では、1つ以上のウエハが、処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)によって受け取られて良い。一部の実施例では、1つ以上のウエハが、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合する1つ以上の搬送サブシステム(101、102)によって受け取られて良い。あるいはその代わりに、1つ以上のウエハは異なるサブシステムによって受け取られて良い。それに加えて、システム制御装置195は、1つ以上のウエハについてのウエハデータを受け取るのに用いられて良い。あるいはその代わりに、一部のウエハデータが異なる制御装置によって受け取られても良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。たとえば、ウエハデータはS-Dデータ及び/又は非S-Dデータを有して良い。前記S-Dデータ及び/又は非S-Dデータには、1つ以上のウエハについての、ウエハ関連マップ、処理関連マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、リスクマップ、検査マップ、検証マップ、評価マップ、粒子マップ、及び/又は(複数の)信頼性マップが含まれて良い。一部の場合では、MES180は、システム制御装置195及び1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)とデータをやり取りして良く、かつ、データは処理シーケンス及び/若しくは搬送シーケンスの決定並びに/又は制御に用いられて良い。やり取りされたデータは、各ウエハについていずれのS-D及び/又は非S-D処理を用いるのかを決定するのに用いられて良い。そのデータには、システムデータ、サブシステムデータ、チャンバデータ、製品データ、センサデータ、及び履歴データが含まれて良い。   At 205, one or more wafers may be received by one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) in the processing system 100. In some embodiments, one or more transports in which one or more wafers are combined with one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) Can be received by the subsystem (101, 102). Alternatively, one or more wafers may be received by different subsystems. In addition, the system controller 195 can be used to receive wafer data for one or more wafers. Alternatively, some wafer data may be received by different controllers. Wafer data may include historical data and / or real-time data. For example, the wafer data may include S-D data and / or non-S-D data. The SD data and / or non-SD data includes a wafer-related map, a process-related map, a damage evaluation map, a reference map, a measurement map, a prediction map, a risk map, an inspection map, and a verification map for one or more wafers. An evaluation map, particle map, and / or reliability map (s) may be included. In some cases, MES 180 may exchange data with system controller 195 and one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155), In addition, the data may be used to determine and / or control the processing sequence and / or transport sequence. The exchanged data may be used to determine which SD and / or non-S-D processing is used for each wafer. The data may include system data, subsystem data, chamber data, product data, sensor data, and history data.

ウエハはS-Dウエハ及び/又は非S-Dウエハを有して良い。各S-DウエハについてS-Dウエハ状態データが設定されて良く、各非S-Dウエハについて非S-Dウエハ状態データが設定されて良い。   The wafer may comprise an S-D wafer and / or a non-S-D wafer. S-D wafer state data may be set for each S-D wafer, and non-S-D wafer state data may be set for each non-S-D wafer.

210では、S-DウエハについてのS-D処理及び/又は搬送シーケンスが、ウエハデータ及びS-Dウエハ状態データを用いることによって設定されて良い。非S-Dウエハについての非S-D処理及び/又は搬送シーケンスが、ウエハデータ及び非S-Dウエハ状態データを用いることによって設定されて良い。あるいはその代わりに他のシーケンス及び追加データが用いられても良い。   At 210, an S-D process and / or transfer sequence for the S-D wafer may be set by using wafer data and S-D wafer state data. Non-S-D processing and / or transfer sequences for non-S-D wafers may be set by using wafer data and non-S-D wafer state data. Alternatively, other sequences and additional data may be used instead.

検証関連シーケンスが、S-D処理、S-Dウエハ、及び/又はS-Dライブラリ内で用いられるサイトを検証するために設定されて良い。検証関連シーケンスは、S-D生成処理、S-D搬送処理、S-D検証処理、S-D評価処理、S-D測定処理、S-D検査処理、又はこれらの結合を有して良い。あるいはその代わりに非S-D処理が含まれても良い。1つ以上のS-Dウエハが1つ以上のプロセス関連処理を用いて処理されて良く、かつプロセス検証処理シーケンスを用いて検証されて良い。   Verification related sequences may be set up to verify sites used in S-D processing, S-D wafers, and / or S-D libraries. The verification related sequence may include an S-D generation process, an S-D transport process, an S-D verification process, an S-D evaluation process, an S-D measurement process, an S-D inspection process, or a combination thereof. Alternatively, non-S-D processing may be included. One or more S-D wafers may be processed using one or more process related processes and verified using a process verification process sequence.

S-D処理におけるサイトは、トランジスタ内のゲート構造、トランジスタ内のドレイン構造、トランジスタ内のソース構造、キャパシタ構造、ビア構造、溝構造、2次元メモリ構造、3次元メモリ構造、側壁角、底部限界寸法(CD)、上部CD、中間CD、アレイ、周期構造、位置合わせ部位、ドーピング部位、歪み部位、損傷構造、若しくは参照用構造、又はこれらの結合に関連づけられて良い。   Sites in SD processing include gate structure in transistor, drain structure in transistor, source structure in transistor, capacitor structure, via structure, trench structure, 2D memory structure, 3D memory structure, sidewall angle, bottom critical dimension ( CD), top CD, intermediate CD, array, periodic structure, alignment site, doping site, strain site, damage structure, or reference structure, or a combination thereof.

S-D処理シーケンス及び/又は非S-D処理シーケンスは、1つ以上のマスク生成処理、1つ以上の堆積処理、1つ以上のコーティング処理、1つ以上のエッチング処理、1つ以上の熱処理、1つ以上の注入処理、1つ以上のドーピング処理、1つ以上の露光処理、1つ以上の酸化処理、1つ以上の窒化処理、1つ以上のイオン化処理、1つ以上の現像処理、1つ以上のリソグラフィ処理、1つ以上のスキャナ関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の保存処理、1つ以上の搬送処理、1つ以上のロードロック処理、若しくは1つ以上の洗浄処理、又はこれらの結合を有して良い。   An SD processing sequence and / or a non-SD processing sequence may include one or more mask generation processes, one or more deposition processes, one or more coating processes, one or more etching processes, one or more heat treatments, one or more. Implantation treatment, one or more doping treatments, one or more exposure treatments, one or more oxidation treatments, one or more nitriding treatments, one or more ionization treatments, one or more development treatments, one or more development treatments Lithographic processing, one or more scanner related processing, one or more measurement processing, one or more inspection processing, one or more evaluation processing, one or more simulation processing, one or more prediction processing, one or more prediction processing There may be a rework process, one or more storage processes, one or more transport processes, one or more load lock processes, or one or more cleaning processes, or a combination thereof.

一部の例では、S-D処理シーケンスは、より少ない数のウエハを用いて実行できる前処理及び/又は後処理を有して良い。前処理及び/又は後処理は、S-Dであって、かつ処理、評価、測定、検査、検証、及び/又は損傷評価処理を有して良い。製品の寿命の間、処理シーケンスは製品が十分な品質となるまで何回も変化して良い。前処理及び/又は後処理の程度は、それぞれのウエハ及び/又は回数で異なって良い。一部のウエハは、検証用、検査用、評価用、損傷評価用、試験用、及び/又は先行(Send-Ahead)ウエハとして認定されて良く、かつ前処理及び/又は後処理は、これらのウエハの一部の上で行われて良い。製品が十分に開発及び/又は検証されるとき、プロセス結果はばらつく場合があり、かつ追加処理がより多数のウエハ上で行われる場合がある。たとえば追加S-D処理が必要なときには、前処理及び/又は後処理が、ウエハ上の所定のサイト数を用いて実行されて良い。   In some examples, the S-D processing sequence may include pre-processing and / or post-processing that can be performed using a smaller number of wafers. The pre-processing and / or post-processing is S-D and may include processing, evaluation, measurement, inspection, verification, and / or damage evaluation processing. During the life of the product, the processing sequence may change many times until the product is of sufficient quality. The degree of pre-processing and / or post-processing may be different for each wafer and / or number of times. Some wafers may be qualified as verification, inspection, evaluation, damage assessment, test, and / or Send-Ahead wafers, and pre-processing and / or post-processing may be It may be performed on a part of the wafer. When a product is fully developed and / or verified, process results may vary and additional processing may be performed on a larger number of wafers. For example, when additional SD processing is required, pre-processing and / or post-processing may be performed using a predetermined number of sites on the wafer.

215では、各S-Dウエハについての必要な生成処理数が、1つ以上のS-D検証関連シーケンス、ウエハデータ、S-Dウエハ状態データ、及び必要に応じて他のデータを用いることによって決定されて良い。それに加えて、各非S-Dウエハについての必要な生成処理数が、1つ以上の非S-D検証関連シーケンス、ウエハデータ、非S-Dウエハ状態データ、及び必要に応じて他のデータを用いることによって決定されて良い。あるいはその代わりに追加データが用いられても良い。   In 215, the required number of generation processes for each S-D wafer may be determined by using one or more S-D verification related sequences, wafer data, S-D wafer status data, and other data as required. In addition, the required number of generations for each non-SD wafer is determined by using one or more non-SD verification related sequences, wafer data, non-SD wafer status data, and other data as required. Good. Alternatively, additional data may be used instead.

場合によっては、ウエハ状態データは、必要な処理関連サイト数、アクセスされた処理関連サイト数、若しくは残りの処理関連サイト数、又はこれらの結合を有して良い。各「処理される予定の」S-DウエハについてS-D生成処理が決定されて良い。S-D生成処理は1つ以上のプロセス関連処理を有して良い。S-D生成処理は、S-D処理サブシステム及び/又は使用される処理サブシステム内でのS-D処理装置の識別に用いられて良い。   In some cases, the wafer status data may include the number of process related sites required, the number of process related sites accessed, or the number of remaining process related sites, or a combination thereof. An S-D generation process may be determined for each “to be processed” S-D wafer. The S-D generation process may include one or more process related processes. The S-D generation process may be used to identify an S-D processing device within the S-D processing subsystem and / or the processing subsystem used.

220では、各S-Dウエハについての必要な評価処理数が、1つ以上のS-D処理シーケンス、ウエハデータ、及びS-Dウエハデータを用いることによって決定されて良い。それに加えて、各非S-Dウエハについての必要な評価処理数が、1つ以上の非S-D処理シーケンス、ウエハデータ、及び非S-Dウエハデータを用いることによって決定されて良い。あるいはその代わりに追加データが用いられても良い。   At 220, the required number of evaluation processes for each S-D wafer may be determined by using one or more S-D processing sequences, wafer data, and S-D wafer data. In addition, the required number of evaluation processes for each non-S-D wafer may be determined by using one or more non-S-D process sequences, wafer data, and non-S-D wafer data. Alternatively, additional data may be used instead.

場合によっては、ウエハ状態データは、必要な評価関連サイト数、アクセスされた評価関連サイト数、若しくは残りの評価関連サイト数、又はこれらの結合を有して良い。「評価される予定の」サイト、ウエハ、処理、及び/又はライブラリについてS-D評価処理が決定されて良い。S-D評価処理は、1つ以上の検証、評価、測定、検査、及び/又はテスト処理を有して良い。それに加えて、「検証される予定の」サイト、ウエハ、処理、及び/又はライブラリについてS-D評価処理が決定されて良い。S-D評価サブシステム及び/又は使用されるS-D評価装置は、使用される検証サブシステム内でのS-D評価処理を特定するのに用いられて良い。ウエハ状態データは、必要な検証関連サイト数、アクセスされた検証関連サイト数、若しくは残りの検証関連サイト数、又はこれらの結合を有して良い。   In some cases, the wafer status data may include the required number of evaluation related sites, the number of accessed evaluation related sites, or the remaining number of evaluation related sites, or a combination thereof. An S-D evaluation process may be determined for a “to be evaluated” site, wafer, process, and / or library. The S-D evaluation process may include one or more verification, evaluation, measurement, inspection, and / or test processes. In addition, an S-D evaluation process may be determined for a “to be verified” site, wafer, process, and / or library. The S-D evaluation subsystem and / or the S-D evaluation device used may be used to identify the S-D evaluation process within the verification subsystem used. The wafer state data may include the number of verification related sites required, the number of verification related sites accessed, or the number of remaining verification related sites, or a combination thereof.

他の場合では、ウエハ状態データは、必要な検証サイト数、アクセスされた検証関連サイト数、若しくは残りの検証関連サイト数、又はこれらの結合を有して良い。「検証される予定の」サイト、ウエハ、処理、及び/又はライブラリについてS-D検証処理が決定されて良い。S-D検証処理は、1つ以上の検証、評価、測定、検査、及び/又はテスト処理を有して良い。S-D検証処理は、S-D検証サブシステム及び/又は使用される検証サブシステム内のS-D検証装置を特定するのに用いられて良い。   In other cases, the wafer status data may include the number of verification sites required, the number of verification related sites accessed, or the number of remaining verification related sites, or a combination thereof. An SD verification process may be determined for a site, wafer, process, and / or library that is to be verified. The S-D verification process may include one or more verification, evaluation, measurement, inspection, and / or test processes. The S-D verification process may be used to identify the S-D verification subsystem in the S-D verification subsystem and / or the verification subsystem used.

225では、各S-Dウエハについての1つ以上のS-D搬送シーケンスが、S-Dシーケンスデータ、搬入データ、利用可能性データ、動作状態データ、処理データ、システムデータ、サブシステムデータ、ウエハデータ、若しくはS-Dウエハ状態データ、又はこれらの結合を用いることによって設定されて良い。それに加えて、各非S-Dウエハについて1つ以上の非S-D搬送シーケンスが設定されても良い。あるいはその代わりに異なるデータが用いられても良い。   In 225, one or more SD transfer sequences for each SD wafer are SD sequence data, import data, availability data, operational status data, processing data, system data, subsystem data, wafer data, or SD wafer status. It may be set by using data or a combination of these. In addition, one or more non-S-D transfer sequences may be set for each non-S-D wafer. Alternatively, different data may be used instead.

一部の例では、第1S-D搬送シーケンスが決定され、かつその第1S-D搬送シーケンスは第1ウエハ又は第1群のウエハを搬送するのに用いられて良い。第1ウエハ又は第1群のウエハからのデータは、他の関連ウエハに関する判断を行うのに用いられても良い。1つ以上の「高品質」ウエハ及び/又は「高性能」チャンバが処理中に用いられても良い。それに加えて、搬送及び/又は処理シーケンスは、「第1ウエハ効果」を除去及び/又は緩和するのに用いられて良い。S-D搬送シーケンスは、使用されるS-D搬送サブシステム、使用される搬送装置数、搬入順序、搬送回数、及び/又は搬送速度を決定するのに用いられて良い。   In some examples, a first SD transport sequence may be determined and the first SD transport sequence may be used to transport a first wafer or a first group of wafers. Data from the first wafer or the first group of wafers may be used to make decisions regarding other related wafers. One or more “high quality” wafers and / or “high performance” chambers may be used during processing. In addition, the transfer and / or processing sequence can be used to remove and / or mitigate the “first wafer effect”. The SD transport sequence may be used to determine the SD transport subsystem used, the number of transport devices used, the order of loading, the number of transports, and / or the transport speed.

リソグラフィ関連シーケンスが実行されるとき、リソグラフィ関連生成処理を用いることによって、1つ以上のS-Dウエハ上の1つ以上の位置に1つ以上のリソグラフィ関連評価部位が生成されて良く、かつ、リソグラフィ関連評価処理を用いることによって、1つ以上のリソグラフィ関連評価部位が評価されて良い。   When a lithography related sequence is performed, by using a lithography related generation process, one or more lithography related evaluation sites may be generated at one or more locations on one or more SD wafers, and lithography related By using an evaluation process, one or more lithography-related evaluation sites may be evaluated.

一部の例では、MES180は、1つ以上の検証関連シーケンス、1つ以上の処理関連シーケンス、1つ以上の生成処理、1つ以上のS-D評価処理、若しくは1つ以上の搬送連シーケンス、又はこれらの結合を供して良い。他の例では、MES180は、1つ以上の検証関連シーケンス、1つ以上の処理関連シーケンス、1つ以上の生成処理、1つ以上のS-D評価処理、若しくは1つ以上の搬送連シーケンス、又はこれらの結合の設定に用いることのできる情報を供して良い。   In some examples, the MES 180 may include one or more verification related sequences, one or more processing related sequences, one or more generation processes, one or more SD evaluation processes, or one or more transport run sequences, or These bonds may be provided. In other examples, the MES 180 may include one or more verification-related sequences, one or more processing-related sequences, one or more generation processes, one or more SD evaluation processes, or one or more transport sequences. Information that can be used to set the combination of the above may be provided.

サブシステム内の内部S-D供給素子と結合する内部搬送システム、S-D搬送サブシステム内のS-D供給素子と結合する搬送装置、搬送装置間でのやり取り、搬送装置と処理装置との間でのやり取り、及び搬送装置とS-Dサブシステムとの間でのやり取りについて、S-D搬送シーケンスが設定されて良い。   An internal transport system coupled to the internal SD supply element in the subsystem, a transport apparatus coupled to the SD supply element in the SD transport subsystem, an exchange between the transport apparatuses, an exchange between the transport apparatus and the processing apparatus, and An SD transfer sequence may be set for the exchange between the transfer device and the SD subsystem.

230では、第1組のS-D「処理される」ウエハは、1つ以上の処理サブシステム内の1つ以上の利用可能なS-D処理装置へ搬送されて良い。1つ以上の処理サブシステム内の1つ以上のS-D処理装置について動作状態データが決定されて良い。動作状態データは、1つ以上の利用可能なS-D処理装置の決定に用いられて良い。他の場合によっては、処理は非S-D処理装置を用いて実行されて良く、かつ搬送シーケンスはこの処理を可能にするように設定されて良い。   At 230, a first set of S-D “processed” wafers may be transferred to one or more available S-D processing equipment in one or more processing subsystems. Operating state data may be determined for one or more S-D processing devices in one or more processing subsystems. The operational state data may be used to determine one or more available S-D processing devices. In other cases, the process may be performed using a non-S-D processor and the transport sequence may be set to allow this process.

たとえば処理装置についての動作状態データは、利用可能性データ、処理装置についての適合データ、一部の処理工程及び/又はサイトについての予想される処理時間、処理装置についての信頼性データ及び/又はリスクデータ、1つ以上の処理関連サイトについての信頼性データ及び/又はリスクデータを有して良い。   For example, operational state data for a processing device may include availability data, conformance data for a processing device, expected processing time for some processing steps and / or sites, reliability data and / or risk for a processing device. Data, reliability data and / or risk data for one or more processing related sites may be included.

一部の例では、1つ以上の処理サブシステム内の1つ以上のS-D処理装置についてリアルタイムの動作状態が設定されて良い。第1数の第1S-D処理装置が利用可能であるとき、第1数である1組のS-D処理ウエハは、S-D搬送サブシステムを用いることによって、第1数のS-D処理装置へ搬送されて良い。S-D処理装置が前記1組のS-D処理ウエハ中の他のS-Dウエハについて利用可能でないときには、前記の1組のS-D処理ウエハ中の他のS-Dウエハは第1期間だけ搬送が猶予されて良い。ウエハがS-D処理装置に対して搬入出される際に、動作状態が変化して良い。リアルタイム搬送シーケンスは、リソグラフィ関連サブシステム内の第1S-D処理装置に対してウエハを搬入出するように、設定及び利用されて良い。更新された動作状態は、リアルタイムで1つ以上の処理装置及び/又は1つ以上のサブシステムへの問い合わせを行うことによって取得することができる。更新された搬入データは、リアルタイムで1つ以上の搬送装置及び/又は1つ以上の搬送サブシステムへの問い合わせを行うことによって取得することができる。   In some examples, real-time operational states may be set for one or more S-D processing devices in one or more processing subsystems. When the first number of first SD processing devices are available, the first number of SD processing wafers are transferred to the first number of SD processing devices by using the SD transfer subsystem. good. When the S-D processing apparatus is not available for the other S-D wafers in the set of S-D processing wafers, the other S-D wafers in the set of S-D processing wafers may be deferred for the first period. When the wafer is carried into and out of the S-D processing apparatus, the operating state may change. A real-time transfer sequence may be set and used to load and unload a wafer to and from the first SD processing apparatus in the lithography related subsystem. The updated operating state can be obtained by making an inquiry to one or more processing devices and / or one or more subsystems in real time. The updated carry-in data can be obtained by making an inquiry to one or more transport devices and / or one or more transport subsystems in real time.

猶予されたウエハは、「猶予された」処理シーケンス及び/又は「猶予された」搬送シーケンスを用いることによって処理及び/又は搬送されて良い。「猶予された」処理シーケンス及び/又は「猶予された」搬送シーケンスは、猶予された処理を有して、かつ猶予されたデータを供することができる。たとえば「新たに利用可能となった」S-D評価装置が特定されるとき、猶予されたS-D評価用ウエハは、「猶予された」搬送シーケンスを用いることによって、1つ以上の評価サブシステム内の「新たに利用可能となった」S-D評価装置へ搬送されて良い。   A graced wafer may be processed and / or transported by using a “graced” processing sequence and / or a “graced” transport sequence. A “graced” processing sequence and / or a “graced” transport sequence can have a graced process and provide graced data. For example, when a “newly available” SD evaluation device is identified, a deferred SD evaluation wafer can be identified by using a “deferred” transfer sequence in one or more evaluation subsystems. It can be transported to an SD evaluation device that is newly available.

235では、生成処理が実行されて良い。検証されたS-D生成処理は、1つ以上のサイトに1つ以上の検証された部位を有する検証されたウエハを生成するのに用いられて良い。未検証生成処理は、1つ以上のサイトに1つ以上の未検証部位を有する未検証ウエハを生成するのに用いられて良い。S-D及び/若しくは非S-D生成処理が実行される前、間、並びに/又は後に、ウエハデータ、処理装置、及び/若しくは処理サブシステムのデータが取得並びに/又は保存されて良い。   In 235, generation processing may be performed. The verified SD generation process may be used to generate a verified wafer having one or more verified sites at one or more sites. The unverified generation process may be used to generate an unverified wafer having one or more unverified sites at one or more sites. Wafer data, processing equipment, and / or processing subsystem data may be acquired and / or stored before, during, and / or after S-D and / or non-S-D generation processing.

一部の生成処理の間、S-D処理の1つ以上の処理工程中に1つ以上の処理依存サイトから出力データが取得されて良く、かつ、S-D出力データと処理依存サイトについて設定された1つ以上のS-D製品要件とを比較することによって、1つ以上のウエハについてのS-D信頼性データが設定されて良い。   During some generation processes, output data may be obtained from one or more process-dependent sites during one or more processing steps of the SD process, and one set for SD output data and process-dependent sites. By comparing the above SD product requirements, SD reliability data for one or more wafers may be set.

240では、クエリーが、現在のウエハについて追加の生成処理がいつ必要になるのかを決定するのに用いられて良い。現在のウエハについて他の生成処理が必要であるときには、処理200は枝240へ戻って良い。現在のウエハについて他の生成処理が必要でないときには、処理200は枝250へ進んで良い。   At 240, a query can be used to determine when additional generation processing is required for the current wafer. Process 200 may return to branch 240 when another generation process is required for the current wafer. Process 200 may proceed to branch 250 when no other generation process is required for the current wafer.

245では、第1組のS-D評価用ウエハが設定されて良く、かつ第1組のS-D評価用ウエハは第1数のS-Dウエハを有して良い。   In 245, a first set of S-D evaluation wafers may be set, and the first set of S-D evaluation wafers may include a first number of S-D wafers.

250では、第1組のS-D評価用ウエハのうちの1つ以上が、1つ以上の評価サブシステム内の1つ以上の利用可能なS-D評価装置へ搬送されて良い。1つ以上の評価サブシステム内の1つ以上のS-D評価装置について動作状態データが設定されて良い。動作状態データは、1つ以上の利用可能なS-D評価装置を決定するのに用いられて良い。一部の別な場合では、評価は非S-D評価装置を用いることによって行われて良く、かつ搬送シーケンスはこの評価を行うことができるように設定されて良い。それに加えて、第1組のS-D評価用ウエハのうちの1つ以上が、1つ以上の検査サブシステム内の1つ以上の利用可能なS-D評価装置へ搬送されて良い。1つ以上の検査サブシステム内の1つ以上のS-D評価装置について動作状態データが設定されて良い。動作状態データは、1つ以上の利用可能なS-D評価装置を決定するのに用いられて良い。一部の別な場合では、検査は非S-D評価装置を用いることによって行われて良く、かつ搬送シーケンスはこの評価を行うことができるように設定されて良い。   At 250, one or more of the first set of S-D evaluation wafers may be transferred to one or more available S-D evaluation devices in one or more evaluation subsystems. Operational state data may be set for one or more S-D evaluation devices in one or more evaluation subsystems. The operational state data may be used to determine one or more available S-D evaluation devices. In some other cases, the evaluation may be performed by using a non-S-D evaluation device, and the transport sequence may be set so that this evaluation can be performed. In addition, one or more of the first set of S-D evaluation wafers may be transferred to one or more available S-D evaluation devices in one or more inspection subsystems. Operational state data may be set for one or more S-D evaluation devices within one or more inspection subsystems. The operational state data may be used to determine one or more available S-D evaluation devices. In some other cases, the inspection may be performed by using a non-S-D evaluation device, and the transport sequence may be set to allow this evaluation.

たとえば評価装置についての動作状態データは、評価装置についての適合データ、一部の評価工程及び/又はサイトについての予想される処理時間、評価装置についての信頼性データ及び/又はリスクデータ、1つ以上の評価サイトについての信頼性データ及び/又はリスクデータを有して良い。   For example, operational status data for an evaluation device may include conformance data for the evaluation device, expected processing time for some evaluation processes and / or sites, reliability data and / or risk data for the evaluation device, one or more You may have reliability data and / or risk data for your assessment site.

一部の例では、S-D評価用ウエハの個数である第1数が利用可能な評価装置の個数である第1数以下であるとき、搬送シーケンスは、第1数のS-D評価用ウエハを第1数の利用可能な評価装置へいつどのようにして搬送するのかを決定するのに用いられて良い。第1組のS-Dウエハの個数である第1数が利用可能な評価装置の個数である第1数よりも多いとき、1つ以上の補正行為が適用されて良い。ここで第1数の利用可能な評価装置は第1動作状態を用いることによって決定される。   In some examples, when the first number, which is the number of SD evaluation wafers, is equal to or less than the first number, which is the number of available evaluation apparatuses, the transfer sequence includes the first number of SD evaluation wafers as the first number. It can be used to determine when and how to transport to a number of available evaluation devices. One or more correction actions may be applied when the first number, which is the number of first set of S-D wafers, is greater than the first number, which is the number of available evaluation devices. Here, the first number of available evaluation devices is determined by using the first operating state.

255では、評価用ウエハが選択されて良い。評価用ウエハには、第1ウエハ、追加ウエハ、及び/又は猶予されたウエハが含まれて良い。残りの評価用ウエハが検査されても良い。選択は、S-Dウエハ状態データ、処理シーケンス、残りのウエハ数、必要な評価及び/若しくは検証サイト数、アクセスされた評価及び/若しくは検証サイト数、残りの評価及び/若しくは検証サイト数、又はこれらの結合に基づいて決定されて良い。   At 255, an evaluation wafer may be selected. The evaluation wafer may include a first wafer, an additional wafer, and / or a deferred wafer. The remaining evaluation wafers may be inspected. Selection may include SD wafer status data, processing sequence, number of remaining wafers, number of required evaluation and / or verification sites, number of accessed evaluation and / or verification sites, number of remaining evaluation and / or verification sites, or It can be determined based on the combination.

260では、現在のウエハ上のサイトが選択されて良い。一部の例では、第1サイトは第1S-D評価用ウエハ上の必要サイト数から選ばれて良く、かつ第1サイトは、第1S-D生成処理を用いて生成された第1未検証評価用部位を有して良い。1つ以上の追加サイトは第1S-D評価用ウエハ上の必要サイト数から選ばれて良く、かつ追加サイトは、第1S-D生成処理を用いて生成された追加の未検証評価用部位を有して良い。第1ウエハは最も重要なウエハの1つであって良く、かつ、ウエハの群についての決定は、第1ウエハから得られる結果に基づいて良い。他の例では、決定は、追加ウエハ及び/又は猶予されたウエハからのデータに基づいて良い。   At 260, the current site on the wafer may be selected. In some examples, the first site may be selected from the required number of sites on the first S-D evaluation wafer, and the first site is the first unverified generated using the first S-D generation process. It may have a site for evaluation. One or more additional sites may be selected from the required number of sites on the first S-D evaluation wafer, and the additional sites are additional unverified evaluation sites generated using the first S-D generation process. May have. The first wafer may be one of the most important wafers, and the determination of the group of wafers may be based on results obtained from the first wafer. In other examples, the determination may be based on data from additional wafers and / or graced wafers.

265では、評価処理が、選択されたサイトを用いることによって実行されて良い。サイトについての評価データは、S-D評価装置を用いて実行されたS-D評価処理を用いることによって取得されて良い。たとえば測定処理は測定データを供して良く、かつ/あるいは検査処理は検査データを供して良い。   At 265, the evaluation process may be performed by using the selected site. Evaluation data about a site may be acquired by using an S-D evaluation process performed using an S-D evaluation apparatus. For example, the measurement process may provide measurement data and / or the inspection process may provide inspection data.

一部の例では、第1サイトは評価及び/又は検証用ウエハ上の残りのサイト数から選ばれて良く、かつ第1サイトは関連する第1未検証部位を有して良い。第1未検証データは第1サイトから取得されて良い。第1サイトについての第1未検証データは第1未検証測定及び/又は検査データを有して良い。第1サイトについて第1検証データが設定されて良い。第1検証サイトは検証された測定及び/又は検査データを有して良い。第1サイトについての第1信頼性データが、第1未検証データと第1検証データとの間の第1差異を用いることによって設定されて良い。第1サイト、ウエハ、及び/又は処理についての第1リスクデータが、第1信頼性データを用いることによって設定されて良い。第1信頼性データが第1閾値限界以上であるとき、第1サイトは、第1信頼レベルを有する第1の検証されたサイトとして認定されて良く、残りのサイト数は1つ減って、かつアクセスされたサイト数は1つ増える。第1信頼性データが第1閾値限界未満であるとき、第1サイトは、第2信頼レベルを有する第1未検証サイトとして認定されて良く、残りのサイト数は1つ減って、かつアクセスされたサイト数は1つ増える。   In some examples, the first site may be selected from the number of remaining sites on the evaluation and / or verification wafer, and the first site may have an associated first unverified site. The first unverified data may be obtained from the first site. The first unverified data for the first site may comprise first unverified measurement and / or inspection data. First verification data may be set for the first site. The first verification site may have verified measurement and / or inspection data. The first reliability data for the first site may be set by using a first difference between the first unverified data and the first verified data. First risk data for the first site, wafer, and / or process may be set by using the first reliability data. When the first reliability data is greater than or equal to the first threshold limit, the first site may be qualified as the first verified site having the first confidence level, the remaining number of sites is reduced by one, and The number of sites visited increases by one. When the first reliability data is below the first threshold limit, the first site may be certified as the first unverified site with the second trust level, the remaining number of sites is reduced by 1 and accessed. Increased number of sites.

一部の実施例では、未検証データは、トランジスタ内のゲート構造、トランジスタ内のドレイン構造、トランジスタ内のソース構造、キャパシタ構造、ビア構造、溝構造、2次元メモリ構造、3次元メモリ構造、側壁角、限界寸法(CD)、アレイ、周期構造、位置合わせ部位、ドーピング部位、歪み部位、損傷構造、若しくは参照用構造、又はこれらの結合についての評価データを有して良い。他の実施例では、未検証データは、評価データ、測定データ、検査データ、位置合わせデータ、検証データ、処理データ、ウエハデータ、ライブラリデータ、履歴データ、リアルタイムデータ、光学データ、層のデータ、熱データ、若しくは時間データ、又はこれらの結合を有して良い。あるいはその代わりに他のデータが用いられても良い。   In some embodiments, unverified data includes a gate structure in a transistor, a drain structure in a transistor, a source structure in a transistor, a capacitor structure, a via structure, a trench structure, a two-dimensional memory structure, a three-dimensional memory structure, and a sidewall. Evaluation data may be included for corners, critical dimensions (CD), arrays, periodic structures, alignment sites, doping sites, strain sites, damage structures, or reference structures, or combinations thereof. In other embodiments, the unverified data includes evaluation data, measurement data, inspection data, alignment data, verification data, processing data, wafer data, library data, historical data, real time data, optical data, layer data, thermal data, Data, or time data, or a combination thereof may be included. Alternatively, other data may be used instead.

一部の実施例では、検証されたデータは、トランジスタ内のゲート構造、トランジスタ内のドレイン構造、トランジスタ内のソース構造、キャパシタ構造、ビア構造、溝構造、2次元メモリ構造、3次元メモリ構造、側壁角、限界寸法(CD)、アレイ、周期構造、位置合わせ部位、ドーピング部位、歪み部位、損傷構造、若しくは参照用構造、又はこれらの結合についての、検証され、予測され、シミュレーションされた、及び/又はライブラリのデータを有して良い。他の実施例では、検証されたデータは、評価データ、測定データ、検査データ、位置合わせデータ、検証データ、処理データ、ウエハデータ、ライブラリデータ、履歴データ、リアルタイムデータ、光学データ、層のデータ、熱データ、若しくは時間データ、又はこれらの結合を有して良い。あるいはその代わりに他のデータが用いられても良い。   In some embodiments, the verified data includes a gate structure in a transistor, a drain structure in a transistor, a source structure in a transistor, a capacitor structure, a via structure, a trench structure, a two-dimensional memory structure, a three-dimensional memory structure, Verified, predicted, simulated for sidewall angle, critical dimension (CD), array, periodic structure, alignment site, doping site, strain site, damage structure, or reference structure, or combinations thereof, and And / or library data. In another embodiment, the verified data includes evaluation data, measurement data, inspection data, alignment data, verification data, processing data, wafer data, library data, historical data, real-time data, optical data, layer data, Thermal data, or time data, or a combination thereof may be included. Alternatively, other data may be used instead.

他の例では、1つ以上の信頼性及び/又はリスク限界が満たされているときには、1つ以上の評価用ウエハは評価及び/又は検証されたウエハとして認定され、又は、1つ以上の限界が満たされないときには、補正行為が適用されて良い。   In other examples, when one or more reliability and / or risk limits are met, one or more evaluation wafers are qualified as evaluated and / or verified wafers, or one or more limits Corrective action may be applied when is not satisfied.

履歴検証データはS-D検証ライブラリ中の第1S-D検証データを有して良く、S-D検証ライブラリ中の第1S-D検証データは第1の検証された構造データ及び関連する第1検証評価データを有して良く、かつ第1検証信号は第1S-D組の波長によって特徴付けられて良い。   The historical verification data may include the first S-D verification data in the SD verification library, and the first S-D verification data in the SD verification library includes the first verified structural data and the associated first verification evaluation data. And the first verification signal may be characterized by a first S-D set of wavelengths.

リアルタイム検証データリアルタイムで取得された検証データを有して良い。たとえば、リアルタイム検証データは、前記ウエハに似た1つ以上のウエハ、同一のウエハロットの一部、同様の処理がされたウエハ、又はこれらの結合から得られたデータを用いて設定されて良い。履歴検証データは保存されたデータを有して良い。   Real-time verification data may include verification data acquired in real time. For example, real-time verification data may be set using data obtained from one or more wafers similar to the wafer, parts of the same wafer lot, similarly processed wafers, or a combination thereof. The history verification data may include stored data.

1つ以上の限界が満たされるとき、S-D評価部位、構造、データ、ウエハ、処理、及び/又は像が検証されて良い。多数のサイト及び/又はウエハが評価されるとき、個々のウエハ及び/又はウエハの群についての信頼性及び/又はリスクデータが設定されて良い。あるいはその代わりに他のデータが用いられても良い。たとえば信頼性データ値は0から9の範囲であって良い。ここで0は失敗条件を表し、9は最も正確な性能を表す。それに加えて、リスクデータ値は0から9の範囲であって良い。ここで0は失敗又は高リスク条件を表し、9は最低リスク条件を表す。あるいはその代わりに他の範囲が用いられても良い。多数の値をとる信頼性データ及び/又はリスクデータを供するための限界について範囲が設定されて良い。   When one or more limits are met, the S-D evaluation site, structure, data, wafer, process, and / or image may be verified. When multiple sites and / or wafers are evaluated, reliability and / or risk data for individual wafers and / or groups of wafers may be established. Alternatively, other data may be used instead. For example, the reliability data value may range from 0 to 9. Where 0 represents a failure condition and 9 represents the most accurate performance. In addition, risk data values may range from 0 to 9. Where 0 represents a failure or high risk condition and 9 represents the lowest risk condition. Alternatively, other ranges may be used instead. Ranges may be set for limits for providing reliability data and / or risk data that take multiple values.

第1の(最も正確な)閾値限界が満たされるとき、評価される対象は、最高の信頼性及び/又は最低のリスク因子を有するものとして認定されて良い。他の(最も正確でない)閾値限界が満たされるとき、評価される対象は、低い信頼性及び/又は高いリスク因子を有するものとして認定されて良い。1つ以上の(正確さが変化する)閾値限界が満たされないとき、評価される対象は、最高の信頼性及び/又は最低のリスク因子を有する未検証の対象として認定されて良い。   When the first (most accurate) threshold limit is met, the object being evaluated may be identified as having the highest confidence and / or lowest risk factor. When other (least accurate) threshold limits are met, the object being evaluated may be identified as having low confidence and / or high risk factors. When one or more (accuracy varying) threshold limits are not met, the object being evaluated may be identified as an unverified object with the highest confidence and / or lowest risk factor.

275では、追加サイトが必要であるかどうかを決定するため、クエリーが実行されて良い。追加サイトが必要なときには、処理200は工程260へ戻って良い。追加サイトが必要ないときには、処理200は工程280へ進んで良い。   At 275, a query may be performed to determine if additional sites are needed. When additional sites are needed, process 200 may return to step 260. If no additional sites are needed, process 200 may proceed to step 280.

280では、追加の評価用ウエハが必要であるかどうかを決定するため、クエリーが実行されて良い。追加の評価用ウエハが必要なときには、処理200は工程255へ戻って良い。追加サイトが必要ないときには、処理200は工程285へ進んで良い。   At 280, a query can be performed to determine whether additional evaluation wafers are needed. When additional evaluation wafers are needed, process 200 may return to step 255. If no additional sites are needed, process 200 may proceed to step 285.

285では、現在のシーケンスが完了したかどうかを決定するため、クエリーが実行されて良い。現在のシーケンスが完了したときには、処理200は工程290へ進んで良い。現在のシーケンスが完了しないときには、処理200は工程215へ戻って良い。   At 285, a query may be performed to determine if the current sequence is complete. When the current sequence is complete, the process 200 may proceed to step 290. If the current sequence is not complete, process 200 may return to step 215.

290では、追加のシーケンスが必要であるかどうかを決定するため、クエリーが実行されて良い。追加のシーケンスが必要なときには、処理200は工程210へ戻って良い。追加のシーケンスが必要ないときには、処理200は工程295へ進んで良い。処理は195で終了する。   At 290, a query may be performed to determine if additional sequences are needed. When additional sequences are needed, process 200 may return to step 210. If no additional sequence is required, the process 200 may proceed to step 295. The process ends at 195.

一部の実施例では、第1二重パターニングシーケンスが実行され、それに続いて第2二重パターニングシーケンスが実行されて良い。第1組のウエハは処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)によって受け取られて良い。第1S-D DP処理シーケンスを用いることによって、1つ以上の第1パターニング層が、第1組のパターニングされたウエハのうちの1つ以上のウエハ上に生成されて良い。第1S-D処理シーケンスは、処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)を用いることによって実行されて良い。続いて、第1組のパターニングされたウエハについての信頼性データ及び/又は第1リスクデータが、第1S-D評価処理を用いることによって設定されて良く、かつ、第1組の高信頼性ウエハが、第1S-D評価処理からのデータを用いることによって作製されて良い。続いて、1層以上の第2パターニング層が第2組のパターニングされたウエハ上に生成されて良く、かつ、第2組のパターニングされたウエハは、第1組の高信頼性ウエハを用いた第2S-D処理シーケンスを実行することによって生成されて良い。第2S-D処理シーケンスは処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)を用いることによって実行されて良い。1つ以上の第2パターニング層は、スキャナサブシステム115を用いることによって、1つ以上の第1パターニング層に対して位置合わせされる。それに加えて、第2組のパターニングされたウエハについての第2信頼性データ及び/又は第2S-Dリスクデータが、第2S-D評価処理を用いることによって設定されて良く、かつ、第2組の高信頼性ウエハが第1及び/又は第1S-D評価処理を用いることによって作成されて良い。   In some embodiments, a first double patterning sequence may be performed followed by a second double patterning sequence. The first set of wafers may be received by one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) in the processing system 100. By using the first S-D DP processing sequence, one or more first patterning layers may be generated on one or more of the first set of patterned wafers. The first S-D processing sequence may be performed by using one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) in the processing system 100. Subsequently, reliability data and / or first risk data for the first set of patterned wafers may be set using the first S-D evaluation process, and the first set of high reliability wafers. However, it may be created by using data from the first S-D evaluation process. Subsequently, one or more second patterning layers may be generated on the second set of patterned wafers, and the second set of patterned wafers used the first set of highly reliable wafers. It may be generated by executing a second S-D processing sequence. The second S-D processing sequence may be performed by using one or more subsystems (110, 115, 120, 125, 130, 135, 140, 145, 150, and 155) in the processing system 100. The one or more second patterning layers are aligned with the one or more first patterning layers by using the scanner subsystem 115. In addition, second reliability data and / or second S-D risk data for the second set of patterned wafers may be set by using the second S-D evaluation process, and the second set High reliability wafers may be created using the first and / or first SD evaluation process.

一部の実施例では、第1S-D処理シーケンスは第1ダマシン層を作製するのに用いられて良く、かつ、新たなS-D処理シーケンスは第2ダマシン層を作製するのに用いられて良い。   In some embodiments, the first S-D processing sequence may be used to create the first damascene layer, and the new S-D processing sequence may be used to create the second damascene layer.

様々な実施例では、1つ以上の処理シーケンスはリアルタイムで実行されて良く、かつ1つ以上の処理シーケンスは、1つ以上のS-Dリソグラフィ関連処理、1つ以上のS-Dスキャナ関連処理、1つ以上のS-D検査関連処理、1つ以上のS-D測定関連処理、1つ以上のS-D評価関連処理、1つ以上のS-Dエッチング関連処理、1つ以上のS-D堆積関連処理、1つ以上のS-D熱処理、1つ以上のS-Dコーティング関連処理、1つ以上のS-D位置合わせ関連処理、1つ以上のS-D研磨関連処理、1つ以上のS-D保存関連処理、1つ以上のS-D搬送処理、1つ以上のS-D洗浄関連処理、1つ以上のS-D再加工関連処理、1つ以上のS-D酸化関連処理、1つ以上のS-D窒化関連処理、若しくは1つ以上のS-外部処理、又はこれらの結合を有して良い。   In various embodiments, one or more processing sequences may be performed in real time, and the one or more processing sequences may include one or more SD lithography related processes, one or more SD scanner related processes, one or more SD inspection related processing, one or more SD measurement related processing, one or more SD evaluation related processing, one or more SD etching related processing, one or more SD deposition related processing, one or more SD heat treatment, 1 One or more SD coating related processes, one or more SD alignment related processes, one or more SD polishing related processes, one or more SD storage related processes, one or more SD transport processes, one or more SD cleaning May have related processing, one or more SD rework related processing, one or more SD oxidation related processing, one or more SD nitridation related processing, or one or more S-external processing, or a combination thereof .

図3は、本発明の実施例によるウエハマップの簡略図を示している。図示された実施例では、125のチップ/ダイを有するウエハマップが図示されているが、このことは本発明にとって必須ではない。あるいはその代わりに、異なる数のチップ/ダイが示されても良い。それに加えて、円形なのは例示目的であり、円形であることは本発明にとって必須ではない。たとえば、円形ウエハは非円形ウエハによって置き換えられて良く、かつ、チップ/ダイは円形ではない形状を有しても良い。   FIG. 3 shows a simplified diagram of a wafer map according to an embodiment of the present invention. In the illustrated embodiment, a wafer map having 125 chips / dies is shown, but this is not essential to the invention. Alternatively, a different number of chips / dies may be shown. In addition, circular is for illustrative purposes, and circular is not essential to the present invention. For example, a circular wafer may be replaced by a non-circular wafer and the chip / die may have a non-circular shape.

図は、1つ以上のチップ/ダイ310を有するウエハ300上のウエハマップ302を表している。図示目的で0から20までの番号が付された行及び列が示されている。それに加えて、(1a-12a)のラベルが付された12のサイトが、図示されたウエハマップ320に関連するS-D処理についての位置を明らかにするのに用いられて良い。それに加えて、2つの環状線(301及び302)が図示され、かつ、これらの線は、ウエハ300上の外側領域305、中間領域306、及び内側領域307を設定するのに用いられて良い。あるいはその代わりに、異なる形状を有する異なった数の領域がウエハマップ320上で設定されて良く、かつ、S-D及び/又は非S-D処理についての異なる数のサイトがウエハ上の異なる位置で設定されて良い。S-D測定、検査、及び/又は評価計画がウエハについて生成されるとき、1つ以上のウエハ領域内で、1つ以上の測定、検査、及び/又は評価サイトが設定されて良い。たとえばS-D戦略、計画、及び/又はレシピが生成されるとき、測定、検査、及び/又は評価処理は、図3に図示されたサイト330の全てを含む及び/又は使用する必要はない。   The figure represents a wafer map 302 on a wafer 300 having one or more chips / dies 310. For illustration purposes, rows and columns numbered from 0 to 20 are shown. In addition, the twelve sites labeled (1a-12a) may be used to identify locations for the S-D process associated with the illustrated wafer map 320. In addition, two annular lines (301 and 302) are shown and these lines may be used to set the outer region 305, middle region 306, and inner region 307 on the wafer 300. Alternatively, different numbers of regions with different shapes may be set on the wafer map 320, and different numbers of sites for SD and / or non-SD processing are set at different locations on the wafer. good. When an S-D measurement, inspection, and / or evaluation plan is generated for a wafer, one or more measurement, inspection, and / or evaluation sites may be established within one or more wafer regions. For example, when an S-D strategy, plan, and / or recipe is generated, the measurement, inspection, and / or evaluation process need not include and / or use all of the sites 330 illustrated in FIG.

S-D処理は、履歴データベース内に保存されたデータに基づいて半導体製造者によって具体化されて良い。たとえば半導体製造者は、SEM測定を行うときにウエハ上の位置の数を履歴に基づいて選ぶことが可能で、かつ、一の装置からの測定データ、検査データ、及び/又は評価データを、SEM装置、TEM装置、及び/又はFIB装置を用いて測定されたデータに関連づける。   The S-D process may be implemented by a semiconductor manufacturer based on data stored in a history database. For example, the semiconductor manufacturer can select the number of positions on the wafer based on the history when performing SEM measurement, and the measurement data, inspection data, and / or evaluation data from one apparatus can be Correlate to data measured using a device, TEM device, and / or FIB device.

それに加えて、製造者がその処理が高品質の製品及び/又はデバイスを製造し続けているという自信を得ることで、S-D及び/又は非S-D処理に用いられるサイト数は減少する。   In addition, the number of sites used for S-D and / or non-S-D processing is reduced as the manufacturer gains confidence that the process continues to produce quality products and / or devices.

新たな及び/又は追加の測定データ、検査データ、及び/又は評価データが必要なときには、追加のS-Dデータがウエハ上の1つ以上のサイトから取得されて良い。たとえば、ウエハ上の測定部位-たとえば周期回折格子、周期アレイ、及び/又は他の周期構造-が、図3に図示された1つ以上のサイトで測定されて良い。   When new and / or additional measurement data, inspection data, and / or evaluation data is needed, additional S-D data may be obtained from one or more sites on the wafer. For example, measurement sites on the wafer, such as periodic gratings, periodic arrays, and / or other periodic structures, may be measured at one or more sites illustrated in FIG.

S-D測定、検査、及び/又は評価処理は時間を要するものであり、かつ処理システムのスループットに影響を及ぼすと考えられる。処理の実行中、製造者は、ウエハの測定、検査、検証、及び/又は評価に用いられる時間を最小限におさせることを望むだろう。S-D処理は時間に独立であり、かつ各異なるS-D処理はそれぞれの実行時間に基づいて選ばれて良い。実行時間が長くなりすぎるのであれば、サイト数を少なくすればよい。   The S-D measurement, inspection, and / or evaluation process is time consuming and may affect the throughput of the processing system. During processing, the manufacturer will want to minimize the time used for wafer measurement, inspection, verification, and / or evaluation. The S-D process is independent of time, and each different S-D process may be selected based on its execution time. If the run time is too long, you can reduce the number of sites.

半導体プロセスにおける現像工程中、以降の工程で使用するために1つ以上のS-D参照用マップが生成及び保存されて良い。S-D参照用マップは、図3に図示されたサイトとは異なるサイトでの測定データを有して良い。S-D検査用マップは、図3に図示されたサイトとは異なるサイトでの検査データを有して良い。S-D検証用マップは、図3に図示されたサイトとは異なるサイトでの検証データを有して良い。S-D評価用マップは、図3に図示されたサイトとは異なるサイトでの評価データを有して良い。あるいはその代わりに、参照用マップは同一サイトの組を用いても良い。あるいは1つ以上の参照用マップは必要ない場合もある。   During the development process in a semiconductor process, one or more SD reference maps may be generated and stored for use in subsequent processes. The S-D reference map may include measurement data at a site different from the site illustrated in FIG. The S-D inspection map may include inspection data at a site different from the site illustrated in FIG. The S-D verification map may include verification data at a site different from the site illustrated in FIG. The S-D evaluation map may include evaluation data at a site different from the site illustrated in FIG. Alternatively, the reference map may use the same site set. Alternatively, one or more reference maps may not be needed.

それに加えて、S-D処理中、1つ以上のS-D予測用マップが生成及び/又は修正されて良く、かつ、S-D予測用マップは、予測された測定データ、予測された検査データ、予測された検証データ、予測された評価データ、及び/又は予測されたプロセスデータを有して良い。たとえば予測データはS-Dモデルを用いて取得されて良い。   In addition, during SD processing, one or more SD prediction maps may be generated and / or modified, and the SD prediction map is predicted measurement data, predicted inspection data, predicted verification. Data, predicted evaluation data, and / or predicted process data. For example, the prediction data may be acquired using an S-D model.

さらに、1つ以上のS-D及び/又は非S-D信頼性マップが生成及び/又は修正されて良く、かつ、信頼性マップは、測定データ、検査データ、検証データ、評価データ、予測データ、及び/又はプロセスデータについての信頼値を有して良い。   Further, one or more SD and / or non-SD reliability maps may be generated and / or modified, and the reliability map may be measured data, inspection data, verification data, evaluation data, prediction data, and / or There may be a confidence value for the process data.

ウエハマップは、1つ以上の適合度(GOF)マップ、1つ以上の回折格子の厚さマップ、1つ以上のビア関連マップ、1つ以上の限界寸法(CD)マップ、1つ以上のCDプロファイルマップ、1つ以上の材料関連マップ、1つ以上の溝関連マップ、1つ以上の側壁角マップ、1つ以上の幅の差分マップ、又はこれらの結合を有して良い。そのデータはまた、とりわけ、サイトの結果データ、サイト数データ、CD測定フラグデータ、測定サイト数データ、x座標データ、及びy座標データをも有して良い。   A wafer map is one or more goodness of fit (GOF) maps, one or more grating thickness maps, one or more via-related maps, one or more critical dimension (CD) maps, one or more CDs There may be a profile map, one or more material related maps, one or more groove related maps, one or more sidewall angle maps, one or more width difference maps, or a combination thereof. The data may also include site result data, site number data, CD measurement flag data, measurement site number data, x coordinate data, and y coordinate data, among others.

一部の実施例では、S-D処理に含まれないウエハ上のサイトについてのデータを計算するため、曲線に適合する処理が行われて良い。あるいはその代わりにウエハマップは、表面推定法、表面フィッティング法、又は他の数学的手法を用いて決定されて良い。ウエハについてマップが生成されるとき、予想、予測、及び/又は実際の精度値及び/又は要件に基づいて測定サイトが選ばれて良い。   In some embodiments, a process that fits the curve may be performed to calculate data for sites on the wafer that are not included in the SD process. Alternatively, the wafer map may be determined using surface estimation methods, surface fitting methods, or other mathematical techniques. When a map is generated for a wafer, a measurement site may be selected based on expectations, predictions, and / or actual accuracy values and / or requirements.

マッピングアプリケーションによって生成されるエラーの一部はFDCシステムへ送られる。FDCシステムは、どのようにして処理システムがエラーに応答するのかを決定して良い。他のエラーはマッピングアプリケーションによって解決されて良い。   Some of the errors generated by the mapping application are sent to the FDC system. The FDC system may determine how the processing system responds to errors. Other errors can be resolved by the mapping application.

ウエハマップが生成及び/又は修正されるとき、全ウエハについての値は計算されなくて良く、及び/又は必要ともされず、かつ、ウエハマップは、1つ以上のサイト、1つ以上のチップ/ダイ、1つ以上の異なる領域、及び/又は1つ以上の異なる形状の領域についてのデータを有して良い。たとえば処理チャンバは、ウエハのある特定の領域でのプロセス結果の品質に影響を及ぼすと考えられる固有の特性を有して良い。それに加えて、製造者は、ウエハの1つ以上の領域でのチップ/ダイについての正確ではないプロセス及び/又は評価データによって、歩留まりを最大にすることが可能となる。マッピングアプリケーション及び/又はFDCシステムは、信頼性、リスク、均一性、及び/又は精度限界を決定するのに業務規則を用いて良い。   When a wafer map is generated and / or modified, values for the entire wafer may not be calculated and / or required, and the wafer map may be one or more sites, one or more chips / Data about the die, one or more different regions, and / or one or more differently shaped regions may be included. For example, the processing chamber may have unique characteristics that are believed to affect the quality of process results in a particular area of the wafer. In addition, manufacturers can maximize yields due to inaccurate process and / or evaluation data for chips / dies in one or more regions of the wafer. Mapping applications and / or FDC systems may use business rules to determine reliability, risk, uniformity, and / or accuracy limits.

マップ中のある値が限界に近いとき、信頼値は、そのマップ中の値が限界に近くないときよりも低くて良い。それに加えて、各異なるチップ/ダイ及び/又はウエハの各異なる領域について精度値は重みづけられて良い。たとえばより高い信頼性の重みが、1つ以上のこれまでに用いられた評価サイトに関連する精度計算及び/又は精度データに割り当てられて良い。   When a value in the map is near the limit, the confidence value may be lower than when the value in the map is not near the limit. In addition, the accuracy value may be weighted for each different area of the different chip / die and / or wafer. For example, higher reliability weights may be assigned to accuracy calculations and / or accuracy data associated with one or more previously used evaluation sites.

それに加えて、1つ以上の処理に関連するプロセス結果、測定、検査、検証、評価、及び/又は予測マップは、ウエハについての信頼性マップの計算に用いられて良い。たとえば他のマップからの値が重み付け因子として用いられて良い。   In addition, process results, measurements, inspections, verifications, evaluations, and / or prediction maps associated with one or more processes may be used to calculate a reliability map for the wafer. For example, values from other maps may be used as weighting factors.

図4は本発明の実施例による典型的なサブシステムの簡略化されたブロック図を示している。図示された実施例では、典型的なS-Dサブシステム400が図示されている。その典型的なS-Dサブシステム400は、5つのS-D装置(410、420、430、440、及び450)、第1S-D搬送サブシステム460、及び第2S-D搬送サブシステム470を有する。第1S-D搬送サブシステム460は、第1非S-D搬送サブシステム401及び第2非S-D搬送サブシステム402と結合して良い。第2S-D搬送サブシステム470は、第1非S-D搬送サブシステム401及び第2非S-D搬送サブシステム402と結合して良い。第1非S-D搬送サブシステム401及び第2非S-D搬送サブシステム402は、搬送サブシステム(図1の101、102、103)(及び/又はその一部)と結合して良い。あるいはその代わりに、異なる数のサブシステムが用いられて良く、異なる数の搬送サブシステムが用いられて良く、かつ、サブシステムは異なった構成であって良い。それに加えて、非S-Dサブシステムが用いられても良い。   FIG. 4 shows a simplified block diagram of an exemplary subsystem according to an embodiment of the present invention. In the illustrated embodiment, an exemplary SD subsystem 400 is illustrated. The exemplary S-D subsystem 400 includes five S-D devices (410, 420, 430, 440, and 450), a first S-D transport subsystem 460, and a second S-D transport subsystem 470. The first SD transport subsystem 460 may be coupled to the first non-SD transport subsystem 401 and the second non-SD transport subsystem 402. The second SD transport subsystem 470 may be coupled to the first non-SD transport subsystem 401 and the second non-SD transport subsystem 402. The first non-S-D transport subsystem 401 and the second non-S-D transport subsystem 402 may be coupled to the transport subsystem (101, 102, 103 in FIG. 1) (and / or part thereof). Alternatively, a different number of subsystems may be used, a different number of transport subsystems may be used, and the subsystems may be configured differently. In addition, non-S-D subsystems may be used.

典型的なS-Dサブシステム400は5つのS-Dロードロック装置(415、425、435、445、及び455)を有して良い。その5つのS-Dロードロック装置(415、425、435、445、及び455)は、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470と結合して良い。あるいはその代わりに、異なる数のロードロック装置が用いられて良く、かつ異なった構成をとっても良い。他の実施例では、ロードロック装置は必要ない場合がある。S-Dロードロック装置415は1つ以上のS-D処理装置410と結合して良い。S-Dロードロック装置425は1つ以上のS-D処理装置420と結合して良い。S-Dロードロック装置435は1つ以上のS-D処理装置430と結合して良い。S-Dロードロック装置445は1つ以上のS-D処理装置440と結合して良い。S-Dロードロック装置455は1つ以上のS-D処理装置450と結合して良い。様々な実施例では、S-Dロードロック装置(415、425、435、445、及び455)は、1つ以上のウエハを実質的に同時に搬送、猶予、保存、位置合わせ、及び/又は検査する内部搬送装置(それぞれ417、427、437、447、及び457)を有して良い。   A typical S-D subsystem 400 may have five S-D load lock devices (415, 425, 435, 445, and 455). The five S-D load lock devices (415, 425, 435, 445, and 455) may be coupled to the first S-D transport subsystem 460 and the second S-D transport subsystem 470. Alternatively, a different number of load lock devices may be used and different configurations may be used. In other embodiments, a load lock device may not be necessary. The S-D load lock device 415 may be combined with one or more S-D processing devices 410. The S-D load lock device 425 may be coupled to one or more S-D processing devices 420. The S-D load lock device 435 may be combined with one or more S-D processing devices 430. The S-D load lock device 445 may be combined with one or more S-D processing devices 440. The S-D load lock device 455 may be coupled to one or more S-D processing devices 450. In various embodiments, the SD load lock device (415, 425, 435, 445, and 455) is an internal transfer that transfers, graces, stores, aligns, and / or inspects one or more wafers substantially simultaneously. Devices (417, 427, 437, 447, and 457, respectively) may be included.

第1S-D搬送サブシステム460は、第1数の第1S-D搬送装置(461、462、463、464、及び465)と結合可能な第1S-D供給装置467を有して良い。実施例によっては、第1S-D搬送装置は、第1S-D供給装置467に対して動的に結合又は分離して良く、かつ1つ以上の方向469へ移動して良い。それに加えて、結合及び/又は分離はS-Dであって良く、かつ第1S-D供給装置467、第1S-D搬送装置、ウエハデータ、システムデータ、処理シーケンスデータ、若しくは搬送シーケンスデータ、又はこれらの結合を用いて決定されて良い。第1S-D供給装置467は1つ以上のレベル(図示されていない)を有して良く、かつ1種類以上の速度で動作して良い。あるいはその代わりに他のウエハ搬送手法が用いられても良い。   The first S-D transport subsystem 460 may include a first S-D supply device 467 that can be coupled to a first number of first S-D transport devices (461, 462, 463, 464, and 465). In some embodiments, the first S-D transport device may be dynamically coupled or separated from the first S-D supply device 467 and may move in one or more directions 469. In addition, the coupling and / or separation may be SD, and the first S-D supply device 467, the first S-D transfer device, wafer data, system data, processing sequence data, or transfer sequence data, or these It can be determined using a combination. The first S-D feeder 467 may have one or more levels (not shown) and may operate at one or more speeds. Alternatively, other wafer transfer methods may be used.

さらに第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470は、処理シーケンス、搬送シーケンス、動作状態、ウエハ及び/又は処理状態、処理時間、現在の時間、ウエハデータ、ウエハ上のサイト数、ウエハ上のサイトの種類、必要サイト数、完了したサイト数、残りのサイト数、若しくは信頼性データ、又はこれらの結合に基づいて、ウエハの搬入、担持、及び/又は搬出を行って良い。   In addition, the first S-D transfer subsystem 460 and the second S-D transfer subsystem 470 include a processing sequence, a transfer sequence, an operation state, a wafer and / or a processing state, a processing time, a current time, wafer data, and a site on the wafer. Wafers may be loaded, loaded, and / or unloaded based on the number, type of sites on the wafer, number of required sites, number of completed sites, number of remaining sites, or reliability data, or a combination thereof. .

5つの第1S-D搬送装置(461、462、463、464、及び465)が、例示された実施例に示されている。しかしこれは本発明にとって必須ではない。他の実施例では、異なる数の第1S-D搬送装置が用いられても良い。それに加えて、例示された第1S-D搬送装置(461、462、463、464、及び465)は、図4の第1搬送地点で示されているが、このことは本発明にとって必須ではない。第1S-D搬送装置が第1搬送地点に位置しているとき、1つ以上のウエハ(図示されていない)が、第1S-D搬送装置とS-Dロードロック装置との間で搬送されて良い。   Five first SD transport devices (461, 462, 463, 464, and 465) are shown in the illustrated embodiment. However, this is not essential for the present invention. In other embodiments, a different number of first SD transport devices may be used. In addition, the illustrated first SD transport devices (461, 462, 463, 464, and 465) are shown at the first transport point in FIG. 4, but this is not essential to the invention. . When the first SD transfer device is located at the first transfer point, one or more wafers (not shown) may be transferred between the first SD transfer device and the SD load lock device. .

第2S-D搬送サブシステム470は、第2数の第2S-D搬送装置(471、472、473、474、及び475)と結合可能な第2S-D供給装置477を有して良い。一部の実施例では、第2S-D搬送装置は、第2S-D供給装置477に対して動的に結合又は分離し、かつ1つ以上の方向469へ移動して良い。それに加えて、結合及び/又は分離はS-Dであって良く、かつ第2S-D供給装置477、第2S-D搬送装置、ウエハデータ、システムデータ、処理シーケンスデータ、若しくは搬送シーケンスデータ、又はこれらの結合を用いて決定されて良い。第2S-D供給装置477は1つ以上のレベル(図示されていない)を有して良く、かつ1種類以上の速度で動作して良い。あるいはその代わりに他のウエハ搬送手法が用いられても良い。   The second S-D transport subsystem 470 may include a second S-D supply device 477 that can be coupled to a second number of second S-D transport devices (471, 472, 473, 474, and 475). In some embodiments, the second SD transport device may be dynamically coupled to or disconnected from the second SD feed device 477 and moved in one or more directions 469. In addition, the coupling and / or separation may be SD and the second S-D supply device 477, the second S-D transfer device, wafer data, system data, processing sequence data, or transfer sequence data, or these It can be determined using a combination. The second S-D supply device 477 may have one or more levels (not shown) and may operate at one or more speeds. Alternatively, other wafer transfer methods may be used.

5つの第2S-D搬送装置(471、472、473、474、及び475)が、例示された実施例に示されている。しかしこれは本発明にとって必須ではない。他の実施例では、異なる数の第2S-D搬送装置が用いられても良い。それに加えて、例示された第2S-D搬送装置(471、472、473、474、及び475)は、図4の第2搬送地点で示されているが、このことは本発明にとって必須ではない。第2S-D搬送装置が第2搬送地点に位置しているとき、1つ以上のウエハ(図示されていない)が、第2S-D搬送装置とS-Dロードロック装置との間で搬送されて良い。   Five second SD transport devices (471, 472, 473, 474, and 475) are shown in the illustrated embodiment. However, this is not essential for the present invention. In other embodiments, a different number of second SD transport devices may be used. In addition, the illustrated second SD transport devices (471, 472, 473, 474, and 475) are shown at the second transport point in FIG. 4, but this is not essential to the invention. . When the second SD transport device is located at the second transport point, one or more wafers (not shown) may be transported between the second SD transport device and the SD load lock device. .

たとえばS-D処理シーケンス及び/又はS-D搬送シーケンスは、ウエハを搬送する第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって使用されて良い。   For example, the S-D processing sequence and / or the S-D transfer sequence may be used by the first S-D transfer subsystem 460 and the second S-D transfer subsystem 470 that transfer the wafer.

典型的なS-Dサブシステム400は5つの制御装置(414、424、434、444、及び454)を有して良い。第1制御装置414は、1つ以上の第1S-D処理装置410と結合して良く、かつ、1つ以上の第1S-D処理装置410及び第1S-Dロードロック装置415の制御に用いられて良い。それに加えて、第1制御装置414は、データ転送サブシステム(図1の106)と411で結合して良い。第2制御装置424は、1つ以上の第2S-D処理装置420と結合して良く、かつ、1つ以上の第2S-D処理装置420及び第2S-Dロードロック装置425の制御に用いられて良い。それに加えて、第2制御装置424は、データ転送サブシステム(図1の106)と421で結合して良い。第3制御装置434は、1つ以上の第3S-D処理装置430と結合して良く、かつ、1つ以上の第3S-D処理装置430及び第3S-Dロードロック装置435の制御に用いられて良い。それに加えて、第3制御装置434は、データ転送サブシステム(図1の106)と431で結合して良い。第4制御装置444は、1つ以上の第4S-D処理装置440と結合して良く、かつ、1つ以上の第4S-D処理装置440及び第4S-Dロードロック装置445の制御に用いられて良い。それに加えて、第4制御装置444は、データ転送サブシステム(図1の106)と441で結合して良い。第5制御装置454は、1つ以上の第5S-D処理装置450と結合して良く、かつ、1つ以上の第5S-D処理装置450及び第5S-Dロードロック装置455の制御に用いられて良い。それに加えて、第5制御装置454は、データ転送サブシステム(図1の106)と451で結合して良い。あるいはその代わりに、異なる数の制御装置が用いられて良く、異なる数の処理装置が用いられて良く、かつデータ転送サブシステムが異なった構成をとっても良い。   A typical S-D subsystem 400 may have five controllers (414, 424, 434, 444, and 454). The first control device 414 may be combined with one or more first S-D processing devices 410, and is used to control one or more first S-D processing devices 410 and first S-D load lock devices 415. It ’s good. In addition, the first controller 414 may be coupled with the data transfer subsystem (106 in FIG. 1) 411. The second control device 424 may be coupled to one or more second S-D processing devices 420, and is used to control one or more second S-D processing devices 420 and second S-D load lock devices 425. It ’s good. In addition, the second controller 424 may be coupled with a data transfer subsystem (106 in FIG. 1) 421. The third control device 434 may be combined with one or more third S-D processing devices 430 and is used to control one or more third S-D processing devices 430 and the third S-D load lock device 435. It ’s good. In addition, the third controller 434 may be coupled 431 with a data transfer subsystem (106 in FIG. 1). The fourth control device 444 may be combined with one or more fourth S-D processing devices 440, and is used to control one or more fourth S-D processing devices 440 and the fourth S-D load lock device 445. It ’s good. In addition, the fourth controller 444 may be coupled with a data transfer subsystem (106 in FIG. 1) 441. The fifth control device 454 may be combined with one or more fifth S-D processing devices 450, and is used to control one or more fifth S-D processing devices 450 and the fifth S-D load lock device 455. It ’s good. In addition, the fifth controller 454 may be coupled 451 with a data transfer subsystem (106 in FIG. 1). Alternatively, a different number of control devices may be used, a different number of processing devices may be used, and the data transfer subsystem may have a different configuration.

1つ以上の制御装置(414、424、434、444、及び454)は、1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。第1S-D搬送サブシステム460は、データ転送サブシステム(図1の106)と466で結合し、かつ1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。第2S-D搬送サブシステム470は、データ転送サブシステム(図1の106)と466で結合し、かつ1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。データ転送サブシステム106もまた、1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。メッセージはS-Dデータ及び/又は非S-Dデータを有して良い。メッセージはリアルタイムデータ及び/又は履歴データを有して良い。   One or more controllers (414, 424, 434, 444, and 454) may generate, process, modify, transmit, and / or receive one or more messages in real time. The first S-D transport subsystem 460 is coupled to the data transfer subsystem (106 in FIG. 1) at 466 and generates, processes, modifies, transmits, and / or receives one or more messages in real time. good. The second S-D transport subsystem 470 is coupled with the data transfer subsystem (106 in FIG. 1) at 466, and generates, processes, modifies, transmits, and / or receives one or more messages in real time. good. Data transfer subsystem 106 may also generate, process, modify, send, and / or receive one or more messages in real time. The message may comprise S-D data and / or non-S-D data. The message may include real time data and / or historical data.

一部の実施例では、1つ以上のウエハが第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。ウエハについての処理シーケンスがシステム400によって設定されて良い。たとえばウエハ及び/又は処理状態データは、ウエハが受け取られるとき及び/又はその前に、ウエハが処理シーケンスを設定するために用いられて良い。あるいはその代わりに、ウエハは処理装置によって受け取られても良い。   In some embodiments, one or more wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. A processing sequence for the wafer may be set by the system 400. For example, wafer and / or process status data may be used by the wafer to set up a process sequence when and / or before the wafer is received. Alternatively, the wafer may be received by a processing apparatus.

1つ以上のメッセージが、1つ以上の制御装置(414、424、434、444、及び454)によってリアルタイムで処理されて良い。1つ以上のウエハは、1つ以上のサブシステム(410、420、430、440、及び450)によって実質的に同時に処理されて良い。1つ以上のメッセージが、各ウエハについての処理シーケンスを決定するのに用いられて良い。たとえば、第1ウエハは第1ロードロック装置415を用いて第1処理装置410へ送られて良く、第2ウエハは第2ロードロック装置425を用いて第2処理装置420へ送られて良く、第3ウエハは第3ロードロック装置435を用いて第3処理装置430へ送られて良く、第4ウエハは第4ロードロック装置445を用いて第4処理装置440へ送られて良く、かつ、第5ウエハは第5ロードロック装置455を用いて第5処理装置450へ送られて良い。それに加えて、1つ以上のメッセージは、ウエハデータ、レシピデータ、プロファイルデータ、モデル化データ、装置データ、及び/又は処理データを有して良い。   One or more messages may be processed in real time by one or more controllers (414, 424, 434, 444, and 454). One or more wafers may be processed substantially simultaneously by one or more subsystems (410, 420, 430, 440, and 450). One or more messages may be used to determine the processing sequence for each wafer. For example, the first wafer may be sent to the first processing device 410 using the first load lock device 415, and the second wafer may be sent to the second processing device 420 using the second load lock device 425, The third wafer may be sent to the third processing device 430 using the third load lock device 435, the fourth wafer may be sent to the fourth processing device 440 using the fourth load lock device 445, and The fifth wafer may be sent to the fifth processing unit 450 using the fifth load lock device 455. In addition, the one or more messages may include wafer data, recipe data, profile data, modeling data, device data, and / or processing data.

1つ以上の制御装置(414、424、434、444、及び454)は、1つ以上のS-D処理装置(410、420、430、440、及び450)を用いた1つ以上のウエハの処理をいつどのようにして行うのかを決定するのに用いられて良い。制御装置は、S-Dサブシステム内のS-D処理装置が利用可能になるとき、及び/又は、S-Dサブシステム内のS-D処理装置が利用可能でなくなるときを判断するのに用いられて良い。たとえば、タイミングの問題があるのでS-Dメッセージ及び/又はデータは利用できない場合があり、かつS-Dメッセージ及び/又はデータが利用可能となるまで、制御装置は待機して良い。それに加えて、新たな(更新された)S-Dデータが利用可能でないとき、ウエハは更新されていないS-Dデータを用いて処理されて良い。   One or more controllers (414, 424, 434, 444, and 454) can process one or more wafers using one or more SD processors (410, 420, 430, 440, and 450). It can be used to determine when and how to do it. The controller may be used to determine when the S-D processing device in the S-D subsystem becomes available and / or when the S-D processing device in the S-D subsystem becomes unavailable. For example, due to timing issues, the S-D message and / or data may not be available, and the controller may wait until the S-D message and / or data is available. In addition, when new (updated) S-D data is not available, the wafer may be processed using non-updated S-D data.

一部の実施例では、第1処理シーケンスを用いた第1数の被処理ウエハの設定が行われて良い。S-Dサブシステム内の第2数の利用可能な処理装置が、S-Dサブシステム内の1つ以上の処理装置に問い合わせをすることによって特定されて良い。たとえば、各処理装置についての動作状態が決定されて良く、かつ、第2数の利用可能な処理装置についての第1動作状態は、処理装置が利用可能なときには第1の値であって良く、かつ処理装置が利用可能でないときには第2の値であって良い。   In some embodiments, the first number of wafers to be processed may be set using the first processing sequence. A second number of available processing devices in the S-D subsystem may be identified by querying one or more processing devices in the S-D subsystem. For example, the operating state for each processing device may be determined, and the first operating state for the second number of available processing devices may be the first value when the processing device is available, The second value may be used when the processing device is not available.

第2数が第1数以上であるとき、第1数のウエハはS-Dサブシステム内の第2数の利用可能な処理装置へ搬送されて良い。第2数が第1数以下であるとき、1つ以上の補正行為が実行されて良い。   When the second number is greater than or equal to the first number, the first number of wafers may be transferred to a second number of available processing equipment in the S-D subsystem. When the second number is less than or equal to the first number, one or more corrective actions may be performed.

補正行為は、1)可能な限り多くのウエハを処理して、残りのウエハを保存する工程、2)可能な限り多くのウエハを処理して、処理装置が利用可能になるとすぐに残りのウエハを処理する工程、3)可能な限り多くのウエハを処理して、処理装置が利用可能になるとすぐに残りのウエハを他のサブシステムへ送る工程、を有して良い。   The corrective action is: 1) process as many wafers as possible and store the remaining wafers, 2) process as many wafers as possible and as soon as the processing equipment is available, the remaining wafers And 3) processing as many wafers as possible and sending the remaining wafers to other subsystems as soon as the processing equipment is available.

一部の実施例では、第1S-Dマスク処理が実行されて良い。たとえば、マスク堆積処理が第1S-D装置410を用いて実行されて良く、露光処理が第2S-D装置420を用いて実行されて良く、乾燥及び/又は検査処理が第3S-D装置430を用いて実行されて良く、再加工処理が第4S-D装置440を用いて実行されて良く、かつ、現像処理が第5S-D装置450を用いて実行されて良い。他の例では、他のサブシステムが置き換えられて良く、かつ/あるいは、追加のサブシステムが用いられて良い。他のS-D処理シーケンスが、サブシステムの個数及び/又は種類、並びに前記サブシステムをいつ用いるのかを決定するのに用いられて良い。   In some embodiments, a first S-D mask process may be performed. For example, a mask deposition process may be performed using the first S-D apparatus 410, an exposure process may be performed using the second S-D apparatus 420, and a drying and / or inspection process may be performed using the third S-D apparatus 430. , The rework process may be performed using the fourth S-D apparatus 440, and the development process may be performed using the fifth S-D apparatus 450. In other examples, other subsystems may be replaced and / or additional subsystems may be used. Other S-D processing sequences may be used to determine the number and / or type of subsystems and when to use the subsystems.

追加の実施例では、S-D測定処理が実行されて良い。一部のウエハについてのS-D処理シーケンス及び/又はS-D搬送シーケンスがウエハデータを用いて設定されて良い。シーケンスはS-D測定処理を有して良い。S-D処理シーケンス及び/又はS-D搬送シーケンスは、S-D処理装置(410、420、430、440、及び450)及び搬送サブシステム(401、460、及び470)を用いて実行されて良い。たとえば、第1非S-D搬送サブシステム401及び/又は第2非S-D搬送サブシステム402は、S-Dウエハ及び/又は非S-Dウエハを含む多数のウエハを受け取って良い。第1組のウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。   In additional embodiments, an S-D measurement process may be performed. An S-D processing sequence and / or an S-D transfer sequence for some wafers may be set using wafer data. The sequence may include an S-D measurement process. The S-D processing sequence and / or the S-D transport sequence may be performed using the S-D processing apparatus (410, 420, 430, 440, and 450) and the transport subsystem (401, 460, and 470). For example, the first non-S-D transport subsystem 401 and / or the second non-S-D transport subsystem 402 may receive a number of wafers including S-D wafers and / or non-S-D wafers. The first set of wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470.

各ウエハは関連するウエハデータを有して良い。そのウエハデータはS-Dデータ及び/又は非S-Dデータを有して良い。1つ以上のウエハは、上に1つ以上の評価用構造を有する。ウエハ、サブシステム、処理装置、処理、若しくは処理結果データ、又はこれらの結合についてのS-D信頼性データ及び/又は非S-D信頼性データが決定されて良い。   Each wafer may have associated wafer data. The wafer data may include S-D data and / or non-S-D data. One or more wafers have one or more evaluation structures thereon. S-D reliability data and / or non-S-D reliability data for wafers, subsystems, processing equipment, processing, or processing result data, or combinations thereof may be determined.

第1組のS-D測定ウエハが作製されて良い。その第1組のS-D測定ウエハの中の各ウエハ、上には1つ以上の評価用構造を有して良い。第1組のS-D測定ウエハはS-Dデータ及び/又は非S-Dデータを用いて作製されて良い。第1組のS-D測定ウエハは、1つ以上のS-D処理装置(410、420、430、440、及び450)へ搬送されて良い。たとえば、信頼性データ、ウエハ状態データ、処理シーケンスデータ、又は履歴データが用いられて良い。   A first set of S-D measurement wafers may be fabricated. One or more evaluation structures may be provided on each wafer in the first set of S-D measurement wafers. The first set of S-D measurement wafers may be fabricated using S-D data and / or non-S-D data. The first set of S-D measurement wafers may be transferred to one or more S-D processing devices (410, 420, 430, 440, and 450). For example, reliability data, wafer state data, processing sequence data, or history data may be used.

第1組のS-D測定ウエハについて第1S-D測定処理が決定されて良い。第1組のS-D測定ウエハは、第1S-D測定処理を用いることによって、第1S-D評価装置410内で測定される。たとえば、信頼性データ、ウエハ状態データ、処理シーケンスデータ、又は履歴データが、第1S-D測定処理の設定に用いられて良い。   A first S-D measurement process may be determined for a first set of S-D measurement wafers. The first set of S-D measurement wafers is measured in the first S-D evaluation apparatus 410 by using the first S-D measurement process. For example, reliability data, wafer state data, process sequence data, or history data may be used for setting the first S-D measurement process.

第1組のS-D測定ウエハは、1つ以上のS-D搬送サブシステム(460、470)を用いることによって、第1S-Dサブシステム400内の1つ以上の第1S-D測定関連装置410へ搬送されて良い。第1S-D搬送シーケンス、第1S-D処理シーケンス、若しくは第1S-D測定処理、又はこれらの結合は、1つ以上の第1S-D測定関連装置410の決定に用いられて良い。1つ以上の第1S-D測定関連装置410は第1S-D測定処理を実行して良い。   The first set of SD measurement wafers is transferred to one or more first S-D measurement related devices 410 in the first S-D subsystem 400 by using one or more SD transfer subsystems (460, 470). May be good. The first S-D transport sequence, the first S-D processing sequence, or the first S-D measurement process, or a combination thereof, may be used to determine one or more first S-D measurement related devices 410. One or more first S-D measurement related devices 410 may perform a first S-D measurement process.

一部の実施例では、第1測定ウエハは第1組のS-D測定ウエハから選ばれて良く、かつ、第1測定ウエハは、上に第1S-D評価用部位を有して良い。第1S-D部位からの測定信号データを含む第1測定データが取得されて良い。第1S-D最善推定信号及び関連する第1S-Dテスト推定構造は、S-D測定信号及び関連する構造のライブラリから選ばれて良い。たとえば、その信号は、回折信号及び/若しくはスペクトル、屈折信号及び/若しくはスペクトル、反射信号及び/若しくはスペクトル、透過信号及び/若しくはスペクトル、又はこれらの結合を有して良い。   In some embodiments, the first measurement wafer may be selected from a first set of S-D measurement wafers, and the first measurement wafer may have a first S-D evaluation site thereon. First measurement data including measurement signal data from the first S-D site may be acquired. The first S-D best estimate signal and the associated first S-D test estimation structure may be selected from a library of S-D measurement signals and associated structures. For example, the signal may comprise a diffraction signal and / or spectrum, a refraction signal and / or spectrum, a reflected signal and / or spectrum, a transmitted signal and / or spectrum, or a combination thereof.

それに加えて、S-D評価用部位は、マスク構造、エッチング構造、ドーピング構造、埋められた構造、半分埋められた構造、損傷構造、誘電構造、ゲート構造、ゲート電極構造、ゲート積層構造、トランジスタ構造、FinFET構造、CMOS構造、フォトレジスト構造、周期構造、位置合わせ構造、溝構造、ビア構造、アレイ構造、回折格子構造、又はこれらの結合を有して良い。   In addition, SD evaluation sites include mask structure, etching structure, doping structure, buried structure, half-filled structure, damage structure, dielectric structure, gate structure, gate electrode structure, gate stack structure, transistor structure, A FinFET structure, a CMOS structure, a photoresist structure, a periodic structure, an alignment structure, a groove structure, a via structure, an array structure, a diffraction grating structure, or a combination thereof may be included.

第1S-D測定信号データと第1S-D最善推定信号データとの間の第1S-D差異が計算されて良い。第1測定ウエハについての第1S-D信頼性データが第1S-D差異を用いて設定されて良い。第1S-D信頼性データは第1S-D製品要件と比較されて良い。1つ以上のS-D製品要件が満たされる場合、第1測定データは第1高信頼性ウエハと認定されて、処理が続けられて良い。あるいは、1つ以上のS-D製品要件が満たされない場合、第1補正行為が適用されて良い。   A first S-D difference between the first S-D measurement signal data and the first S-D best estimate signal data may be calculated. First S-D reliability data for the first measurement wafer may be set using the first S-D difference. The first S-D reliability data may be compared with the first S-D product requirements. If one or more S-D product requirements are met, the first measurement data can be qualified as the first reliable wafer and processing can continue. Alternatively, the first corrective action may be applied if one or more SD product requirements are not met.

S-D測定信号データは、S-D評価用構造、若しくは他の構造、又はこれらの結合から取得されて良い。   S-D measurement signal data may be obtained from an S-D evaluation structure, or other structure, or a combination thereof.

1つ以上の第1S-D製品要件が満たされるとき、S-D評価用部位は第1S-D最善推定構造及び関連する第1S-D最善推定信号データを用いて作成されて良い。   When one or more first S-D product requirements are met, an S-D evaluation site may be created using the first S-D best estimation structure and associated first S-D best estimation signal data.

一部の実施例では、第1補正行為は、S-D回折信号及び関連する構造のライブラリから新たなS-D最善推定信号データ及び関連する新たなS-D最善推定構造を選択する選択工程、第1S-D測定信号データと新たなS-D最善推定信号データとの新たなS-D差異を計算する計算工程、新たなS-D差異を用いることによって第1測定ウエハについての新たなS-D信頼性データを設定する設定工程、新たなS-D信頼性データを新たなS-D製品要件と比較する比較工程、並びに、1つ以上の新たなS-D製品要件が満たされる場合には第1測定ウエハを新たな高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の新たなS-D製品要件が満たされない場合には前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定工程を中止する中止工程のいずれかを有して良い。第1S-Dプロファイルライブラリ生成基準が満たされるとき、第1S-D評価用部位は、新たなS-D最善推定構造及び関連する新たなS-D最善推定信号データを用いて作成されて良い。あるいはその代わりに、他の最善推定データが用いられて良く、かつ他の比較が行われても良い。   In some embodiments, the first corrective action is a selection step of selecting new SD best estimate signal data and an associated new SD best estimate structure from a library of SD diffraction signals and associated structures, the first S-D measurement A calculation process for calculating a new SD difference between the signal data and the new SD best estimate signal data, a setting process for setting new SD reliability data for the first measurement wafer by using the new SD difference, a new A comparison process that compares SD reliability data with new SD product requirements, and if one or more new SD product requirements are met, the first measurement wafer is certified as a new high reliability wafer and processed. Continue certification process or, if one or more new SD product requirements are not met, the selection process, the calculation process, the setting process, the comparison process, and the cancellation process to stop the certification process Possess Good. When the first S-D profile library generation criterion is satisfied, the first S-D evaluation site may be created using the new S-D best estimation structure and the related new S-D best estimation signal data. Alternatively, other best estimate data may be used and other comparisons may be made.

他の実施例では、第1補正行為は、第1組のS-D測定ウエハから第2測定ウエハを選択する工程であって、前記第2測定ウエハは上に第1S-D評価用部位を有する、第1選択工程、第1S-D部位からの第2S-D測定信号データを含む第2測定データを取得する取得工程、S-D測定データ(回折信号)及び関連する構造のライブラリから第1S-D最善推定信号データ及び関連する第2S-D最善推定構造を選択する第2選択工程、第2S-D測定信号データと第2S-D最善推定信号データとの第2S-D差異を計算する計算工程、第2S-D信頼性データを第2S-D製品要件と比較する比較工程、並びに、1つ以上の第2S-D製品要件が満たされる場合には第2測定ウエハを第2高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の第2S-D製品要件が満たされない場合には第2補正行為を適用する補正適用工程のいずれかを有して良い。   In another embodiment, the first correction act is a step of selecting a second measurement wafer from the first set of SD measurement wafers, the second measurement wafer having a first S-D evaluation portion on the first measurement wafer, First selection step, acquisition step for acquiring second measurement data including second S-D measurement signal data from the first S-D region, first S-D best from library of SD measurement data (diffraction signal) and related structure A second selection step for selecting estimated signal data and an associated second S-D best estimation structure; a calculation step for calculating a second S-D difference between the second S-D measurement signal data and the second S-D best estimation signal data; A comparison process that compares the second S-D reliability data with the second S-D product requirements, and if one or more second S-D product requirements are met, the second measurement wafer is designated as the second high-reliability wafer. An accreditation process that is accredited and processed, or an amendment that applies a second amendment if one or more second S-D product requirements are not met It may have any of the use process.

さらに他の実施例では、第1補正行為は、測定ウエハ上の第2S-D評価用部位を選択する第1選択工程、第2S-D部位からの第2S-D測定信号データを含む第2測定データを取得する取得工程、S-D測定データ(回折信号)及び関連する構造のライブラリから第1S-D最善推定信号データ及び関連する第2S-D最善推定構造を選択する第2選択工程、第2S-D測定信号データと第2S-D最善推定信号データとの第2S-D差異を計算する計算工程、第2S-D差異を用いることによって第1測定ウエハについての第2S-D信頼性データを設定する設定工程、第2S-D信頼性データを第2S-D製品要件と比較する比較工程、並びに、1つ以上の第2S-D製品要件が満たされる場合には第1測定ウエハを第2高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の第2S-D製品要件が満たされない場合には第2補正行為を適用する補正適用工程のいずれかを有して良い。   In yet another embodiment, the first correction act includes a first selection step of selecting a second S-D evaluation site on the measurement wafer, and a second S-D measurement signal data from the second S-D site. An acquisition process for acquiring measurement data, a second selection process for selecting a first S-D best estimation signal data and an associated second S-D best estimation structure from a library of SD measurement data (diffraction signal) and related structures, a second S A calculation process for calculating the second S-D difference between the -D measurement signal data and the second S-D best estimated signal data, and the second S-D reliability data for the first measurement wafer is obtained by using the second S-D difference. A setup process to set up, a comparison process to compare the second S-D reliability data with the second S-D product requirements, and the second measurement product to the second if one or more second S-D product requirements are met A qualification process that qualifies as a reliable wafer and continues processing, or if one or more second S-D product requirements are not met May have one of the compensation applied applying a second corrective actions.

一部の実施例では、追加補正行為は、1つ以上の測定ウエハ上の追加S-D評価用部位を選択する第1選択工程、追加S-D部位からの追加S-D測定信号データを含む追加測定データを取得する取得工程、S-D測定データ及び関連する構造のライブラリから追加S-D最善推定信号データ及び関連する追加S-D最善推定構造を選択する第2選択工程、追加S-D測定信号データと追加S-D最善推定信号データとの追加S-D差異を計算する計算工程、追加S-D差異を用いることによって1つ以上の測定ウエハについての追加S-D信頼性データを設定する設定工程、追加S-D信頼性データを追加S-D製品要件と比較する比較工程、並びに、1つ以上の追加S-D製品要件が満たされる場合には1つ以上の測定ウエハを追加の高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の追加S-D製品要件が満たされない場合には前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定工程を中止する中止工程のいずれかを有して良い。   In some embodiments, the additional correction act is a first selection step of selecting additional SD evaluation sites on one or more measurement wafers, and acquiring additional measurement data including additional SD measurement signal data from the additional SD sites. A second selection step of selecting additional SD best estimation signal data and related additional SD best estimation structure from a library of acquisition processes, SD measurement data and related structures, and additional SD measurement signal data and additional SD best estimation signal data Calculation process to calculate additional SD differences, setting process to set additional SD reliability data for one or more measurement wafers by using additional SD differences, comparison process to compare additional SD reliability data with additional SD product requirements And one or more additional SD product requirements, if one or more additional SD product requirements are met, qualify the process to qualify one or more measurement wafers as additional high-reliability wafers, or one or more additional SD When the product requirement is not satisfied, the method may include any of the selection step, the calculation step, the setting step, the comparison step, and a cancellation step for stopping the certification step.

新たなサイトが選ばれるとき、ライブラリ生成規則が用いられて良い。   Library creation rules may be used when a new site is selected.

他の実施例では、二重パターニング処理シーケンスが1つ以上のS-D処理を用いることによって実行されて良い。第1組のウエハが、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第1組のウエハは1つ以上の第1S-D装置410へ搬送されて良い。第1マスク層が第1S-Dマスク堆積処理を用いることによって各ウエハ上に堆積されて良い。第1組の高信頼性ウエハが第1S-D評価処理を用いることによって作成されて良い。第1組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第1組の高信頼性ウエハは、1つ以上の第2S-D装置420へ搬送されて良い。各ウエハ上のマスク層は第1S-D露光処理を用いることによって第1パターニング放射線に曝露されて良い。第2組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第1組の高信頼性ウエハは、1つ以上の第3S-D装置430へ搬送されて良い。露光層はS-D現像処理を用いることによって現像されて良い。第3組の高信頼性ウエハは第3評価処理を用いることによって作成されて良い。第3組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第3組の高信頼性ウエハは、1つ以上の第4S-D装置440へ搬送されて良い。現像されたウエハはS-Dエッチング処理を用いることによってエッチングされて良い。第1組のエッチング構造が各ウエハ上の1層以上の層内に生成されて良い。第4組の高信頼性ウエハは第4評価処理を用いることによって作成されて良い。第4組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第4組の高信頼性ウエハは、1つ以上の第5S-D装置450へ搬送されて良い。1つ以上の第1材料がS-D堆積処理を用いることによってエッチングされたウエハ上に堆積されて良い。第1組の埋められた構造が各ウエハ上の1層以上の層内に生成されて良い。第5組の高信頼性ウエハは第5評価処理を用いることによって作成されて良い。   In other embodiments, a double patterning process sequence may be performed by using one or more SD processes. A first set of wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The first set of wafers may be transferred to one or more first SD devices 410. A first mask layer may be deposited on each wafer by using a first S-D mask deposition process. A first set of high reliability wafers may be created by using the first SD evaluation process. The first set of high reliability wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The first set of high reliability wafers may be transferred to one or more second SD devices 420. The mask layer on each wafer may be exposed to the first patterning radiation by using a first SD exposure process. The second set of high reliability wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The first set of high reliability wafers may be transferred to one or more third S-D devices 430. The exposed layer may be developed using an SD development process. A third set of high reliability wafers may be created by using a third evaluation process. The third set of high reliability wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The third set of high reliability wafers may be transferred to one or more fourth SD devices 440. The developed wafer may be etched using an S-D etching process. A first set of etching structures may be created in one or more layers on each wafer. A fourth set of high reliability wafers may be created by using a fourth evaluation process. The fourth set of reliable wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The fourth set of high reliability wafers may be transferred to one or more fifth S-D devices 450. One or more first materials may be deposited on the etched wafer by using an S-D deposition process. A first set of embedded structures may be created in one or more layers on each wafer. A fifth set of high reliability wafers may be created by using the fifth evaluation process.

第5組の高信頼性ウエハが、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第5組の高信頼性ウエハは1つ以上の第1S-D装置410へ搬送されて良い。第2マスク層が第2S-Dマスク堆積処理を用いることによって各ウエハ上に堆積されて良い。第6組の高信頼性ウエハが第6S-D評価処理を用いることによって作成されて良い。第6組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第6組の高信頼性ウエハは、1つ以上の第2S-D装置420へ搬送されて良い。各ウエハ上の第2マスク層は第2S-D露光処理を用いることによって第2パターニング放射線に曝露されて良い。第7組の高信頼性ウエハが第7S-D評価処理を用いることによって作成されて良い。第7組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第7組の高信頼性ウエハは、1つ以上の第3S-D装置430へ搬送されて良い。第2露光層は第2S-D現像処理を用いることによって現像されて良い。第8組の高信頼性ウエハは第8評価処理を用いることによって作成されて良い。第8組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第8組の高信頼性ウエハは、1つ以上の第4S-D装置440へ搬送されて良い。現像されたウエハはS-Dエッチング処理を用いることによってエッチングされて良い。第2組のエッチング構造が各ウエハ上の1層以上の層内に生成されて良い。第9組の高信頼性ウエハは第9評価処理を用いることによって作成されて良い。第9組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第9組の高信頼性ウエハは、1つ以上の第5S-D装置450へ搬送されて良い。1つ以上の第2材料がS-D堆積処理を用いることによってエッチングされたウエハ上に堆積されて良い。第2組の埋められた構造が各ウエハ上の1層以上の層内に生成されて良い。第10組の高信頼性ウエハは第10評価処理を用いることによって作成されて良い。   A fifth set of high reliability wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The fifth set of high reliability wafers may be transferred to one or more first SD devices 410. A second mask layer may be deposited on each wafer by using a second S-D mask deposition process. A sixth set of high-reliability wafers may be created by using a sixth S-D evaluation process. A sixth set of high reliability wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The sixth set of high reliability wafers may be transferred to one or more second SD devices 420. The second mask layer on each wafer may be exposed to the second patterning radiation by using a second SD exposure process. A seventh set of high-reliability wafers may be created by using the seventh S-D evaluation process. The seventh set of high reliability wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The seventh set of high reliability wafers may be transferred to one or more third S-D devices 430. The second exposed layer may be developed by using a second SD development process. An eighth set of high reliability wafers may be created by using the eighth evaluation process. The eighth set of reliable wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The eighth set of high reliability wafers may be transferred to one or more fourth S-D devices 440. The developed wafer may be etched using an S-D etching process. A second set of etching structures may be created in one or more layers on each wafer. The ninth set of high reliability wafers may be created by using the ninth evaluation process. The ninth set of high reliability wafers may be received by the first SD transport subsystem 460 and the second SD transport subsystem 470. The ninth set of high reliability wafers may be transferred to one or more fifth S-D devices 450. One or more second materials may be deposited on the etched wafer by using an S-D deposition process. A second set of embedded structures may be created in one or more layers on each wafer. A tenth set of high reliability wafers may be created by using the tenth evaluation process.

第1組の高信頼性ウエハは、1a)第1S-Dマスク生成処理中に1つ以上のマスク生成評価サイトからS-D信頼性データを取得し、2a)第1組のウエハ中の各ウエハについてのS-D信頼性データを、1つ以上のマスク生成評価サイトについて設定される1つ以上の信頼性要件と比較し、かつ3a)第1マスク生成信頼性要件が満たされる場合には、第1組の高信頼性ウエハのメンバーとして第1組のウエハ中のウエハを認定する、ことによって作成されて良い。   The first set of high-reliability wafers is: 1a) SD reliability data is acquired from one or more mask generation evaluation sites during the first S-D mask generation process; 2a) for each wafer in the first set of wafers The SD reliability data of the first set is compared with one or more reliability requirements set for one or more mask generation evaluation sites, and 3a) if the first mask generation reliability requirements are met, Can be created by qualifying a wafer in a first set of wafers as a member of a highly reliable wafer.

第2組の高信頼性ウエハは、1b)S-D露光処理中に1つ以上の露光依存サイトからS-D信頼性(マッピング)データを取得し、2b)第1組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上の露光依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3b)第1露光関連信頼性(マッピング)要件が満たされる場合には、第2組の高信頼性ウエハのメンバーとして第1組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。   The second set of high-reliability wafers is 1b) SD reliability (mapping) data is acquired from one or more exposure-dependent sites during the SD exposure process, and 2b) each wafer in the first set of high-reliability wafers. Compare SD reliability (mapping) data with one or more reliability (mapping) requirements set for one or more exposure dependent sites, and 3b) the first exposure related reliability (mapping) requirements If satisfied, may be created by qualifying a wafer in the first set of high-reliability wafers as a member of the second set of high-reliability wafers.

第3組の高信頼性ウエハは、1c)S-D現像処理中に1つ以上の現像依存サイトからS-D信頼性(マッピング)データを取得し、2c)第2組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上の現像依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3c)第1現像関連信頼性(マッピング)要件が満たされる場合には、第3組の高信頼性ウエハのメンバーとして第2組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。   The third set of high-reliability wafers is 1c) SD reliability (mapping) data is acquired from one or more development-dependent sites during the SD development process, and 2c) each wafer in the second set of high-reliability wafers. Compare SD reliability (mapping) data with one or more reliability (mapping) requirements set for one or more development-dependent sites, and 3c) the first development-related reliability (mapping) requirement If satisfied, may be created by qualifying a wafer in the second set of high-reliability wafers as a member of the third set of high-reliability wafers.

第4組の高信頼性ウエハは、1d)S-Dエッチング処理中に1つ以上のエッチング依存サイトからS-D信頼性(マッピング)データを取得し、2d)第3組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上のエッチング依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3d)第1エッチング関連信頼性(マッピング)要件が満たされる場合には、第4組の高信頼性ウエハのメンバーとして第3組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。   The fourth set of high-reliability wafers is 1d) SD SD (mapping) data is acquired from one or more etch-dependent sites during the SD etching process, and 2d) each wafer in the third set of high-reliability wafers. Compare SD reliability (mapping) data with one or more reliability (mapping) requirements set for one or more etch dependent sites, and 3d) the first etch related reliability (mapping) requirements If satisfied, it may be created by qualifying a wafer in a third set of high reliability wafers as a member of a fourth set of high reliability wafers.

第5組の高信頼性ウエハは、1e)S-D堆積処理中に1つ以上の堆積依存サイトからS-D信頼性(マッピング)データを取得し、2e)第4組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上の堆積依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3e)第1堆積関連信頼性(マッピング)要件が満たされる場合には、第5組の高信頼性ウエハのメンバーとして第4組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。   The fifth set of high-reliability wafers is 1e) SD reliability (mapping) data is acquired from one or more deposition-dependent sites during the SD deposition process, and 2e) each wafer in the fourth set of high-reliability wafers. Compare SD reliability (mapping) data with one or more reliability (mapping) requirements set for one or more deposition dependent sites, and 3e) the first deposition related reliability (mapping) requirements If satisfied, it may be created by qualifying a wafer in the fourth set of high reliability wafers as a member of the fifth set of high reliability wafers.

追加の高信頼性ウエハの組は同様の手法を用いて作成されて良い。   Additional high reliability wafer sets may be created using similar techniques.

評価サイトは、処理依存サイト、測定依存サイト、検査依存サイト、層依存サイト、ウエハ依存サイトを有して良い。S-D信頼性データはS-D(マスク生成)データについての信頼値を有して良い。S-D(マスク生成)データは、精度データ、S-D処理データ、S-D測定データ、S-D検査データ、S-Dシミュレーションデータ、S-D予測データ、若しくはS-D履歴データ、又はこれらの結合を有して良い。第1マスク生成信頼性要件はマスク生成データについての信頼性データ限界を有して良い。マスク生成データは、精度限界、処理データ限界、測定データ限界、検査データ限界、シミュレーションデータ限界、予測データ限界、及び/又は履歴データ限界を有して良い。   The evaluation site may include a process dependent site, a measurement dependent site, an inspection dependent site, a layer dependent site, and a wafer dependent site. The S-D reliability data may have a confidence value for the S-D (mask generation) data. The S-D (mask generation) data may include accuracy data, S-D processing data, S-D measurement data, S-D inspection data, S-D simulation data, S-D prediction data, or S-D history data, or a combination thereof. The first mask generation reliability requirement may have a reliability data limit for the mask generation data. The mask generation data may have accuracy limits, process data limits, measurement data limits, inspection data limits, simulation data limits, prediction data limits, and / or historical data limits.

一部の追加実施例では、第1非S-D搬送サブシステム401及び/又は第2非S-D搬送サブシステム402はS-D及び/又は非S-Dウエハを受け取って良い。S-Dウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470へ搬送されて良い。ウエハに関連するデータはS-D信頼性データ及び/又は非S-D信頼性データを有して良い。   In some additional embodiments, the first non-S-D transport subsystem 401 and / or the second non-S-D transport subsystem 402 may receive S-D and / or non-S-D wafers. The S-D wafer may be transferred to the first S-D transfer subsystem 460 and the second S-D transfer subsystem 470. The wafer related data may include S-D reliability data and / or non-S-D reliability data.

第1組のS-DウエハがS-D信頼性データ及び/又は非S-D信頼性データを用いて作成されて良い。第1組のS-Dウエハについての第1S-D処理シーケンスが決定されて良い。第1組のS-Dウエハが、第1S-D処理シーケンスを用いることによって、S-D装置(410、420、430、440、及び450)内で処理されて良い。ウエハ状態データは、第1S-D処理シーケンスの設定に用いられて良い。第1組のS-Dウエハは1つ以上のS-D処理装置(410、420、430、440、及び450)へ搬送されて良い。第1S-D処理シーケンスは、1つ以上の第1S-D処理装置の決定に用いられて良い。   A first set of S-D wafers may be created using S-D reliability data and / or non-S-D reliability data. A first S-D processing sequence for the first set of S-D wafers may be determined. The first set of S-D wafers may be processed in the S-D apparatus (410, 420, 430, 440, and 450) by using the first S-D processing sequence. The wafer state data may be used for setting the first SD processing sequence. The first set of S-D wafers may be transferred to one or more S-D processing equipment (410, 420, 430, 440, and 450). The first S-D processing sequence may be used to determine one or more first S-D processing devices.

それに加えて、第1S-Dサブシステム処理データは、第1S-D処理シーケンスが、第1組のS-Dウエハを用いて実行される前、間、及び/又は後に収集されて良く、かつ、第1組のS-Dウエハ中の1つ以上のウエハについての第1S-D信頼性データが、ウエハデータ及び/又は第1S-Dサブシステム処理データを用いることによって設定されて良い。一部の例では、第1組のS-Dウエハ中の第1S-Dウエハについての第1S-D信頼性データが、第1S-Dサブシステム処理データを用いることによって設定されて良い。第1S-Dウエハについての第1S-D信頼値は第1S-D信頼性限界程度であって良い。第1S-D信頼性限界が満たされる場合、第1組のS-Dウエハの処理は継続されて良い。あるいは第1S-D信頼性限界が満たされない場合には、第1S-D補正行為が適用されて良い。第1S-D補正行為は、第1S-Dサブシステム処理データを用いることによって第1組のS-Dウエハ中の1つ以上のウエハについてのS-D信頼値を設定する設定工程、1つ以上の追加ウエハについてのS-D信頼値を追加の第1S-D信頼値と比較する比較工程、並びに、1つ以上の追加の第1S-D信頼性限界が満たされる場合には第1組のS-Dウエハの処理を継続し、又は1つ以上の追加の第1S-D信頼性限界が満たされない場合には前記設定工程及び前記比較工程を中止する工程、を有して良い。   In addition, the first S-D subsystem processing data may be collected before, during and / or after the first S-D processing sequence is performed using the first set of SD wafers and First SD reliability data for one or more wafers in a set of SD wafers may be set by using the wafer data and / or the first SD subsystem processing data. In some examples, first S-D reliability data for a first S-D wafer in a first set of S-D wafers may be set by using first S-D subsystem processing data. The first SD reliability value for the first SD wafer may be about the first SD reliability limit. If the first SD reliability limit is met, the processing of the first set of SD wafers may be continued. Alternatively, if the first SD reliability limit is not satisfied, the first SD correction act may be applied. The first S-D correction act is a setting step for setting an SD confidence value for one or more wafers in the first set of SD wafers by using the first S-D subsystem processing data, and one or more additional wafers. A comparison process that compares the SD confidence value for the additional first S-D confidence value, and the processing of the first set of SD wafers if one or more additional first S-D reliability limits are met Continuing or stopping the setting step and the comparison step if one or more additional first SD reliability limits are not met.

他の組のS-DウエハもまたS-D信頼性データ及び/又は非S-D信頼性データを用いることによって作成されて良い。他の組のS-Dウエハについて他のS-D処理シーケンスが決定されて良い。ウエハ状態データは、他のS-D処理シーケンスを設定するのに用いられて良い。他の組のS-Dウエハは、他のS-Dサブシステム内の1つ以上の他のS-D処理装置へ搬送されて良い。他のS-D処理シーケンスは、1つ以上の他のS-D処理装置を決定するのに用いられて良い。たとえば他の組のS-Dウエハは、1つ以上の他のS-Dサブシステム内の1つ以上のS-D処理装置へ搬送されて良い。   Other sets of S-D wafers may also be created by using S-D reliability data and / or non-S-D reliability data. Other S-D processing sequences may be determined for other sets of S-D wafers. Wafer state data may be used to set other S-D processing sequences. Other sets of S-D wafers may be transferred to one or more other S-D processing equipment in other S-D subsystems. Other S-D processing sequences may be used to determine one or more other S-D processing devices. For example, other sets of S-D wafers may be transferred to one or more S-D processing equipment in one or more other S-D subsystems.

ウエハ処理中、第1組の非S-DウエハがS-D信頼性データ及び/又は非S-D信頼性データを用いて作成されて良く、かつ第1組の非S-Dウエハについての第1非S-D処理シーケンスが決定されて良い。場合によっては、第1組の非S-Dウエハは、第1非S-D処理シーケンスを用いることによって、非S-Dサブシステム内で処理されて良く、かつウエハ状態データは、第1非S-D処理シーケンスの設定に用いられて良い。第1組の非S-Dウエハは1つ以上の非S-Dサブシステム内の1つ以上の非S-D処理装置へ搬送されて良く、かつ第1非S-D処理シーケンスは、1つ以上の第1非S-D処理装置の決定に用いられて良い。たとえば第1組の非S-Dウエハは、他のサブシステム内の1つ以上の非S-D処理装置へ搬送されて良い。   During wafer processing, a first set of non-SD wafers may be created using SD reliability data and / or non-SD reliability data, and a first non-SD processing sequence for the first set of non-SD wafers is determined. May be good. In some cases, the first set of non-SD wafers may be processed within the non-SD subsystem by using the first non-SD processing sequence, and the wafer status data is set to the first non-SD processing sequence. May be used. The first set of non-SD wafers may be transferred to one or more non-SD processing equipment in one or more non-SD subsystems, and the first non-SD processing sequence may include one or more first non-SD processing. It can be used to determine the device. For example, the first set of non-S-D wafers may be transferred to one or more non-S-D processing equipment in other subsystems.

様々な実施例では、非S-Dウエハは非S-D処理シーケンスを用いることによって非S-Dサブシステム内で処理されて良く、又は、非S-Dウエハは非S-D処理シーケンスを用いることによってS-Dサブシステム内で処理されて良く、又は、非S-DウエハはS-D処理シーケンスを用いることによって非S-Dサブシステム内で処理されて良く、かつ、ウエハ状態データは、処理シーケンスを設定するのに用いられて良い。それに加えて、非S-Dウエハは、非S-D搬送シーケンス及び/又はS-D搬送シーケンスを用いることによって搬送されて良い。処理シーケンスは、搬送シーケンスを決定するのに用いられて良い。   In various embodiments, non-SD wafers may be processed in a non-SD subsystem by using a non-SD processing sequence, or non-SD wafers are processed in an SD subsystem by using a non-SD processing sequence. Alternatively, non-SD wafers may be processed within the non-SD subsystem by using an SD processing sequence, and the wafer status data may be used to set the processing sequence. In addition, non-S-D wafers may be transferred by using non-S-D transfer sequences and / or SD transfer sequences. The processing sequence can be used to determine the transport sequence.

それに加えて、第1非S-Dサブシステム処理データは、第1非S-D処理シーケンスが、第1組の非S-Dウエハを用いて実行される前、間、及び/又は後に収集されて良く、かつ、第1組の非S-Dウエハ中の1つ以上のウエハについての第1非S-D信頼性データが、ウエハデータ及び/又は第1非S-Dサブシステム処理データを用いることによって設定されて良い。一部の例では、第1組の非S-Dウエハ中の第1非S-Dウエハについての第1非S-D信頼性データが、第1非S-Dサブシステム処理データを用いることによって設定されて良い。第1非S-Dウエハについての第1非S-D信頼値は第1非S-D信頼性限界程度であって良い。第1非S-D信頼性限界が満たされる場合、第1組の非S-Dウエハの処理は継続されて良い。あるいは第1非S-D信頼性限界が満たされない場合には、第1非S-D補正行為が適用されて良い。第1非S-D補正行為は、第1非S-Dサブシステム処理データを用いることによって第1組の非S-Dウエハ中の1つ以上のウエハについての非S-D信頼値を設定する設定工程、1つ以上の追加ウエハについての非S-D信頼値を追加の第1非S-D信頼値と比較する比較工程、並びに、1つ以上の追加の第1非S-D信頼性限界が満たされる場合には第1組の非S-Dウエハの処理を継続し、又は1つ以上の追加の第1非S-D信頼性限界が満たされない場合には前記設定工程及び前記比較工程を中止する工程、を有して良い。   In addition, the first non-SD subsystem processing data may be collected before, during, and / or after the first non-SD processing sequence is performed using the first set of non-SD wafers, and First non-SD reliability data for one or more wafers in the first set of non-SD wafers may be set by using wafer data and / or first non-SD subsystem processing data. In some examples, first non-S-D reliability data for a first non-S-D wafer in a first set of non-S-D wafers may be set by using first non-S-D subsystem processing data. The first non-S-D reliability value for the first non-S-D wafer may be about the first non-S-D reliability limit. If the first non-S-D reliability limit is met, the processing of the first set of non-S-D wafers may continue. Alternatively, if the first non-S-D reliability limit is not satisfied, the first non-S-D correction action may be applied. The first non-SD correction act is a setting step that sets a non-SD confidence value for one or more wafers in the first set of non-SD wafers by using the first non-SD subsystem processing data, A comparison process that compares the non-SD confidence value for the additional wafer with the additional first non-SD confidence value, and a first set of non-SD if one or more additional first non-SD reliability limits are met Continue processing the wafer, or if the one or more additional first non-SD reliability limits are not met, discontinuing the setting step and the comparison step.

他の組の非S-DウエハもまたS-D信頼性データ及び/又は非S-D信頼性データを用いることによって作成されて良い。他の組の非S-Dウエハについて他の非S-D処理シーケンスが決定されて良い。ウエハ状態データは、他の非S-D処理シーケンスを設定するのに用いられて良い。他の組の非S-Dウエハは、他の非S-Dサブシステム内の1つ以上の他の非S-D処理装置へ搬送されて良い。他の非S-D処理シーケンスは、1つ以上の他の非S-D処理装置を決定するのに用いられて良い。たとえば他の組の非S-Dウエハは、1つ以上の他のサブシステム内の1つ以上の処理装置へ搬送されて良い。   Other sets of non-S-D wafers may also be created by using S-D reliability data and / or non-S-D reliability data. Other non-S-D processing sequences may be determined for other sets of non-S-D wafers. Wafer state data may be used to set other non-S-D processing sequences. Other sets of non-S-D wafers may be transferred to one or more other non-S-D processing equipment in other non-S-D subsystems. Other non-S-D processing sequences may be used to determine one or more other non-S-D processing devices. For example, other sets of non-S-D wafers may be transferred to one or more processing equipment in one or more other subsystems.

S-D処理シーケンス及び/又は非S-D処理シーケンスは、1つ以上のコーティング処理、1つ以上のエッチング処理、1つ以上の熱処理、1つ以上の露光処理、1つ以上の酸化処理、1つ以上の窒化処理、1つ以上の現像処理、1つ以上のリソグラフィ処理、1つ以上のスキャナ関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の保存処理、1つ以上の搬送処理、1つ以上のロードロック処理、若しくは1つ以上の洗浄処理、又はこれらの結合を有して良い。   An SD processing sequence and / or a non-SD processing sequence may include one or more coating processes, one or more etching processes, one or more heat treatments, one or more exposure processes, one or more oxidation processes, one or more oxidation processes. Nitriding, one or more development processes, one or more lithography processes, one or more scanner related processes, one or more measurement processes, one or more inspection processes, one or more evaluation processes, one or more evaluation processes Simulation process, one or more prediction processes, one or more rework processes, one or more storage processes, one or more transport processes, one or more load lock processes, or one or more cleaning processes, or these There may be a bond of

S-D処理サブシステム及び/又は非S-D処理サブシステムは、1つ以上のコーティングサブシステム、1つ以上のエッチングサブシステム、1つ以上の熱サブシステム、1つ以上の露光サブシステム、1つ以上の酸化サブシステム、1つ以上の窒化サブシステム、1つ以上の現像サブシステム、1つ以上のリソグラフィサブシステム、1つ以上のスキャナ関連サブシステム、1つ以上の測定サブシステム、1つ以上の検査サブシステム、1つ以上の評価サブシステム、1つ以上のシミュレーションサブシステム、1つ以上の予測サブシステム、1つ以上の再加工サブシステム、1つ以上の保存サブシステム、1つ以上の搬送サブシステム、1つ以上のロードロックサブシステム、若しくは1つ以上の洗浄サブシステム、又はこれらの結合を有して良い。   SD processing subsystem and / or non-SD processing subsystem may include one or more coating subsystems, one or more etching subsystems, one or more thermal subsystems, one or more exposure subsystems, one or more Oxidation subsystem, one or more nitridation subsystems, one or more development subsystems, one or more lithography subsystems, one or more scanner related subsystems, one or more measurement subsystems, one or more inspections Subsystem, one or more evaluation subsystems, one or more simulation subsystems, one or more prediction subsystems, one or more rework subsystems, one or more storage subsystems, one or more transport subsystems There may be a system, one or more load lock subsystems, or one or more cleaning subsystems, or a combination thereof.

S-D処理装置及び/又は非S-D処理装置は、1つ以上のコーティング処理装置、1つ以上のエッチング処理装置、1つ以上の熱処理装置、1つ以上の露光処理装置、1つ以上の酸化処理装置、1つ以上の窒化処理装置、1つ以上の現像処理装置、1つ以上のリソグラフィ処理装置、1つ以上のスキャナ関連処理装置、1つ以上の測定処理装置、1つ以上の検査処理装置、1つ以上の評価処理装置、1つ以上のシミュレーション処理装置、1つ以上の予測処理装置、1つ以上の再加工処理装置、1つ以上の保存処理装置、1つ以上の搬送処理装置、1つ以上のロードロック処理装置、若しくは1つ以上の洗浄処理装置、又はこれらの結合を有して良い。   SD processing equipment and / or non-SD processing equipment is one or more coating processing equipment, one or more etching processing equipment, one or more heat treatment equipment, one or more exposure processing equipment, one or more oxidation processing equipment One or more nitriding processing devices, one or more development processing devices, one or more lithography processing devices, one or more scanner related processing devices, one or more measurement processing devices, one or more inspection processing devices, One or more evaluation processing devices, one or more simulation processing devices, one or more prediction processing devices, one or more rework processing devices, one or more storage processing devices, one or more transport processing devices, 1 There may be one or more load lock processing devices, or one or more cleaning processing devices, or a combination thereof.

図5は、本発明の実施例による、S-D部位、S-Dウエハ、及び/又はS-D処理の検証方法の典型的なフローダイアグラムを表している。   FIG. 5 depicts an exemplary flow diagram of a verification method for an S-D site, an S-D wafer, and / or an S-D process according to an embodiment of the present invention.

510では、1つ以上のウエハが、処理サブシステム内の1つ以上のS-D処理装置によって受け取られて良く、1つ以上のS-D処理装置が1つ以上のS-D搬送サブシステムと結合して良く、かつ1つ以上のウエハについてのウエハデータが受け取られて良い。あるいはその代わりに、ウエハは1つ以上のS-D搬送サブシステムによって受け取られて良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。1つ以上のウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、S-Dデータ、チップ依存データ、及び/又はダイ依存データを有して良い。   In 510, one or more wafers may be received by one or more SD processing units in the processing subsystem, one or more SD processing units may be combined with one or more SD transfer subsystems, And wafer data for one or more wafers may be received. Alternatively, the wafer may be received by one or more SD transport subsystems. Wafer data may include historical data and / or real-time data. Wafer status data may be set for one or more wafers. The wafer state data may include S-D data, chip dependent data, and / or die dependent data.

515では、S-DウエハについてのS-D処理及び/又は搬送シーケンスが決定されて良い。場合によっては、一部のS-Dウエハについて異なるS-D処理シーケンスが決定されて良い。あるいはその代わりに非S-D処理シーケンスが設定されても良い。   At 515, an S-D process and / or transfer sequence for the S-D wafer may be determined. In some cases, different S-D processing sequences may be determined for some S-D wafers. Alternatively, a non-S-D processing sequence may be set instead.

520では、1つ以上のウエハが処理されて良い。一部の実施例では、第1組の未検証S-Dウエハが、1つ以上のS-D処理装置を用いた第1S-D生成処理を実行することによって生成されて良く、かつ、1つ以上の未検証評価用部位が各未検証S-Dウエハ上の第1数の評価用サイトに生成されて良い。各未検証S-DウエハについてS-Dウエハ状態データが設定されて良い。S-Dウエハ状態データは、各未検証S-Dウエハについて、多数の必要な生成用サイト及び多数の必要な評価用サイトを有して良い。   At 520, one or more wafers may be processed. In some embodiments, a first set of unverified SD wafers may be generated by performing a first SD generation process using one or more SD processing devices, and one or more unverified SD wafers. Verification verification sites may be generated at the first number of evaluation sites on each unverified SD wafer. S-D wafer state data may be set for each unverified S-D wafer. The S-D wafer status data may include a number of required generation sites and a number of required evaluation sites for each unverified S-D wafer.

525では、クエリーが、1つ以上のS-D生成処理が正しく実行されたか否かを判断するために実行されて良い。1つ以上のS-D生成処理が正しく実行されたときには、処理500は工程530へ分岐して良い。1つ以上のS-D生成処理が正しく実行されなかったときには、処理500は工程580へ分岐して良い。たとえば装置データ、チャンバデータ、粒子データ、像データ、及び/又は失敗データが用いられて良い。   At 525, a query may be executed to determine whether one or more S-D generation processes have been executed correctly. If one or more S-D generation processes have been performed correctly, process 500 may branch to step 530. If one or more S-D generation processes were not performed correctly, process 500 may branch to step 580. For example, device data, chamber data, particle data, image data, and / or failure data may be used.

580では、ウエハは1つ以上の追加処理を用いることによって後処理されて良い。前記1つ以上の追加処理は、ウエハの再測定、再評価、再加工、及び/又は処理シーケンスからのウエハの取り外しを有して良い。   At 580, the wafer may be post-processed using one or more additional processes. The one or more additional processes may include wafer remeasurement, re-evaluation, rework, and / or removal of the wafer from the processing sequence.

545では、S-Dウエハが選択されたサイトを用いて評価されて良い。場合によっては、第1ウエハ検証データが第1S-D評価用ウエハ上の第1サイトから取得されて良い。第1ウエハ検証データは第1S-D測定データ及び/又は第1S-D検査データを有して良い。第1S-D測定データ及び/又は第1S-D検査データは、S-D測定装置内で実行されるS-D測定処理及び/又はS-D検査装置内で実行されるS-D検査処理を用いることによって取得されて良い。次に、第1S-D評価用ウエハ上の第1サイトについて第1の検証されたデータが設定されて良く、第1の検証されたデータは第1の検証された測定データ及び/又は第1の検証された検査データを有して良く、かつ第1の検証された測定データ及び/又は第1の検証された検査データは履歴及び/又はリアルタイムデータベースから取得されて良い。続いて、第1S-D評価用ウエハ上の第1サイトについての第1信頼値が第1ウエハ検証用差異を用いることによって設定されて良く、かつ第1ウエハ検証用差異は、第1ウエハ検証データ及び第1検証データを用いることによって計算されて良い。   In 545, the S-D wafer may be evaluated using the selected site. In some cases, the first wafer verification data may be obtained from the first site on the first SD evaluation wafer. The first wafer verification data may include first S-D measurement data and / or first S-D inspection data. The first S-D measurement data and / or the first S-D inspection data may be obtained by using an SD measurement process executed in the SD measurement apparatus and / or an SD inspection process executed in the SD inspection apparatus. . Next, first verified data may be set for the first site on the first S-D evaluation wafer, the first verified data being the first verified measurement data and / or the first And the first verified measurement data and / or the first verified test data may be obtained from a history and / or real-time database. Subsequently, the first confidence value for the first site on the first S-D evaluation wafer may be set by using the first wafer verification difference, and the first wafer verification difference is determined by the first wafer verification difference. It can be calculated by using the data and the first verification data.

第1S-D評価用ウエハ上の第1サイトについての第1リスク因子が、第1信頼値、第1ウエハ検証用差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。第1S-D評価用ウエハについての第1全リスク因子が、第1リスク因子、第1信頼値、第1ウエハ検証用差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。   The first risk factor for the first site on the first S-D evaluation wafer may be set by using a first confidence value, a first wafer verification difference, or wafer data, or a combination thereof. The first total risk factor for the first S-D evaluation wafer may be set by using a first risk factor, a first confidence value, a first wafer verification difference, or wafer data, or a combination thereof.

550では、クエリーが、1つ以上のS-D評価用ウエハが検証されたか否かを判断するために実行されて良い。1つ以上のS-D評価用ウエハが検証されたときには、処理500は工程565へ分岐して良い。1つ以上のS-D評価用ウエハが検証されなかったときには、処理500は工程555へ分岐して良い。   At 550, a query may be performed to determine whether one or more S-D evaluation wafers have been verified. When one or more S-D evaluation wafers are verified, the process 500 may branch to step 565. If one or more S-D evaluation wafers have not been verified, the process 500 may branch to step 555.

第1全リスク因子が第1ウエハ検証限界以下であるときには、第1S-D評価用ウエハは、第1全リスク因子を有する第1の検証されたS-Dウエハとして認定され、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて、かつ第1S-D評価用ウエハに関連する第1S-D生成処理は第1の検証されたS-D処理として認定されて良い。   When the first total risk factor is less than or equal to the first wafer verification limit, the first S-D evaluation wafer is certified as the first verified SD wafer with the first total risk factor and the remaining number of sites is one. The number of accessed sites is increased by one, and the first S-D generation process related to the first S-D evaluation wafer may be certified as the first verified SD process.

第1全リスク因子が第1ウエハ検証限界よりも大きいときには、第1サイトは第1リスク因子を有する第1未検証サイトとして認定され、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて良い。第1の検証されたS-D評価用ウエハは検証されたウエハデータを有して良い。   When the first total risk factor is greater than the first wafer verification limit, the first site is recognized as the first unverified site with the first risk factor, the remaining number of sites is reduced by 1, and the number of visited sites is 1. You can add more. The first verified S-D evaluation wafer may have verified wafer data.

555では、クエリーが、追加のサイトが必要か否かを判断するために実行されて良い。追加のサイトが必要なときには、処理500は工程540へ戻るように分岐して良い。追加のサイトが必要ないときには、処理500は工程555へ分岐して良い。   At 555, a query may be performed to determine whether additional sites are needed. When additional sites are needed, process 500 may branch back to step 540. When no additional sites are needed, process 500 may branch to step 555.

現在のウエハにとって追加サイトが必要なときには、以下の工程が実行されて良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の必要なサイト数から、第1S-D生成処理を用いて生成された新たな未検証の評価用部位を有する新たなサイトを選ぶ工程、b)第1S-D評価用ウエハ上に存在する新たなS-D測定及び/又は新たなS-D検査データを有する新たなサイトから新たなウエハ検証用データを取得する工程、c)第1S-D評価用ウエハ上の新たなサイトについて新たな検証データを設定する工程であって、前記新たな検証データは新たに検証された測定及び/又は検査データを有する工程、d)新たなウエハ検証用データと新たな検証データを用いることによって計算された新たなウエハ検証用の差異を用いることによって第1S-D評価用ウエハ上の新たなサイトについての新たな信頼値を設定する工程、e)新たな信頼値、新たなウエハ検証用の差異、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1 S-D評価用ウエハ上の新たなサイトについての新たなリスク因子を設定する工程、f)新たなリスク因子、新たな信頼値、新たなウエハ検証用の差異、第1リスク因子、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いた第1S-D評価用ウエハ上の新たなサイトについての新たな全リスク因子を設定する工程、g)新たな全リスク因子が新たなウエハ検証用の限界以下であるときには、関連する新たな全リスク因子を有する第1検証されたウエハとして第1 S-D評価用ウエハを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ第1S-D評価用ウエハに関連する第1S-D生成処理を新たな検証されたS-D処理として認定する工程、h)新たな全リスク因子が新たなウエハ検証用の限界よりも大きいときには、関連する新たなリスク因子を有する新たな未検証サイトとして新たなサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返す工程、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。   When additional sites are needed for the current wafer, the following steps may be performed. The following steps are: a) a new site having a new unverified site for evaluation generated using the first S-D generation process from the number of necessary sites on the first S-D evaluation wafer. Selecting, b) obtaining new wafer verification data from a new site having new SD measurement and / or new SD inspection data existing on the first S-D evaluation wafer, c) first S-D D is a step of setting new verification data for a new site on the evaluation wafer, the new verification data having newly verified measurement and / or inspection data, d) for new wafer verification Setting a new confidence value for a new site on the first S-D evaluation wafer by using the new wafer verification difference calculated by using the data and the new verification data; e) New Reliability, new wafer verification differences Setting a new risk factor for a new site on the first SD evaluation wafer by using the first confidence value, the first wafer verification difference, or wafer data, or a combination thereof; f) new Risk factor, new confidence value, new wafer verification difference, first risk factor, first confidence value, first wafer verification difference, or wafer data, or first S-D using a combination of these Setting a new total risk factor for the new site on the evaluation wafer; g) if the new total risk factor is less than or equal to the new wafer verification limit, then 1Certify the first SD evaluation wafer as the verified wafer, reduce the number of required sites by 1 and increase the number of accessed sites by 1 and the 1st S related to the 1st S-D evaluation wafer -D generation process is new Qualifying as validated SD process, h) When all new risk factors are greater than new wafer verification limits, certify new site as new unverified site with associated new risk factors I) increase the required number of sites by 1 and decrease the number of accessed sites by 1, i) repeat the steps a)-h) when the required number of sites is greater than 0, and j) the required number of sites is 0 Is the step of stopping verification of the first wafer.

あるいはその代わりに他の処理が用いられても良い。   Alternatively, other processing may be used instead.

560では、クエリーが、追加の評価用ウエハが必要か否かを判断するために実行されて良い。追加の評価用ウエハが必要なときには、処理500は工程535へ戻るように分岐して良い。追加のサイトが必要ないときには、処理500は工程565へ分岐して良い。   At 560, a query may be performed to determine whether additional evaluation wafers are needed. When additional evaluation wafers are needed, the process 500 may branch back to step 535. When no additional sites are needed, process 500 may branch to step 565.

追加の評価用ウエハが必要なとき、以下の工程が実行されて良い。前記以下の工程とは、a1)追加のS-D評価用ウエハを選ぶ工程、b1)追加のS-D評価用ウエハについての第1数の必要なサイトを決定する工程、c1)追加のS-D評価用ウエハ上の第1数の必要サイト数から追加サイトを選ぶ工程であって、前記追加サイトは、第1S-D生成処理を用いて生成された追加の未検証評価用部位を有する工程、d1)追加のS-D評価用ウエハ上の追加サイトから追加のウエハ検証用データを取得する工程であって、前記追加のウエハ検証用データは追加のS-D測定及び/又はS-D検査データを有する工程、e1)追加のS-D評価用ウエハ上の追加サイトについて追加の検証データを設定する工程であって、追加の検証データは追加の検証された測定及び/又は検査データを有する工程、f1)追加のウエハ検証用データと追加の検証データを用いることによって計算された追加のウエハ検証用の差異を用いることによって追加のS-D評価用ウエハ上の追加サイトについて追加の信頼値を設定する工程、g1)追加の信頼値、追加のウエハ検証用の差異、新たな信頼値、新たなウエハ検証用の差異、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加のS-D評価用ウエハ上の追加サイトについての追加のリスク因子を設定する工程、h1)追加のリスク因子、追加の信頼値、追加のウエハ検証用の差異、新たなリスク因子、新たな信頼値、新たなウエハ検証用の差異、第1リスク因子、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いた追加のS-D評価用ウエハについて追加の全リスク因子を設定する工程、i1)追加の全リスク因子が追加のウエハ検証用の限界以下であるときには、関連する追加の全リスク因子を有する追加の検証されたウエハとして追加の S-D評価用ウエハを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ追加サイトに関連するデータを評価ライブラリ内の検証されたデータとして保存する工程、j1)追加の全リスク因子が追加のウエハ検証用の限界よりも大きいときには、関連する追加の第1リスク因子を有する追加の未検証サイトとして追加のS-D評価用ウエハを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、k1)追加のS-D評価用ウエハが利用可能であり、かつ追加S-D評価用ウエハ上の必要サイト数が0よりも大きいときにはa1)-j1)を繰り返す工程、かつl1)追加のS-D評価用ウエハが利用可能でなく、かつ必要サイト数が0に等しいときにはS-Dライブラリ生成処理を止める工程、である。   When additional evaluation wafers are needed, the following steps may be performed. The following steps are: a1) a step of selecting an additional SD evaluation wafer, b1) a step of determining the first required site for the additional SD evaluation wafer, c1) on the additional SD evaluation wafer A step of selecting an additional site from the first required number of sites, wherein the additional site has an additional unverified evaluation site generated using the first S-D generation process, d1) Obtaining additional wafer verification data from an additional site on the SD evaluation wafer, wherein the additional wafer verification data includes additional SD measurement and / or SD inspection data; e1) additional SD Setting additional verification data for additional sites on the evaluation wafer, where the additional verification data has additional verified measurement and / or inspection data; f1) additional wafer verification data and additional By using the verification data of Setting additional confidence values for additional sites on additional SD evaluation wafers by using the calculated additional wafer verification differences; g1) additional confidence values, additional wafer verification differences, new Additional sites on additional SD evaluation wafers using new confidence values, new wafer verification differences, first confidence values, first wafer verification differences, or wafer data, or a combination of these H1) additional risk factors, additional confidence values, additional wafer verification differences, new risk factors, new confidence values, new wafer verification differences, first risk factors Setting all additional risk factors for additional SD evaluation wafers using the first confidence value, the first wafer verification difference, or wafer data, or a combination of these, i1) additional total risk factors When the is below the limit for additional wafer verification, qualify additional SD evaluation wafers as additional verified wafers with all the additional risk factors involved and access by reducing the number of sites required by one Storing the data associated with the additional sites as verified data in the evaluation library, j1) when all additional risk factors are greater than the limit for additional wafer verification Qualifying additional SD evaluation wafers as additional unverified sites with an associated additional first risk factor, increasing the required number of sites by one and reducing the number of accessed sites by one, k1) When an additional SD evaluation wafer is available and the required number of sites on the additional SD evaluation wafer is greater than 0, a1) -j1) is repeated, and l1) the additional SD evaluation wafer is Not possible use, and when necessary the number of sites is equal to 0 is the step of stopping the S-D library generation process.

565では、クエリーが、追加の生成用ウエハが必要か否かを判断するために実行されて良い。追加の生成用ウエハが必要なときには、処理500は工程515へ戻るように分岐して良い。追加のサイトが必要ないときには、処理500は工程570へ分岐して良い。処理500は570で終了する。   At 565, a query may be performed to determine if additional production wafers are needed. When additional production wafers are needed, process 500 may branch back to step 515. When no additional sites are needed, process 500 may branch to step 570. Process 500 ends at 570.

典型的な第1補正行為は、S-D評価用ウエハの個数である第1数と利用可能な評価装置の個数である第1数との差異を用いることによって第1数の猶予されたS-Dウエハを決定する工程、並びに、S-D搬送サブシステム内に存在する、2つ以上のウエハを支持する手段を有する1つ以上の搬送装置を用いて第1期間だけ第1数の猶予されたS-Dウエハを保存及び/又は猶予する工程、を有して良い。   A typical first corrective action is to use the difference between the first number, which is the number of SD evaluation wafers, and the first number, which is the number of available evaluation devices, to remove the first number of deferred SD wafers. Storing the first number of deferred SD wafers for the first period using one or more transfer devices that have means to support and support two or more wafers that exist in the SD transfer subsystem And / or a grace period.

追加の補正行為は、S-D評価用ウエハの個数である第1数と利用可能な評価装置の個数である第1数との差異を用いることによって第1数の猶予されたS-Dウエハを決定する工程、第1の猶予されたS-Dウエハについての更新されたS-Dウエハ状態データを決定する工程、第1評価サブシステム内の1つ以上のS-D評価装置についての動作状態データを決定する工程、更新された動作状態データを用いて1つ以上の新たに利用可能となったS-D評価装置を特定する工程、第1の新たに利用可能となったS-D評価装置が利用可能であるときには、第1の更新された搬送シーケンスを用いて、第1の猶予されたS-D評価用ウエハを、1つ以上の評価サブシステム内の第1新たに利用可能となったS-D評価装置へ搬送する工程、並びに、第1新たに利用可能となったS-D評価装置が利用可能でないときには第2補正行為を適用する工程、を有して良い。   The additional corrective action is to determine the first number of deferred SD wafers by using the difference between the first number that is the number of SD evaluation wafers and the first number that is the number of available evaluation devices. Determining updated SD wafer status data for the first graced SD wafer; determining operational status data for one or more SD evaluation devices in the first evaluation subsystem; updated The step of identifying one or more newly available SD evaluation devices using the operating state data, the first updated when the first newly available SD evaluation device is available Transporting the first suspended SD evaluation wafer to the first newly available SD evaluation device in one or more evaluation subsystems using the transport sequence, and the first new SD evaluation device that can be used for If not, a step of applying the second correction act may be included.

他の補正行為は、処理を中止する工程、処理を中断する工程、1つ以上のS-D評価用ウエハを再評価する工程、1つ以上のS-D評価用ウエハを再測定する工程、1つ以上のS-D評価用ウエハを再検査する工程、1つ以上のS-D評価用ウエハを再加工する工程、1つ以上のS-D評価用ウエハを保存する工程、1つ以上のS-D評価用ウエハを洗浄する工程、若しくは1つ以上のS-D評価用ウエハを取り去る工程、又はこれらの結合を有して良い。   Other corrective actions include: aborting the process, aborting the process, re-evaluating one or more SD evaluation wafers, re-measuring one or more SD evaluation wafers, one or more A process for re-inspecting an SD evaluation wafer, a process for reworking one or more SD evaluation wafers, a process for storing one or more SD evaluation wafers, a process for cleaning one or more SD evaluation wafers, Alternatively, one or more SD evaluation wafers may be removed, or a combination thereof may be included.

それに加えて、S-D信頼性マップ及び/又はS-Dリスク評価マップが、ウエハを検証するのに用いられて良い。   In addition, an SD reliability map and / or an SD risk assessment map may be used to verify the wafer.

図6は、本発明の実施例による、S-D評価用ライブラリの生成方法の典型的なフローダイアグラムを表している。第1組のS-Dウエハは1つ以上の処理サブシステム内の1つ以上のS-D処理装置によって受け取られて良い。1つ以上のS-D処理装置が1つ以上のS-D搬送サブシステムと結合して良い。各ウエハはウエハデータを有して良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。あるいはその代わりに、ウエハは異なるサブシステムによって受け取られても良い。1つ以上のウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、S-Dデータ、チップ依存データ、及び/又はダイ依存データを有して良い。それに加えて、ウエハについて1つ以上のS-D処理シーケンスが設定されて良い。S-D処理シーケンスは、S-Dウエハ状態データ、チップ依存のウエハ状態データ、及び/又はダイ依存のウエハ状態データを用いて設定されて良い。   FIG. 6 shows an exemplary flow diagram of a method for generating an S-D evaluation library according to an embodiment of the present invention. The first set of S-D wafers may be received by one or more S-D processing equipment in one or more processing subsystems. One or more S-D processing devices may be combined with one or more S-D transport subsystems. Each wafer may have wafer data. Wafer data may include historical data and / or real-time data. Alternatively, the wafer may be received by a different subsystem. Wafer status data may be set for one or more wafers. The wafer state data may include S-D data, chip dependent data, and / or die dependent data. In addition, one or more S-D processing sequences may be set for the wafer. The S-D processing sequence may be set using S-D wafer state data, chip-dependent wafer state data, and / or die-dependent wafer state data.

各S-Dウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、各ウエハについて、多数の必要な生成用サイト及び多数の評価用サイトを有して良い。   Wafer state data may be set for each S-D wafer. The wafer status data may include a number of required generation sites and a number of evaluation sites for each wafer.

610では、S-D評価データのライブラリを生成するためのライブラリ生成処理シーケンスが設定されて良く、かつライブラリ生成処理シーケンスが、ウエハ状態データを用いて生成されて良い。ライブラリ生成処理シーケンスは、S-D搬送処理、S-D生成処理、若しくはS-D評価処理、又はこれらの結合を有して良い。   In 610, a library generation processing sequence for generating a library of S-D evaluation data may be set, and a library generation processing sequence may be generated using the wafer state data. The library generation process sequence may include an SD transport process, an SD generation process, an SD evaluation process, or a combination thereof.

620では、処理される第1数のS-D処理用ウエハが第1ライブラリ生成処理シーケンスを用いることによって決定されて良く、かつ、第1S-D生成処理及び第1S-D評価処理が第1ライブラリ生成処理シーケンスを用いることによって決定されて良い。   In 620, the first number of SD processing wafers to be processed may be determined by using the first library generation processing sequence, and the first S-D generation processing and the first S-D evaluation processing are generated by the first library generation. It can be determined by using a processing sequence.

1つ以上の処理サブシステム内の複数のS-D処理装置につぃいての第1動作状態が設定される。第1数の利用可能な処理装置が、1つ以上のS-D処理装置についての第1動作状態を用いて決定されて良い。   A first operating state is set for a plurality of S-D processing devices in one or more processing subsystems. The first number of available processing devices may be determined using the first operating state for one or more S-D processing devices.

第1S-D搬送シーケンスが、ウエハデータ、ウエハ状態データ、若しくは第1数の利用可能な処理装置、又はこれらの結合を用いることによって設定されて良い。   A first SD transport sequence may be established by using wafer data, wafer state data, or a first number of available processing devices, or a combination thereof.

625では、S-D処理用ウエハの個数である第1数が利用可能な処理装置の個数である第1数以下であるときには、第1数のS-D処理用ウエハは、第1S-D搬送シーケンスを用いることによって1つ以上の処理サブシステム内の第1数の利用可能な処理装置へ搬送されて良い。S-D処理用ウエハの個数のである第1数が利用可能な処理装置の個数である第1数よりも多いときには、第1補正行為が適用されて良い。   In 625, the first number of SD processing wafers uses the first SD transfer sequence when the first number of SD processing wafers is less than or equal to the first number of available processing devices. And may be transported to a first number of available processing devices within one or more processing subsystems. When the first number, which is the number of wafers for S-D processing, is greater than the first number, which is the number of available processing apparatuses, the first correcting action may be applied.

630では、第1S-D生成処理が実行されて良く、かつ1つ以上のライブラリ関連の参照用部位が、各S-D処理用ウエハ上の第1数の評価用サイトに生成されて良い。更新されたウエハデータ及び/又は更新されたウエハ状態データは第1S-D生成処理を用いて生成される。更新されたウエハ状態データは各S-D処理用ウエハについて多数の必要な評価用サイトを有して良い。   In 630, a first S-D generation process may be performed and one or more library-related reference sites may be generated at a first number of evaluation sites on each S-D processing wafer. The updated wafer data and / or updated wafer state data is generated using the first SD generation process. The updated wafer status data may have a number of necessary evaluation sites for each SD processing wafer.

635では、クエリーが、1つ以上のS-D生成処理が正しく実行されたか否かを判断するために実行されて良い。1つ以上のS-D生成処理が正しく実行されたときには、処理600は工程640へ分岐して良い。1つ以上のS-D生成処理が正しく実行されなかったときには、処理600は工程690へ分岐して良い。たとえば装置データ、チャンバデータ、粒子データ、像データ、及び/又は失敗データが用いられて良い。   In 635, a query may be executed to determine whether one or more S-D generation processes have been executed correctly. If one or more S-D generation processes have been performed correctly, process 600 may branch to step 640. If one or more S-D generation processes are not performed correctly, process 600 may branch to step 690. For example, device data, chamber data, particle data, image data, and / or failure data may be used.

640では、評価される第1数のS-D評価用ウエハが第1S-D評価処理を用いて決定されて良い。各S-D評価用ウエハについての必要サイト数が、更新されたウエハデータ、更新されたウエハ状態データ、ウエハデータ、若しくはウエハ状態データ、又はこれらの結合によって決定されて良い。   At 640, the first number of S-D evaluation wafers to be evaluated may be determined using the first S-D evaluation process. The required number of sites for each S-D evaluation wafer may be determined by updated wafer data, updated wafer status data, wafer data, wafer status data, or a combination thereof.

1つの評価サブシステム内の複数のS-D評価装置についての第1動作状態が決定されて良い。S-D搬送サブシステムは1つ以上のS-D評価装置に結合して良い。   First operating states for a plurality of S-D evaluation devices within one evaluation subsystem may be determined. The S-D transport subsystem may be coupled to one or more S-D evaluation devices.

第1数の利用可能な評価装置は、1つ以上のS-D評価装置についての動作状態を用いることによって決定されて良い。第2S-D搬送シーケンスが、更新されたウエハデータ、更新されたウエハ状態データ、ウエハデータ、ウエハ状態データ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合によって設定されて良い。   The first number of available evaluation devices may be determined by using operating states for one or more S-D evaluation devices. The second SD transfer sequence is updated wafer data, updated wafer status data, wafer data, wafer status data, the first number of SD evaluation wafers, or the first number of available evaluation devices, or these May be set by combining.

645では、S-D評価用ウエハの個数である第1数が利用可能な評価装置の個数である第1数以下であるときには、第1数のS-D評価用ウエハが、第2S-D搬送シーケンスを用いることによって、1つ以上の評価サブシステム内の第1数の利用可能な評価装置へ搬送されて良い。S-D評価用ウエハの個数である第1数が利用可能な評価装置の個数である第1数よりも多いときには、第2補正行為が適用されて良い。   In 645, when the first number of SD evaluation wafers is equal to or less than the first number of available evaluation devices, the first number of SD evaluation wafers uses the second SD transfer sequence. And may be transported to a first number of available evaluation devices within one or more evaluation subsystems. When the first number, which is the number of S-D evaluation wafers, is greater than the first number, which is the number of available evaluation devices, the second correction action may be applied.

650では、第1サイトが、第1S-D評価用ウエハ上の多数の必要サイトから選ばれて良く、かつ第1サイトは、第1S-D生成処理を用いて生成された第1ライブラリ関連の(評価用)部位を有して良い。   In 650, the first site may be selected from a number of required sites on the first S-D evaluation wafer, and the first site is associated with the first library generated using the first S-D generation process. It may have a site (for evaluation).

655では、評価処理が実行されて良い。第1ライブラリ関連の評価用データが第1S-Dウエハ上の第1サイトから取得されて良い。第1サイトは第1ライブラリ関連の測定及び/又は検査データを有して良い。第1S-Dウエハ上の第1サイトについて第1予測データが設定されて良い。第1予測データは予測された測定及び/又は検査データを有して良い。第1サイトについての第1信頼値が、第1ライブラリ関連の評価用データと第1予測データとの差異を用いて計算された第1ライブラリ関連の差異を用いることによって設定されて良い。第1サイトについての第1リスク因子が、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。第1サイトについての第1全リスク因子が、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。   In 655, an evaluation process may be performed. Evaluation data related to the first library may be obtained from the first site on the first S-D wafer. The first site may have measurement and / or inspection data associated with the first library. First prediction data may be set for the first site on the first S-D wafer. The first prediction data may include predicted measurement and / or inspection data. The first confidence value for the first site may be set by using the first library-related difference calculated using the difference between the first library-related evaluation data and the first prediction data. A first risk factor for the first site may be set by using a first confidence value, a first library related difference, or wafer data, or a combination thereof. The first total risk factor for the first site may be set by using a first risk factor, a first confidence value, a first library related difference, or wafer data, or a combination thereof.

660では、第1全リスク因子が第1ライブラリ関連の生成限界以下であるときには、第1の検証されたサイトとしての第1サイトは第1全リスク因子を有して良く、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて、かつ第1サイトに関連するデータは評価用ライブラリ内に検証されたデータとして保存されて良い。
第1全リスク因子が第1ライブラリ関連の生成限界よりも大きいときには、第1サイトは第2リスク因子を有する第1未検証サイトとして認定され、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて良い。第1の検証されたサイトは検証されたライブラリ関連のデータを有して良い。
In 660, when the first total risk factor is less than or equal to the first library-related generation limit, the first site as the first verified site may have the first total risk factor and the remaining number of sites is The number of sites accessed may be decreased by one, and the data related to the first site may be stored as verified data in the evaluation library.
When the first total risk factor is greater than the first library-related generation limit, the first site is identified as the first unverified site with the second risk factor, the remaining number of sites is reduced by one, and the number of sites visited Can be increased by one. The first verified site may have verified library related data.

665では、クエリーが、追加のサイトが必要か否かを判断するために実行されて良い。追加のサイトが必要なときには、処理600は工程650へ戻るように分岐して良い。追加のサイトが必要ないときには、処理600は工程670へ分岐して良い。   At 665, a query may be performed to determine if additional sites are needed. When additional sites are needed, the process 600 may branch back to step 650. When no additional sites are needed, process 600 may branch to step 670.

第1ウエハにとって新たなサイトが必要なときには、以下の工程が実行されて良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の必要なサイト数から、第1S-D生成処理を用いて生成された新たなライブラリ関連の参照用部位を有する新たなサイトを選ぶ工程、b)第1S-D評価用ウエハ上に存在する新たなライブラリ関連の測定及び/又は検査データを有する新たなサイトから新たなライブラリ関連の評価用データを取得する工程、c)第1S-Dウエハ上の新たなサイトについて新たな予測データを設定する工程であって、前記新たな予測データは新たに予測された測定及び/又は検査データを有する工程、d)新たなライブラリ関連の評価用データと新たな予測データを用いることによって計算された新たなライブラリ関連の差異を用いることによって新たなサイトについての新たな信頼値を設定する工程、e)新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって新たなサイトについての新たなリスク因子を設定する工程、f)新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって新たなサイトについての新たな全リスク因子を設定する工程、g)新たな全リスク因子が新たなライブラリ関連の生成限界以下であるときには、関連する新たな全リスク因子を有する新たな検証されたウエハとして新たなサイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ検証されたデータとして新たなサイトに関連するデータを評価用ライブラリ内に保存する工程、h)新たな全リスク因子が新たなライブラリ関連の生成限界よりも大きいときには、関連する第2リスク因子を有する新たな未検証サイトとして新たなサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返す工程、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。ここで新たな検証されたサイトは新たな検証されたライブラリ関連のデータを有する。   When a new site is needed for the first wafer, the following steps may be performed. The following steps are: a) a new site having a new library-related reference site generated using the first S-D generation process from the number of necessary sites on the first S-D evaluation wafer. Selecting, b) obtaining new library-related evaluation data from a new site having new library-related measurement and / or inspection data existing on the first S-D evaluation wafer, c) first S -D: setting new prediction data for a new site on the wafer, the new prediction data having newly predicted measurement and / or inspection data; d) new library related evaluation Setting a new confidence value for the new site by using the new library-related differences calculated by using the data for the site and the new prediction data, e) a new confidence value, a new library Setting new risk factors for new sites by using related differences, first confidence values, first library related differences, or wafer data, or a combination thereof, f) new risk factors, new New confidence factors, new library-related differences, first risk factors, first confidence values, first library-related differences, or wafer data, or new total risk factors for new sites by using a combination of these G) when all new risk factors are below the new library-related generation limits, the new site is identified as a new verified wafer with all relevant new risk factors and is required Reduce the number of new sites by one and increase the number of visited sites, and evaluate the data related to the new site as verified data The process of storing in the library, h) when all new risk factors are greater than the new library-related generation limit, the new site is identified as a new unverified site with the associated second risk factor and needed Increase the number of new sites by 1 and decrease the number of accessed sites by 1, i) repeat the steps a)-h) when the required number of sites is greater than 0, and j) when the required number of sites is equal to 0 A step of stopping verification of the first wafer. The new verified site now has new verified library related data.

670では、クエリーが、1つ以上のS-D評価用ウエハが必要か否かを判断するために実行されて良い。1つ以上のS-D評価用ウエハが必要なときには、処理600は工程645へ戻るように分岐して良い。1つ以上のS-D評価用ウエハが必要ないときには、処理600は工程675へ分岐して良い。   At 670, a query may be performed to determine whether one or more S-D evaluation wafers are needed. When one or more S-D evaluation wafers are needed, the process 600 may branch back to step 645. When one or more S-D evaluation wafers are not needed, the process 600 may branch to step 675.

追加のウエハが用いられるとき、1つ以上の制御装置は以下の工程を用いて良い。前記以下の工程とは、a1)追加のS-D評価用ウエハ上の多数の必要サイトから追加のサイトを選ぶ工程であって、前記追加のサイトは、第1S-D生成処理を用いて生成された追加のライブラリ関連参照(評価)用部位を有する工程、b1)追加のS-Dウエハ上の追加サイトから追加のライブラリ関連の評価用データを取得する工程であって、前記追加サイトは関連する追加のライブラリ関連の測定及び/又は検査データを有する工程、c1)追加のS-Dウエハ上の追加サイトについて追加の予測データを設定する工程であって、前記追加の予測データは追加の予測された測定及び/又は検査データを有する工程、d1)追加のライブラリ関連の評価用データと追加の予測データを用いることによって計算された追加のライブラリ関連の差異を用いることによって追加サイトについて追加の信頼値を設定する工程、e1)追加の信頼値、追加のライブラリ関連の差異、新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加サイトについての追加のリスク因子を設定する工程、f1)追加のリスク因子、追加の信頼値、追加のライブラリ関連の差異、新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加サイトについて追加の全リスク因子を設定する工程、g1)追加の全リスク因子が追加のライブラリ関連の生成限界以下であるときには、関連する追加の全リスク因子を有する追加の検証されたサイトとして追加の サイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ追加サイトに関連するデータを検証されたデータとして評価ライブラリ内に保存する工程、h1)追加の全リスク因子が追加のライブラリ関連の生成限界よりも大きいときには、関連する追加の第2リスク因子を有する追加の未検証サイトとして追加のサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i1)追加のS-D評価用ウエハが利用可能であり、かつ追加S-D評価用ウエハ上の必要サイト数が0よりも大きいときにはa1)-h1)を繰り返す工程、かつj1)追加のS-D評価用ウエハが利用可能でなく、かつ必要サイト数が0に等しいときにはS-Dライブラリ生成処理を止める工程、である。ここで追加の検証されたサイトは関連する追加の検証されたライブラリ関連データを有する。   When additional wafers are used, one or more controllers may use the following steps. The following steps are a1) a step of selecting an additional site from a number of necessary sites on an additional SD evaluation wafer, and the additional site was generated using the first S-D generation process. A step having an additional library-related reference (evaluation) part; b1) obtaining additional library-related evaluation data from an additional site on an additional SD wafer, wherein the additional site is a related additional library C1) setting additional prediction data for additional sites on additional SD wafers, the additional prediction data being additional predicted measurements and / or having related measurement and / or inspection data; Process with inspection data, d1) additional libraries by using additional library-related differences calculated by using additional library-related evaluation data and additional prediction data. E1) additional confidence values, additional library related differences, new confidence values, new library related differences, first confidence values, first library related differences, or wafers Setting additional risk factors for additional sites by using data, or a combination of these, f1) additional risk factors, additional confidence values, additional library-related differences, new risk factors, new trust Setting all additional risk factors for additional sites by using values, new library-related differences, first risk factors, first confidence values, first library-related differences, or wafer data, or combinations thereof G1) Additional verification with all additional risk factors associated when all the additional risk factors are below the additional library-related generation limits Qualify additional sites as verified sites, reduce the number of required sites by one and increase the number of accessed sites by one, and store the data related to the additional sites as verified data in the evaluation library H1) If all additional risk factors are greater than the additional library-related generation limits, certify the additional sites as additional unverified sites with an additional second risk factor associated with the required sites. I1) When an additional SD evaluation wafer is available and the required number of sites on the additional SD evaluation wafer is greater than 0 a1) a step of repeating -h1), and j1) a step of stopping the SD library generation process when an additional SD evaluation wafer is not available and the required number of sites is equal to zero. Here the additional verified site has associated additional verified library related data.

それに加えて、猶予されたS-D評価用ウエハは様々な回数で処理及び/又は評価されて良い。猶予されたウエハからのデータは利用可能となるとすぐに利用される。たとえば猶予されたウエハからのデータは、他の処理で用いられるようにフィードバック又はフィードフォワードされて良い。   In addition, the delayed S-D evaluation wafer may be processed and / or evaluated at various times. Data from the deferred wafer is used as soon as it becomes available. For example, data from a deferred wafer may be fed back or fed forward for use in other processes.

675では、クエリーが、追加の生成用ウエハが必要か否かを判断するために実行されて良い。追加の生成用ウエハが必要なときには、処理600は工程615へ戻るように分岐し、かつその処理は図6に図示されているように進められて良い。追加のサイトが必要ないときには、処理600は工程680へ分岐して良い。処理600は680で終了する。   In 675, a query may be performed to determine if additional production wafers are needed. When additional production wafers are needed, the process 600 branches back to step 615 and the process may proceed as shown in FIG. When no additional sites are needed, process 600 may branch to step 680. The process 600 ends at 680.

図7は、S-D処理を用いたウエハ上のデュアルダマシン構造の作製方法の典型的なフローダイアグラムを表している。   FIG. 7 shows a typical flow diagram of a method for fabricating a dual damascene structure on a wafer using S-D processing.

710では、1つ以上のウエハがS-D搬送サブシステムによって受け取られて良く、かつ1つ以上のウエハについてのウエハデータが受け取られて良い。あるいはその代わりに、ウエハは異なるサブシステムによって受け取られて良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。1つ以上のウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、S-Dデータ、チップ依存データ、及び/又はダイ依存データを有して良い。それに加えて、ウエハについて1つ以上のS-D処理シーケンスが設定されて良く、かつS-D処理シーケンスは、S-Dウエハ状態データ、チップ依存ウエハ状態データ、及び/又はダイ依存ウエハ状態データを用いて設定されて良い。   At 710, one or more wafers can be received by the SD transport subsystem and wafer data for one or more wafers can be received. Alternatively, the wafer may be received by a different subsystem. Wafer data may include historical data and / or real-time data. Wafer status data may be set for one or more wafers. The wafer state data may include S-D data, chip dependent data, and / or die dependent data. In addition, one or more SD processing sequences may be set for the wafer, and the SD processing sequence is set using SD wafer state data, chip dependent wafer state data, and / or die dependent wafer state data. good.

図1に戻ると、第1の典型的実施例では、S-Dウエハが、第1リソグラフィサブシステム110と結合可能なS-D搬送サブシステム(101、102)のうちの1つによって受け取られて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)がデータを受け取って良い。一部の実施例では、ウエハが受け取られるとき、ウエハ及び/又はロットに関連するデータも受け取られて良く、かつそのデータはS-D及び/若しくは非S-Dデータ並びに/又はメッセージを有して良い。たとえばそのデータはS-Dマップを有して良い。S-Dマップとはたとえば、入ってくるウエハ及び/若しくは入ってくるロットについての、信頼性マップ、処理マップ、リスク評価マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、イメージングマップ、ライブラリ関連マップ、並びに/又は他のウエハ関連マップである。データは、処理システムに関連する1つ以上のサブシステム、ホストシステム、及び/又は他の処理システムからのデータ並びに/又はメッセージを有して良い。たとえばS-Dメッセージ及び/又はデータは、処理シーケンス及び/若しくは搬送シーケンスの決定並びに/又は制御に用いられて良い。   Returning to FIG. 1, in a first exemplary embodiment, the S-D wafer may be received by one of the S-D transport subsystems (101, 102) that can be coupled to the first lithography subsystem 110. One or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, 159, and 195) may receive the data. In some embodiments, when a wafer is received, data associated with the wafer and / or lot may also be received, and the data may include SD and / or non-SD data and / or messages. For example, the data may include an S-D map. SD maps include, for example, reliability maps, process maps, risk assessment maps, damage assessment maps, reference maps, measurement maps, prediction maps, imaging maps, library-related maps for incoming wafers and / or incoming lots. , And / or other wafer related maps. The data may include data and / or messages from one or more subsystems, host systems, and / or other processing systems associated with the processing system. For example, S-D messages and / or data may be used to determine and / or control processing sequences and / or transport sequences.

そのデータはウエハデータを取得するために処理されて良い。そのウエハデータは履歴及び/又はリアルタイムデータを有して良い。各ウエハについてS-Dウエハデータが決定されて良い。S-DウエハデータはS-Dウエハ状態データ及び/又はS-D信頼性データを有して良い。   That data may be processed to obtain wafer data. The wafer data may include history and / or real time data. S-D wafer data may be determined for each wafer. The S-D wafer data may include S-D wafer state data and / or S-D reliability data.

追加のS-Dウエハが処理を必要とする場合において、第1S-D処理装置が利用可能であるときには、追加のS-Dウエハは、1つ以上の処理サブシステムと結合するS-D搬送サブシステムを用いることによって、1つ以上の処理サブシステム内の追加S-D処理装置へ搬送されて良く、かつ、第1S-D処理装置が利用可能でないときには、追加のS-Dウエハは、1つ以上の処理サブシステムと結合するS-D搬送サブシステムを用いることによって猶予されて良い。S-D搬送サブシステム内の搬送装置は、ある期間だけウエハを保存及び/又は猶予するのに用いられて良い。   In cases where additional SD wafers require processing, when the first SD processing equipment is available, the additional SD wafers can be obtained by using an SD transfer subsystem that is coupled to one or more processing subsystems. The additional SD wafer may be transferred to an additional SD processing unit in one or more processing subsystems, and the additional SD wafer may be combined with one or more processing subsystems when the first SD processing unit is not available You can be deferred by using the SD transport subsystem. A transfer device in the SD transfer subsystem may be used to store and / or hold the wafer for a period of time.

715では、各S-Dウエハについて1つ以上のS-D処理シーケンスが、ウエハデータを用いることによって設定されて良い。ウエハデータ及び/又はS-Dウエハ状態データは、ウエハが受け取られるとき及び/又はその前に、各S-DウエハについてS-D処理シーケンスを設定するのに用いられて良い。それに加えて、各ウエハについての第1処理サブシステムが、第1S-D処理シーケンス及び/又はS-Dウエハデータを用いることによって特定されて良い。一例では、ウエハ上の1層以上の層中に多数のエッチング部位を作製するために第1処理シーケンスが設定されて良い。   In 715, one or more S-D processing sequences for each S-D wafer may be set using the wafer data. Wafer data and / or S-D wafer status data may be used to set up an S-D processing sequence for each S-D wafer when and / or before the wafer is received. In addition, a first processing subsystem for each wafer may be identified by using the first SD process sequence and / or SD wafer data. In one example, a first processing sequence may be set up to create multiple etch sites in one or more layers on the wafer.

第1の典型的実施例では、S-Dデュアルダマシン(DD)処理シーケンスが設定されて良く、S-D DD処理シーケンスは、第1ダマシン生成処理、第1ダマシン評価処理、第2ダマシン生成処理、及び第2ダマシン評価処理を有して良い。第1組のS-D処理用ウエハが設定されて良い。S-Dウエハデータは、第1組のS-D処理用ウエハを特定するのに用いられて良い。第1組のS-D処理用ウエハは、第1ダマシン生成処理を用いることによって処理されて良い。   In the first exemplary embodiment, an SD dual damascene (DD) processing sequence may be set, and the SD DD processing sequence includes a first damascene generation process, a first damascene evaluation process, a second damascene generation process, and a second damascene generation process. A damascene evaluation process may be included. A first set of S-D processing wafers may be set. The S-D wafer data may be used to identify the first set of S-D processing wafers. The first set of S-D processing wafers may be processed by using a first damascene generation process.

720では、未処理S-Dウエハが搬送及び/又は猶予されて良い。第1未処理S-Dウエハについての第1S-D処理が決定されて良い。第1S-D処理は1つ以上のプロセス関連処理を有して良い。第1S-D処理装置が利用可能であるとき、第1未処理S-Dウエハは、第1処理サブシステムと結合するS-D搬送サブシステムを用いることによって、第1処理サブシステム内の第1S-D処理装置へ搬送されて良い。第1S-D処理装置が利用可能でないとき、第1未処理S-Dウエハは、第1処理サブシステムと結合するS-D搬送サブシステムを用いることによって猶予されて良い。   At 720, unprocessed S-D wafers may be transferred and / or suspended. A first SD process for the first unprocessed SD wafer may be determined. The first S-D process may include one or more process related processes. When the first S-D processing apparatus is available, the first unprocessed SD wafer is processed in the first processing subsystem by using an SD transfer subsystem coupled to the first processing subsystem. It can be transported to the device. When the first SD processing apparatus is not available, the first unprocessed SD wafer may be deferred by using an SD transport subsystem that is coupled to the first processing subsystem.

第1の典型的実施例では、第1組のS-D処理用ウエハについてS-D搬送シーケンスが設定されて良い。第1リソグラフィサブシステム110内の1つ以上の第1S-D処理装置112についてのリアルタイム動作状態が設定されて良い。ウエハがS-D処理装置に対して搬入出されることで、動作状態は変化して良い。リアルタイム搬送シーケンスは、リソグラフィ関連サブシステム内の第1S-D処理装置110に対してウエハを搬入出するために設定され、かつ用いられて良い。それに加えて、内部搬送装置113が用いられても良い。第1組のS-D処理用ウエハについてS-D搬送シーケンスが設定されて良い。第1リソグラフィサブシステム110内の1つ以上の第1S-D処理装置112についてリアルタイム動作状態が設定されて良い。ウエハがS-D処理装置に対して搬入出されることで、動作状態は変化して良い。リアルタイム搬送シーケンスが設定されて良く、かつ時間と共に変化して良い。第1数の第1S-D処理装置が利用可能であるとき、第1数の第1組のS-D処理用ウエハは、S-D搬送サブシステムを用いることによって、第1リソグラフィサブシステム110内の第1数の第1S-D処理装置112へ搬送されて良い。第1数の第1S-D処理装置が第1組のS-D処理用ウエハの中の他のS-Dウエハにとって利用可能でないとき、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステムを用いることによって、第1期間だけ猶予されて良い。第1組のS-D処理用ウエハが搬送されるとき、第1S-D搬送シーケンスが用いられて良い。たとえば、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステム内の1つ以上の搬送装置を用いることによって第1期間だけ猶予されて良い。2つ以上のウエハを支持するように搬送装置が備えられて良い。前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、第1期間後に処理されて良い。S-Dウエハが猶予されるとき、新たなS-D搬送シーケンスが設定されて良い。   In the first exemplary embodiment, an SD transfer sequence may be set for the first set of SD processing wafers. Real-time operational states for one or more first SD processing devices 112 in the first lithography subsystem 110 may be set. The operation state may be changed by loading / unloading the wafer into / from the S-D processing apparatus. The real-time transfer sequence may be set up and used to load / unload wafers to / from the first S-D processing apparatus 110 in the lithography related subsystem. In addition, an internal transfer device 113 may be used. An S-D transfer sequence may be set for the first set of S-D processing wafers. A real-time operational state may be set for one or more first SD processing devices 112 in the first lithography subsystem 110. The operation state may be changed by loading / unloading the wafer into / from the S-D processing apparatus. A real-time transport sequence may be set and may change over time. When the first number of first S-D processing devices are available, the first number of first set of SD processing wafers is the first in the first lithography subsystem 110 by using the SD transport subsystem. A number of first SD processing devices 112 may be conveyed. When the first number of first SD processing devices are not available for other SD wafers in the first set of SD processing wafers, the other SD wafers in the first set of SD processing wafers are By using the SD transport subsystem, the first period may be delayed. When the first set of SD processing wafers is transferred, a first SD transfer sequence may be used. For example, other S-D wafers in the first set of S-D processing wafers may be delayed for a first period by using one or more transfer devices in the S-D transfer subsystem. A transfer device may be provided to support two or more wafers. The other S-D wafers in the first set of S-D processing wafers may be processed after the first period. When the S-D wafer is delayed, a new S-D transfer sequence may be set.

猶予された未処理S-Dウエハが特定されたとき、その猶予された未処理S-Dウエハについて更新されたウエハ状態データが決定されて良い。第1猶予期間後、1つ以上の処理サブシステム内の1つ以上のS-D処理装置についての更新された動作状態データが決定されて良く、かつ1つ以上の新たに利用可能となるS-D処理装置が、更新された動作状態データを用いることによって特定されて良い。新たに利用可能となったS-D処理装置が利用可能であるとき、猶予された未処理S-Dウエハは、1つ以上の処理サブシステムと結合したS-D搬送サブシステムを用いることによって、1つ以上の処理サブシステム内の第1新たに利用可能となったS-D処理装置へ搬送されて良い。第1S-D処理装置が利用可能でないときには、第1猶予された未処理S-Dウエハは、処理サブシステムと結合する1つ以上のS-D搬送サブシステムを用いることによって、第2期間だけ猶予されて良い。猶予された未処理S-Dウエハは、第2期間の猶予後に後処理されて良い。後処理は、その処理を中止する工程、その処理を中断する工程、1つ以上のウエハを再評価する工程、1つ以上のウエハを再測定する工程、1つ以上のウエハを再検査する工程、1つ以上のウエハを再加工する工程、1つ以上のウエハを保存する工程、1つ以上のウエハを洗浄する工程、若しくは1つ以上のウエハを取り除く工程、又はこれらの結合を有して良い。   When a delayed unprocessed S-D wafer is identified, updated wafer status data for the delayed unprocessed S-D wafer may be determined. After the first grace period, updated operating state data for one or more SD processing devices in one or more processing subsystems may be determined and one or more newly available SD processing devices. May be identified by using updated operating state data. When newly available SD processing equipment is available, deferred unprocessed SD wafers can be processed one or more by using an SD transfer subsystem combined with one or more processing subsystems. It may be transported to the first newly available SD processor in the subsystem. When the first S-D processing equipment is not available, the first suspended unprocessed SD wafer may be suspended for a second period by using one or more SD transport subsystems coupled to the processing subsystem. . The postponed unprocessed SD wafer may be post-processed after the second period. Post-processing is the process of stopping the process, suspending the process, reevaluating one or more wafers, re-measuring one or more wafers, and re-inspecting one or more wafers. Reworking one or more wafers, storing one or more wafers, cleaning one or more wafers, removing one or more wafers, or a combination thereof good.

1つ以上のS-Dウエハは、そのウエハについてのS-D処理シーケンスによって作成される1つ以上の処理サブシステム内の1つ以上のS-D処理装置へ搬送されて良い。それに加えて、1つ以上のS-Dウエハが、S-D搬送シーケンスを用いることによって搬送されて良い。   One or more S-D wafers may be transferred to one or more S-D processing devices in one or more processing subsystems created by an S-D processing sequence for the wafer. In addition, one or more S-D wafers may be transferred using an S-D transfer sequence.

725では、1つ以上のS-Dウエハが、1つ以上の処理サブシステム内の1つ以上のS-D処理装置内で処理されて良い。第1S-D処理は第1未処理S-Dウエハを処理するのに用いられて良い。第1S-D処理は1つ以上のプロセス関連処理を有して良い。代替実施例では、1つ以上のウエハが非S-Dサブシステム内で処理されて良い。たとえば、S-D処理シーケンスにおける第1処理が第1処理サブシステム内で実行されて良く、かつS-D処理シーケンスにおける追加処理が追加サブシステム内で実行されて良い。   In 725, one or more S-D wafers may be processed in one or more S-D processing apparatuses in one or more processing subsystems. The first SD process may be used to process the first unprocessed SD wafer. The first S-D process may include one or more process related processes. In alternative embodiments, one or more wafers may be processed in a non-S-D subsystem. For example, the first processing in the S-D processing sequence may be executed in the first processing subsystem, and the additional processing in the S-D processing sequence may be executed in the additional subsystem.

第1S-D検証処理が実行されるとき、第1組の未検証S-D検証用部位が第1検証用ウエハ上に生成されて良く、かつ第1組の未検証S-D検証用部位は、第1検証用ウエハ上の第1サイトにおいて第1未検証検証用部位を有して良い。   When the first S-D verification process is performed, a first set of unverified SD verification sites may be generated on the first verification wafer, and the first set of unverified SD verification sites is the first The first site on the verification wafer may have a first unverified verification part.

追加の未処理S-Dウエハが特定されたとき、その追加の未処理S-Dウエハは第1S-D処理を用いて処理されて良い。追加の第1組の未検証S-D検証用部位が追加の検証用ウエハ上に生成されて良い。追加の第1組の未検証S-D検証用部位は、各追加の検証用ウエハ上の第1サイトにおいて第1未検証の検証用部位を有して良い。   When an additional unprocessed SD wafer is identified, the additional unprocessed SD wafer may be processed using the first SD process. An additional first set of unverified S-D verification sites may be generated on the additional verification wafer. The additional first set of unverified S-D verification sites may have a first unverified verification site at a first site on each additional verification wafer.

猶予された未処理S-Dウエハが特定されたとき、その猶予された未処理S-Dウエハは、後で第1S-D処理を用いて処理されて良い。追加の第1組の未検証S-D検証用部位が猶予された検証用ウエハ上に生成されて良い。追加の第1組の未検証S-D検証用部位は、各追加の検証用ウエハ上の第1サイトにおいて第1未検証の検証用部位を有して良い。あるいはその代わりに、他の未検証S-D処理が、追加の未処理ウエハを用いることによって実行されても良い。   When a postponed unprocessed S-D wafer is identified, the postponed unprocessed S-D wafer may be processed later using the first SD process. An additional first set of unverified S-D verification sites may be generated on the deferred verification wafer. The additional first set of unverified S-D verification sites may have a first unverified verification site at a first site on each additional verification wafer. Alternatively, other unverified S-D processes may be performed by using additional unprocessed wafers.

第1の典型的実施例について続けると、第1ダマシン層が生成されているときに第1生成処理が実行されて良く、かつ第2ダマシン層が生成されているときに第2生成処理が実行されて良い。第1生成処理の間、第1数の第1組のS-D処理用ウエハは第1ダマシン生成処理を用いることによって作成されて良く、かつ第1組の処理されたウエハが特定されて良い。第1ダマシン生成処理は、第1数の第1組のS-Dウエハ上に第1組のS-Dダマシン部位を生成するのに用いられて良い。第1組のS-Dダマシン部位は、第1組のS-D処理用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。第2生成処理の間、第1数の第2組のS-D処理用ウエハは第2ダマシン生成処理を用いることによって作成されて良く、かつ第2組の処理されたウエハが特定されて良い。第2ダマシン生成処理は、第1数の第2組のS-Dウエハ上に第2組のS-Dダマシン部位を生成するのに用いられて良い。第2組のS-Dダマシン部位は、第2組のS-D処理用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。第1生成処理の間及び/又はその後、第1組のS-D評価用ウエハが特定されて良く、かつ第1組のS-D評価用ウエハは、1つ以上の第1組の処理されたウエハを有して良い。それに加えて、第1生成処理の間及び/又はその後、第1組のS-D評価用ウエハが特定されて良く、かつ第1組のS-D評価用ウエハは1つ以上の第1組の処理されたウエハを有して良い。   Continuing with the first exemplary embodiment, the first generation process may be performed when the first damascene layer is generated, and the second generation process is performed when the second damascene layer is generated. May be good. During the first generation process, a first number of first set of S-D processing wafers may be created by using the first damascene generation process and the first set of processed wafers may be identified. The first damascene generation process may be used to generate a first set of S-D damascene sites on a first number of first sets of S-D wafers. The first set of S-D damascene sites may have one or more verification sites at one or more sites on each of the first set of S-D processing wafers. During the second generation process, a first number of second sets of S-D processing wafers may be created by using a second damascene generation process, and a second set of processed wafers may be identified. The second damascene generation process may be used to generate a second set of S-D damascene sites on a first number of second sets of S-D wafers. The second set of S-D damascene sites may have one or more verification sites at one or more sites on each of the second set of S-D processing wafers. During and / or after the first generation process, a first set of SD evaluation wafers may be identified, and the first set of SD evaluation wafers includes one or more first sets of processed wafers. You can do it. In addition, during and / or after the first generation process, a first set of SD evaluation wafers may be identified, and the first set of SD evaluation wafers may be processed by one or more first sets. You may have a wafer.

730では、1つ以上の処理されたS-Dウエハが搬送及び/又は猶予されて良い。様々な実施例では、処理されたS-Dウエハは、サイト検証用、処理検証用、ウエハ検証用、部位検証用、像検証用、ライブラリ検証用、若しくはプロセス検証用のウエハ、又はこれらの結合であって良い。S-D評価装置が利用可能であるとき、処理されたS-Dウエハは、1つ以上の評価サブシステムと結合するS-D搬送サブシステムを用いることによって、1つ以上の評価サブシステム内のS-D評価装置へ搬送されて良い。S-D評価装置が利用可能でないとき、処理されたS-Dウエハは、1つ以上の評価サブシステムと結合するS-D搬送サブシステムを用いることによって猶予されて良い。   In 730, one or more processed S-D wafers may be transferred and / or suspended. In various embodiments, the processed SD wafer is a site verification, process verification, wafer verification, site verification, image verification, library verification, or process verification wafer, or a combination thereof. Good. When an SD evaluator is available, processed SD wafers are transferred to the SD evaluator in one or more evaluation subsystems by using an SD transfer subsystem that is coupled to one or more evaluation subsystems. May be good. When the S-D evaluation apparatus is not available, processed S-D wafers may be deferred by using an S-D transfer subsystem that is coupled to one or more evaluation subsystems.

猶予されたS-D被処理ウエハが特定されたとき、その猶予された被処理ウエハについて更新されたウエハ状態データが決定されて良い。第1猶予期間後、1つ以上の処理サブシステム内の1つ以上のS-D評価装置についての更新された動作状態データが決定されて良く、かつ1つ以上の新たに利用可能となるS-D評価装置が、更新された動作状態データを用いることによって特定されて良い。新たに利用可能となったS-D評価装置が利用可能であるとき、猶予された被処理S-Dウエハは、1つ以上の評価サブシステムと結合したS-D搬送サブシステムを用いることによって、1つ以上の評価サブシステム内の第1新たに利用可能となったS-D評価装置へ搬送されて良い。第1S-D評価装置が利用可能でないときには、第1猶予された被処理S-Dウエハは、第1処理サブシステムと結合する1つ以上のS-D搬送サブシステムを用いることによって、第2期間だけ猶予されて良い。猶予された被処理S-Dウエハは、第2期間の猶予後に後処理されて良い。後処理は、その処理を中止する工程、その処理を中断する工程、1つ以上のウエハを再評価する工程、1つ以上のウエハを再測定する工程、1つ以上のウエハを再検査する工程、1つ以上のウエハを再加工する工程、1つ以上のウエハを保存する工程、1つ以上のウエハを洗浄する工程、若しくは1つ以上のウエハを取り除く工程、又はこれらの結合を有して良い。1回以上の期間で1つ以上のウエハが、S-D搬送サブシステム内の搬送装置を用いることによって猶予されて良い。搬送装置は2つ以上のウエハを支持する手段を有して良い。   When a deferred S-D process wafer is identified, updated wafer status data for the deferred process wafer may be determined. After the first grace period, updated operating state data for one or more SD evaluation devices in one or more processing subsystems may be determined and one or more newly available SD evaluation devices. May be identified by using updated operating state data. When newly available SD evaluation equipment is available, deferred SD wafers can be evaluated one or more by using an SD transfer subsystem combined with one or more evaluation subsystems. It can be transported to the first newly available SD evaluation device in the subsystem. When the first S-D evaluation device is not available, the first suspended SD wafer to be processed is suspended for a second period by using one or more SD transfer subsystems coupled to the first processing subsystem. Good. The delayed processing target S-D wafer may be post-processed after the second period. Post-processing is the process of stopping the process, suspending the process, reevaluating one or more wafers, re-measuring one or more wafers, and re-inspecting one or more wafers. Reworking one or more wafers, storing one or more wafers, cleaning one or more wafers, removing one or more wafers, or a combination thereof good. One or more wafers may be deferred by using a transfer device in the SD transfer subsystem in one or more periods. The transfer device may include means for supporting two or more wafers.

さらに第1の典型的実施例について続けると、第1組の評価用ウエハの中の各S-Dウエハについて第2S-D搬送シーケンスが設定されて良い。評価サブシステム150内の1つ以上の第1S-D評価装置152についてのリアルタイム動作状態が設定されて良い。ウエハがS-D評価装置152に対して搬入出されることで、動作状態は変化して良い。リアルタイム搬送シーケンスは、評価サブシステム150内の第1S-D評価装置152に対してウエハを搬入出するために設定され、かつ用いられて良い。それに加えて、検査サブシステム135内のS-D評価装置137が用いられても良い。第1数の第1S-D評価装置が利用可能であるとき、第1数の第1組のS-D評価用ウエハは、S-D搬送サブシステム(101、102)を用いることによって、評価サブシステム150内の第1数の第1S-D評価装置152へ搬送されて良い。第1数の第1S-D評価装置が第1組のS-D評価用ウエハの中の他のS-Dウエハにとって利用可能でないとき、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステムを用いることによって、第2期間だけ猶予されて良い。たとえば、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステム(101、102)内の1つ以上の搬送装置104を用いることによって第2期間だけ猶予されて良い。搬送装置104は2つ以上のウエハを支持するように備えられて良い。前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、第2期間後に処理されて良い。第2ダマシン層についてS-Dウエハが処理を必要とするときには、同様の工程の組が用いられて良い。たとえば第3及び第4の搬送シーケンスが用いられても良い。   Continuing with the first exemplary embodiment, a second SD transport sequence may be set for each S-D wafer in the first set of evaluation wafers. A real-time operation state for one or more first S-D evaluation devices 152 in the evaluation subsystem 150 may be set. The operation state may be changed by loading / unloading the wafer into / from the S-D evaluation apparatus 152. The real-time transfer sequence may be set and used to load / unload a wafer to / from the first SD evaluation device 152 in the evaluation subsystem 150. In addition, the S-D evaluation device 137 in the inspection subsystem 135 may be used. When the first number of first S-D evaluation devices are available, the first number of first set of SD evaluation wafers are within the evaluation subsystem 150 by using the SD transfer subsystem (101, 102). To the first number of first S-D evaluation devices 152. When the first number of first SD evaluation devices is not available for other SD wafers in the first set of SD evaluation wafers, the other SD wafers in the first set of SD processing wafers are By using the SD transport subsystem, the second period may be delayed. For example, other SD wafers in the first set of SD processing wafers are suspended for a second period by using one or more transfer devices 104 in the SD transfer subsystem (101, 102). good. The transfer device 104 may be provided to support two or more wafers. The other S-D wafers in the first set of S-D processing wafers may be processed after the second period. A similar set of steps may be used when the S-D wafer requires processing for the second damascene layer. For example, the third and fourth transfer sequences may be used.

735では、クエリーが、ウエハが評価を必要としているか否かを判断するために実行されて良い。ウエハが評価を必要としているときには、処理700は工程740へ分岐して良い。ウエハが評価を必要としていないときには、処理700は工程745へ分岐して良い。   At 735, a query may be performed to determine whether the wafer requires evaluation. When the wafer needs evaluation, the process 700 may branch to step 740. If the wafer does not require evaluation, process 700 may branch to step 745.

740では、1つ以上のサイトが1つ以上のS-Dウエハ上で選択されて良い。様々な実施例では、そのサイトはS-D処理において用いられて良い。そのS-D処理は、サイト検証処理、部位検証処理、像検証処理、ライブラリ検証処理、若しくはプロセス検証処理、又はこれらの結合を有して良い。サイトはS-Dウエハ上の多数の残りのサイトから選ばれて良い。そのサイトは、関連する未検証又は検証部位を有して良い。   In 740, one or more sites may be selected on one or more S-D wafers. In various embodiments, the site may be used in SD processing. The S-D process may include a site verification process, a part verification process, an image verification process, a library verification process, a process verification process, or a combination thereof. The site may be selected from a number of remaining sites on the S-D wafer. The site may have an associated unverified or verified site.

745では、1つ以上の処理されたS-Dウエハが、1つ以上の選ばれたサイトからのデータを用いることによって評価されて良い。たとえば、第1サイトは最も重要なサイトで、かつ一部の検証についての決定は、第1サイトだけを用いて行われて良い。信頼性データ及び/又はリスク評価データは評価処理内において用いられて良い。たとえば、選ばれたサイトについての1つ以上の信頼値が、未検証データと検証データとの間の差異を用いることによって設定されて良く、かつS-D処理についての1つ以上の更新されたリスク因子が設定されて良い。   In 745, one or more processed S-D wafers may be evaluated using data from one or more selected sites. For example, the first site is the most important site, and some verification decisions may be made using only the first site. Reliability data and / or risk assessment data may be used within the assessment process. For example, one or more confidence values for a selected site may be set by using the difference between unverified and verified data and one or more updated risk factors for SD processing May be set.

それに加えて、更新された信頼値が、1つ以上のウエハ上の追加サイトからの追加信頼性データを用いて設定されて良く、かつ全リスク因子が、1つ以上のウエハ上の追加サイトからの追加信頼性データを用いて更新されて良い。他の場合では、検証の決定は、1つ以上のウエハ上の1つ以上のサイトからの信頼値及び/又はリスク因子を用いることによって行われて良い。未処理ウエハ、被処理ウエハ、若しくは猶予されたウエハ、又はこれらの結合についての信頼値が決定されて良い。   In addition, updated confidence values can be set using additional reliability data from additional sites on one or more wafers, and all risk factors can be set from additional sites on one or more wafers. May be updated using additional reliability data. In other cases, verification decisions may be made by using confidence values and / or risk factors from one or more sites on one or more wafers. A confidence value may be determined for an unprocessed wafer, a processed wafer, or a deferred wafer, or a combination thereof.

さらに第1の典型的実施例について続けると、第1ダマシン層が評価されているときに第1評価処理が実行されて良く、かつ第2ダマシン層が評価されているときに第2評価処理が実行されて良い。第1評価処理の間、1つ以上のS-D第1評価処理が実行されて良い。第1数の第1組のS-D評価用ウエハは第1ダマシン評価処理を用いることによって評価されて良く、かつ第1組の検証されたウエハが特定されて良い。第1ダマシン評価処理は、第1数の第1組のS-Dウエハ上に第1組のS-Dダマシン部位を生成するのに用いられて良い。第1組のS-Dダマシン部位は、第1組のS-D評価用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。第2評価処理の間、第1数の第2組のS-D評価用ウエハは第2ダマシン評価処理を用いることによって評価されて良く、かつ第2組の検証されたウエハが特定されて良い。第2ダマシン評価処理は、第1数の第2組のS-Dウエハ上に第2組のS-Dダマシン部位を生成するのに用いられて良い。第2組のS-Dダマシン部位は、第2組のS-D評価用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。   Continuing with the first exemplary embodiment, the first evaluation process may be performed when the first damascene layer is being evaluated, and the second evaluation process may be performed when the second damascene layer is being evaluated. Good to be executed. During the first evaluation process, one or more S-D first evaluation processes may be performed. The first number of first set of S-D evaluation wafers may be evaluated by using the first damascene evaluation process, and the first set of verified wafers may be identified. The first damascene evaluation process may be used to generate a first set of S-D damascene sites on a first number of first sets of S-D wafers. The first set of S-D damascene sites may have one or more verification sites at one or more sites on each of the first set of S-D evaluation wafers. During the second evaluation process, a first number of second sets of S-D evaluation wafers may be evaluated using a second damascene evaluation process, and a second set of verified wafers may be identified. The second damascene evaluation process may be used to generate a second set of S-D damascene sites on the first number of second sets of S-D wafers. The second set of S-D damascene sites may have one or more verification sites at one or more sites on each of the second set of S-D evaluation wafers.

第1評価処理の間及び/又はその後、第2組のS-D処理が設定されて良く、かつ第2組のS-D処理は、1つ以上の第1組の検証されたウエハを有して良い。   During and / or after the first evaluation process, a second set of S-D processes may be established, and the second set of S-D processes may include one or more first sets of verified wafers.

745では、クエリーが、いつ追加のS-D評価用ウエハが必要であるかを判断するために実行されて良い。追加のS-D評価用ウエハが処理を必要とするときには、処理700は740へ分岐して良い。追加のS-D評価用ウエハが必要とされないときには、処理700は750へ分岐して良い。   In 745, a query may be performed to determine when additional S-D evaluation wafers are needed. When additional S-D evaluation wafers require processing, process 700 may branch to 740. When no additional S-D evaluation wafer is needed, process 700 may branch to 750.

750では、クエリーが、いつ追加のS-D生成用ウエハが必要であるかを判断するために実行されて良い。追加のS-D生成用ウエハが処理を必要とするときには、処理700は720へ分岐して良い。追加のS-D生成用ウエハが必要とされないときには、処理700は755へ分岐して良い。それに加えて、追加の検証用データが、1つ以上の追加のS-Dウエハ上の1つ以上のサイトから取得されて良い。追加のS-Dウエハ上の追加サイトについて追加の信頼値が設定されて良い。追加のリスク因子もまた、追加の信頼性データを用いることによって設定されて良い。さらにS-D処理を検証するとき、以降で処理された猶予されたS-Dウエハからのデータが評価されて良い。   At 750, a query may be performed to determine when additional SD generation wafers are needed. The process 700 may branch to 720 when additional S-D generation wafers require processing. The process 700 may branch to 755 when no additional S-D generation wafer is required. In addition, additional verification data may be obtained from one or more sites on one or more additional S-D wafers. Additional confidence values may be set for additional sites on additional S-D wafers. Additional risk factors may also be set by using additional reliability data. Further, when verifying the S-D process, data from a postponed S-D wafer that has been processed thereafter may be evaluated.

755では、クエリーが、いつ追加のS-D及び/又は非S-D処理が必要であるかを判断するために実行されて良い。追加のS-D及び/又は非S-D処理が必要とされるときには、処理700は715へ分岐して良い。追加のS-D及び/又は非S-D処理が必要とされないときには、処理700は760へ分岐して良い。   In 755, a query may be performed to determine when additional SD and / or non-S-D processing is required. When additional S-D and / or non-S-D processing is required, process 700 may branch to 715. The process 700 may branch to 760 when additional SD and / or non-SD processing is not required.

一部の多工程の例では、リソグラフィ関連及び/又はスキャナ関連処理装置は、マスク堆積処理、マスク層露光処理、及び/又は現像処理を実行して良く、かつ、S-D評価装置は、マスク堆積処理、マスク層露光処理、及び/又は現像処理の検証に用いられて良い。マスク堆積処理、マスク層露光処理、及び/又は現像処理はS-D及び/又は非S-Dであって良い。それに加えて、1層以上の層がエッチング関連処理装置を用いてエッチングされて良く、かつエッチングされた部位は、1つ以上のS-D評価装置を用いることによって評価されて良い。   In some multi-step examples, the lithography-related and / or scanner-related processing device may perform mask deposition processing, mask layer exposure processing, and / or development processing, and the SD evaluation device may perform mask deposition processing. , Mask layer exposure processing and / or development processing verification. The mask deposition process, mask layer exposure process, and / or development process may be SD and / or non-SD. In addition, one or more layers may be etched using an etching-related processing device, and the etched site may be evaluated by using one or more S-D evaluation devices.

他の多工程の例では、デュアルダマシン処理が、1つ以上のウエハ上で実行されて良い。デュアルダマシン処理の間、第1ダマシン処理が実行され、それに続いて第2ダマシン処理が実行されて良い。実施例によっては、ビア・ファースト・トレンチ・ラスト(VFTL)処理が実行されて良い。またトレンチ・ファースト・ビア・ラスト(TFVL)処理が実行されても良い実施例もある。S-D測定、検査、検証、及び/又は評価処理は、ダマシン処理の前、間、及び/又は後に実行されて良い。あるいはその代わりに1つ以上の非S-D処理が必要とされても良い。たとえば、第1のパターニングされたダマシン層上のエッチングされた部位は、「ビア・ファースト」(via first)又は「トレンチ・ファースト」(trench first)エッチング処理が実行された後に測定されて良い。1つ以上のS-Dデータ収集(DC)計画及び/又はS-Dマッピングアプリケーションが用いられても良い。あるいはその代わりに異なる処理が用いられても良い。   In other multi-step examples, dual damascene processing may be performed on one or more wafers. During the dual damascene process, a first damascene process may be performed followed by a second damascene process. In some embodiments, a via first trench last (VFTL) process may be performed. In some embodiments, trench first via last (TFVL) processing may be performed. The S-D measurement, inspection, verification, and / or evaluation process may be performed before, during, and / or after the damascene process. Alternatively, one or more non-S-D processes may be required instead. For example, the etched site on the first patterned damascene layer may be measured after a “via first” or “trench first” etch process is performed. One or more S-D data collection (DC) plans and / or S-D mapping applications may be used. Alternatively, different processing may be used instead.

S-Dウエハ厚さデータ及び/又はウエハ温度データが、リソグラフィ処理中に、S-Dマスク(フォトレジスト)データの生成、S-Dマスクの浸漬後洗浄及び/又は乾燥データの生成、並びにS-Dマスク現像及び/又はベーキングデータの生成を行うのに用いられて良い。それに加えて、S-Dウエハ厚さデータ及び/又はウエハ温度データは、エッチングサブシステム140によって、S-Dエッチング及び/又はアッシングデータを生成するのに用いられて良い。たとえばそのデータは、エッチング用化学物質データ、エッチング時間データ、処理気体比のデータ、予想される端点データ、ヒーター出力データ、及び/又はRF出力データを有して良い。それに加えて、S-Dウエハ厚さデータ及び/又はウエハ温度データは、熱処理サブシステム130によって、S-D加熱及び/又は冷却データを生成するのに用いられて良い。S-Dウエハ厚さデータ及び/又はウエハ温度データは、検査サブシステム135によって、S-D検査、検証、及び/又は検討データ(examination data)を生成するのに用いられて良い。他の例では、S-Dウエハ厚さデータ及び/又はウエハ温度データは、再加工サブシステム155によって、S-D再加工処理を行うのに用いられて良い。   SD wafer thickness data and / or wafer temperature data is generated during lithographic processing, SD mask (photoresist) data generation, SD mask post-immersion cleaning and / or drying data generation, and SD mask development and / or baking. It can be used to generate data. In addition, the S-D wafer thickness data and / or wafer temperature data may be used by the etching subsystem 140 to generate S-D etching and / or ashing data. For example, the data may include etch chemistry data, etch time data, process gas ratio data, expected endpoint data, heater output data, and / or RF output data. In addition, the SD wafer thickness data and / or wafer temperature data may be used by the thermal processing subsystem 130 to generate SD heating and / or cooling data. The S-D wafer thickness data and / or wafer temperature data may be used by the inspection subsystem 135 to generate S-D inspection, verification, and / or examination data. In other examples, the S-D wafer thickness data and / or wafer temperature data may be used by the rework subsystem 155 to perform an S-D rework process.

図8は、S-D評価用ライブラリを生成するための別な典型的フローダイアグラムを表している。例示された処理800では、多数の工程が示されている。あるいはその代わりに、異なる数の工程及び異なる数のシーケンスが用いられても良い。   FIG. 8 shows another exemplary flow diagram for generating an S-D evaluation library. In the illustrated process 800, a number of steps are shown. Alternatively, a different number of steps and a different number of sequences may be used.

810では、1つ以上のS-Dウエハが、1つ以上のS-D搬送システムを用いることによって受け取られて良い。あるいはその代わりに、1つ以上の非S-Dウエハが受け取られても良い。それに加えて、1つ以上のウエハについてのウエハデータが受け取られて良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。あるいはその代わりに、ウエハは異なるサブシステムによって受け取られても良い。   At 810, one or more S-D wafers can be received by using one or more S-D transfer systems. Alternatively, one or more non-S-D wafers may be received. In addition, wafer data for one or more wafers may be received. Wafer data may include historical data and / or real-time data. Alternatively, the wafer may be received by a different subsystem.

815では、1つ以上のS-D搬送システムを用いることによって受け取り可能な1つ以上のウエハについて1つ以上のS-Dウエハデータ及び/又は非S-Dウエハデータが決定されて良い。ウエハデータは、S-Dウエハ及び非S-Dウエハの組を特定するのに用いられて良い。様々な実施例では、S-Dウエハに関連するS-Dウエハデータは、S-D、チップ依存、製品依存、位置依存、層依存、ウエハ依存、若しくはダイ依存、又はこれらの結合であって良い。それに加えて、ウエハについて1つ以上のS-D処理シーケンスが設定されて良く、かつS-D処理シーケンスは、S-Dウエハデータ、チップ依存のウエハ状態データ、及び/又はダイ依存のウエハ状態データを用いることによって設定されて良い。   At 815, one or more S-D wafer data and / or non-S-D wafer data may be determined for one or more wafers that can be received by using one or more SD transport systems. The wafer data can be used to identify a set of S-D wafers and non-S-D wafers. In various embodiments, S-D wafer data associated with an S-D wafer may be S-D, chip-dependent, product-dependent, position-dependent, layer-dependent, wafer-dependent, or die-dependent, or a combination thereof. In addition, one or more SD processing sequences may be set for a wafer, and the SD processing sequence is set by using SD wafer data, chip-dependent wafer state data, and / or die-dependent wafer state data. May be good.

820では、1つ以上のS-Dウエハが、S-D搬送システムを用いることによって1つ以上のS-D処理装置へ搬送されて良い。   In 820, one or more S-D wafers may be transferred to one or more S-D processing apparatuses by using an S-D transfer system.

825では、1つ以上の処理されたS-Dウエハが生成されて良い。処理されたS-Dウエハは、上に1つ以上のS-Dライブラリ関連部位を有して良い。前記1つ以上のS-Dライブラリ関連部位は、1つ以上のS-D生成処理を用いることによって1つ以上のサイトに生成されて良い。   In 825, one or more processed S-D wafers may be generated. The processed S-D wafer may have one or more S-D library related sites on it. The one or more S-D library-related parts may be generated at one or more sites by using one or more S-D generation processes.

830では、クエリーが、1つ以上のS-D生成処理が正しく行われたか否かを判断するために実行されて良い。1つ以上のS-D生成処理が正しく行われたときには、処理800は工程835へ分岐して良い。1つ以上のS-D生成処理が正しく行わなかったときには、処理800は工程880へ分岐して良い。たとえば、装置データ、チャンバデータ、及び/又は失敗データが用いられて良い。   At 830, a query may be executed to determine whether one or more S-D generation processes have been performed correctly. If one or more S-D generation processes are performed correctly, process 800 may branch to step 835. If one or more S-D generation processes are not performed correctly, process 800 may branch to step 880. For example, device data, chamber data, and / or failure data may be used.

1組以上のS-D評価用ウエハが、1組以上の被処理S-Dウエハを用いることによって作製されて良い。   One or more sets of S-D evaluation wafers may be produced by using one or more sets of processed S-D wafers.

835では、1組以上のS-D評価用ウエハが、S-D搬送システムを用いることによって1つ以上の評価装置へ搬送されて良い。それに加えて、1組以上の他のS-D評価用ウエハの組が、S-D搬送システムを用いることによって猶予及び/又は保存されても良い。   In 835, one or more sets of S-D evaluation wafers may be transferred to one or more evaluation devices using an S-D transfer system. In addition, one or more other sets of S-D evaluation wafers may be suspended and / or stored by using the S-D transfer system.

840では、1つ以上のS-D評価処理が、1つ以上のS-D評価装置へ搬送された1つ以上のS-D評価用ウエハを用いることによって実行されて良い。それに加えて、猶予された後に1つ以上の評価装置が利用可能となったときにその1つ以上の評価装置へ搬送された1つ以上のS-D評価用ウエハを用いることによって、1つ以上のS-D評価処理が実行されて良い。   In 840, one or more S-D evaluation processes may be performed by using one or more S-D evaluation wafers transferred to one or more S-D evaluation apparatuses. In addition, by using one or more SD evaluation wafers that have been transferred to the one or more evaluation units when one or more evaluation units become available after the grace period, SD evaluation process may be executed.

一部の評価処理中では、第1S-D評価用ウエハについての第1信頼性データが、第1S-D評価用ウエハ上の第1サイトでのS-Dライブラリ関連部位を評価することによって設定されて良い。第1S-D評価用ウエハについての第1信頼性データは1つ以上の第1信頼性限界程度であって良い。各異なるレベルの信頼性が各異なる信頼性限界に関連して良い。   During some evaluation processes, the first reliability data for the first S-D evaluation wafer is set by evaluating the SD library-related parts at the first site on the first S-D evaluation wafer. good. The first reliability data for the first S-D evaluation wafer may be about one or more first reliability limits. Different levels of reliability may be associated with different reliability limits.

第1信頼性限界が満たされているとき、第1ライブラリ関連の参照用部位は、第1レベルの信頼性を有する高信頼性部位として認定されて良く、第1S-D評価用ウエハは第1レベルの信頼性を有する高信頼性ウエハとして認定されて良く、かつ高信頼性部位及び第1S-D評価用ウエハに関連する第1ライブラリ関連評価用データは、S-D評価用ライブラリ内に保存されて良い。高信頼性部位及びS-D評価用ウエハは1つ以上の信頼性レベルを有して良い。   When the first reliability limit is satisfied, the reference portion related to the first library may be certified as a highly reliable portion having the first level of reliability, and the first S-D evaluation wafer is the first one. The first library-related evaluation data related to the high-reliability part and the first S-D evaluation wafer may be certified as a high-reliability wafer having a level of reliability and stored in the SD evaluation library. good. High reliability sites and S-D evaluation wafers may have one or more reliability levels.

845では、クエリーが、1つ以上のS-D評価処理が正しく行われたか否かを判断するために実行されて良い。1つ以上のS-D評価処理が正しく行われたときには、処理800は工程850へ分岐して良い。1つ以上のS-D評価処理が正しく行わなかったときには、処理800は工程880へ分岐して良い。たとえば、装置データ、チャンバデータ、及び/又は失敗データが用いられて良い。   At 845, a query may be executed to determine whether one or more S-D evaluation processes have been performed correctly. If one or more S-D evaluation processes are performed correctly, process 800 may branch to step 850. If one or more S-D evaluation processes are not performed correctly, the process 800 may branch to step 880. For example, device data, chamber data, and / or failure data may be used.

850では、1つ以上の信頼性限界が満たされないときには、1つ以上の補正行為が実行されて良い。   At 850, one or more corrective actions can be performed when one or more reliability limits are not met.

855では、クエリーが、追加の評価用ウエハが評価を必要とするか否かを判断するために実行されて良い。追加の評価用ウエハが評価を必要とするときには、処理800は工程835へ分岐して良い。追加の評価用ウエハが評価を必要としないときには、処理800は工程860へ分岐して良い。   At 855, a query may be performed to determine whether additional evaluation wafers require evaluation. When an additional evaluation wafer requires evaluation, process 800 may branch to step 835. If the additional evaluation wafer does not require evaluation, the process 800 may branch to step 860.

860では、クエリーが、追加の生成用ウエハがさらなる処理に利用可能か否かを判断するために実行されて良い。追加の生成用ウエハが利用可能なときには、処理800は工程810へ分岐して良い。追加の生成用ウエハが利用可能でないときには、処理800は工程870へ分岐して良い。処理800は870で終了する。   At 860, a query may be performed to determine whether additional production wafers are available for further processing. Process 800 may branch to step 810 when additional production wafers are available. If no additional production wafers are available, the process 800 may branch to step 870. Process 800 ends at 870.

一部の例では、補正行為を適用する工程は以下の工程を有して良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の最大数の評価用サイトを決定する工程、b)第1S-D評価用ウエハ上の最小数の評価用サイトを決定する工程、c)第1S-D評価用ウエハについての第1信頼性マップを生成する工程、d)第1S-D評価用ウエハ上の必要な数の評価用サイトを決定する工程、e)第1S-D評価用ウエハ上の新たなサイトを選択する工程、f)新たなS-D評価処理を用いることによって第1S-D評価用ウエハについての新たな信頼性データを設定する工程であって、第1S-Dウエハ上の新たなサイトでのS-Dライブラリ関連部位が評価される工程、g)新たなサイトを、第1S-D評価用ウエハについての新たな第1信頼性マップに追加する工程、h)新たな信頼性データを、第1S-D評価用ウエハについての新たな信頼性限界と比較する工程、i)新たな第1信頼性限界が満たされるときには、第1S-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、新たな第1レベルの信頼性を有する新たな高信頼性部位と認定し、第1S-D評価用ウエハを、新たな第1レベルの信頼性を有する新たな高信頼性ウエハと認定し、かつ新たな高信頼性部位及び第1S-D評価用ウエハに関連する第1ライブラリ関連の評価用データをS-D評価用ライブラリ内に保存する工程、j)新たな第1信頼性限界が満たされないときには、第1S-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、新たな信頼性データを有する新たな未検証部位と認定し、必要サイト数を1つ減らして、かつアクセスされたサイト数1を1つ増やす工程、k)第1S-D評価用ウエハ上の必要サイト数が0よりも大きいときには、工程e)-j)を繰り返す工程、並びにl)第1S-D評価用ウエハ上の必要サイト数が0に等しいときには、第1S-D評価用ウエハの評価を中止する工程、である。   In some examples, the step of applying the corrective action may include the following steps. The following steps are a) a step of determining the maximum number of evaluation sites on the first S-D evaluation wafer, and b) a step of determining the minimum number of evaluation sites on the first S-D evaluation wafer. C) generating a first reliability map for the first S-D evaluation wafer, d) determining a required number of evaluation sites on the first S-D evaluation wafer, e) first S-D Selecting a new site on the D evaluation wafer; f) setting new reliability data for the first S-D evaluation wafer by using a new SD evaluation process, the first S- The process of evaluating SD library-related parts at a new site on the D wafer, g) The process of adding a new site to the new first reliability map for the first S-D evaluation wafer, h) New Comparing new reliability data with new reliability limits for the first S-D evaluation wafer, i) meeting the new first reliability limits When the first S-D evaluation wafer is identified, the SD library-related part of the new site on the first S-D evaluation wafer is recognized as a new high-reliability part having a new first level of reliability. Is recognized as a new high-reliability wafer having a new first-level reliability, and the first library-related evaluation data related to the new high-reliability part and the first S-D evaluation wafer is SD. Step of storing in the evaluation library, j) When the new first reliability limit is not satisfied, the SD library related part of the new site on the first S-D evaluation wafer is newly stored with new reliability data. A step of reducing the necessary number of sites by 1 and increasing the number of accessed sites by 1; k) When the required number of sites on the first S-D evaluation wafer is greater than 0 , Steps e) -j), and l) first S-D evaluation wafer When the required number on-site is equal to zero, step to stop the evaluation of the 1S-D evaluation wafer is.

他の例では、補正行為を適用する工程は以下の工程を有して良い。前記以下の工程とは、a1)S-D搬送システムを用いることによって追加のS-Dウエハを受け取る工程、b1)第1S-D搬送システムを用いることによって追加の第1S-D処理装置へ追加のS-Dウエハを搬送する工程、c1)1つ以上の追加の被処理S-Dウエハを生成する工程であって、1つ以上のS-Dライブラリ関連部位が、第1S-D生成処理を用いることによって各追加S-D被処理ウエハ上の1つ以上のサイトに生成される工程、d1)追加の被処理S-Dウエハを用いることによって追加のS-D評価用ウエハを決定する工程、e1)S-D搬送サブシステムを用いることによって追加の第1S-D評価装置へ追加のS-Dウエハを搬送する工程、f1)追加の第1S-D評価処理を用いることによって追加のS-D評価用ウエハについての追加の第1信頼性データを設定する工程であって、追加のS-D評価用ウエハ上の第1サイトでのS-Dライブラリ関連部位が評価される工程、g1)追加の第1信頼性データを、追加のS-D評価用ウエハについての追加の第1信頼性データと比較する工程、h1)追加の第1信頼性限界が満たされるときには、追加のS-D評価用ウエハ上の第1サイトのS-Dライブラリ関連部位を、追加の第1レベルの信頼性を有する追加の高信頼性部位と認定し、追加のS-D評価用ウエハを、追加の第1レベルの信頼性を有する追加の高信頼性ウエハと認定し、かつ追加の高信頼性部位及び追加のS-D評価用ウエハに関連する追加のライブラリ関連の評価用データをS-D評価用ライブラリ内に保存する工程、i1)追加の第1信頼性限界が満たされないときには、第2補正行為を適用する工程、である。   In another example, the step of applying the corrective action may include the following steps. The following steps include a1) a step of receiving an additional SD wafer by using an SD transfer system, and b1) an additional SD wafer to an additional first SD processing apparatus by using the first SD transfer system. C1) a step of generating one or more additional SD wafers to be processed, wherein one or more SD library-related parts are used for each additional SD target wafer by using the first S-D generation process. Steps generated at one or more sites above, d1) determining additional SD evaluation wafers by using additional processed SD wafers, e1) additional first S by using SD transport subsystem A step of transporting an additional SD wafer to the -D evaluation device; f1) a step of setting additional first reliability data for the additional SD evaluation wafer by using the additional first S-D evaluation process; The first size on the additional SD evaluation wafer G1) comparing the additional first reliability data with the additional first reliability data for the additional SD evaluation wafer, h1) the additional first reliability data. When the reliability limit is satisfied, the SD library-related part at the first site on the additional SD evaluation wafer is identified as an additional high-reliability part having an additional first level of reliability, and an additional SD evaluation is performed. Qualify the additional wafer as an additional high-reliability wafer with an additional first level of reliability and provide additional library-related evaluation data related to the additional high-reliability site and additional SD evaluation wafer Saving in the SD evaluation library; i1) applying the second correction action when the additional first reliability limit is not met.

それに加えて、第2補正行為を適用する工程は以下の工程を有して良い。前記以下の工程とは、a2)追加のS-D評価用ウエハ上の最大数の評価用サイトを決定する工程、b2)追加のS-D評価用ウエハ上の最小数の評価用サイトを決定する工程、c2)追加のS-D評価用ウエハについての第1信頼性マップを生成する工程、d2)追加のS-D評価用ウエハ上の必要な数の評価用サイトを決定する工程、e2)追加のS-D評価用ウエハ上の新たなサイトを選択する工程、f2)追加の新たなS-D評価処理を用いることによって追加のS-D評価用ウエハについての新たな追加の信頼性データを設定する工程であって、追加のS-Dウエハ上の新たなサイトでのS-Dライブラリ関連部位が評価される工程、g2)新たなサイトを、追加のS-D評価用ウエハについての第1信頼性マップに追加する工程、h2)新たな追加の信頼性データを、追加のS-D評価用ウエハについての新たな第1信頼性限界と比較する工程、i2)追加の新たな第1信頼性限界が満たされるときには、追加のS-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、追加の新たな第1レベルの信頼性を有する追加の新たな高信頼性部位と認定し、第1S-D評価用ウエハを、追加の新たな第1レベルの信頼性を有する追加の新たな高信頼性ウエハと認定し、かつ追加の新たな高信頼性部位及び追加のS-D評価用ウエハに関連する新たな追加のライブラリ関連の評価用データをS-D評価用ライブラリ内に保存する工程、j2)追加の新たな第1信頼性限界が満たされないときには、追加のS-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、新たな信頼性データを有する追加の新たな未検証部位と認定し、必要サイト数を1つ減らして、かつアクセスされたサイト数1を1つ増やす工程、k2)追加のS-D評価用ウエハ上の必要サイト数が0よりも大きいときには、工程e2)-j2)を繰り返す工程、並びにl2)追加のS-D評価用ウエハ上の必要サイト数が0に等しいときには、追加のS-D評価用ウエハの評価を中止する工程、である。   In addition, the step of applying the second correction act may include the following steps. The following steps are: a2) determining the maximum number of evaluation sites on the additional SD evaluation wafer, b2) determining the minimum number of evaluation sites on the additional SD evaluation wafer, c2 ) Generating a first reliability map for the additional SD evaluation wafer, d2) determining a required number of evaluation sites on the additional SD evaluation wafer, e2) on the additional SD evaluation wafer F2) using the additional new SD evaluation process to set new additional reliability data for the additional SD evaluation wafer, on the additional SD wafer. G2) adding new sites to the first reliability map for additional SD evaluation wafers, h2) new additional reliability data A new first for additional SD evaluation wafers I2) When the additional new first reliability limit is met, the SD site associated with the new site on the additional SD evaluation wafer is replaced with the additional new first level Recognize as an additional new high-reliability part with reliability, certify the first S-D evaluation wafer as an additional new high-reliability wafer with an additional new first level of reliability, and Storing new additional library-related evaluation data related to the additional new high-reliability site and additional SD evaluation wafer in the SD evaluation library, j2) additional new first reliability limit Is not satisfied, the SD library-related part of the new site on the additional SD evaluation wafer is recognized as an additional new unverified part having new reliability data, and the number of necessary sites is reduced by one, And the number of visited sites is 1 K2) When the number of necessary sites on the additional SD evaluation wafer is larger than 0, the steps e2) to j2) are repeated, and l2) The number of necessary sites on the additional SD evaluation wafer is zero. Is the step of stopping the evaluation of the additional SD evaluation wafer.

一部の例では、第1サイトは最も重要なサイトの1つであって良く、かつ、決定は1つ以上のウエハからの第1サイトデータからの結果に基づいて良い。   In some examples, the first site may be one of the most important sites, and the determination may be based on results from first site data from one or more wafers.

S-D及び/又は非S-D処理からのデータは、測定、検査、検証、及び/又は評価処理の変更、新たな測定、検査、検証、及び/又は評価サイトをいつ設定するのかを決定するのに用いられて良い。それに加えて、信頼値がウエハの1つ以上の領域において低いとき、又は、エラーが発生したときには、1つ以上の新たなサイトが設定されて良い。さらに信頼性マップ上の値が特定の処理について一貫して高いとき、かつ/又は特定の処理についての精度値が一貫して受容可能な限界範囲内であるときには、新たな測定、検査、検証、及び/又は評価計画が設定されて良い。その計画は、より少数のサイトを用い、かつ各ウエハについてのスループット時間を減少させることができる。   Data from SD and / or non-SD processes is used to determine when to change measurement, inspection, verification, and / or evaluation processes, new measurement, inspection, verification, and / or evaluation sites It ’s good. In addition, one or more new sites may be set when the confidence value is low in one or more regions of the wafer or when an error occurs. In addition, when the value on the reliability map is consistently high for a particular process and / or the accuracy value for a particular process is consistently within acceptable limits, a new measurement, inspection, verification, And / or an evaluation plan may be established. The plan can use fewer sites and reduce the throughput time for each wafer.

場合によっては、ウエハ全体についてのデータはS-D処理中に計算されて良い。あるいはその代わりに、ウエハの一部についてのデータが計算及び/又は予測されて良い。たとえばその一部とは1つ以上の半径領域及び/又は四分円を有して良い。1つ以上の測定値及び/又は計算/予測値が、ウエハについて設定された精度限界の範囲外であるときには、エラー状態であることが宣言されて良い。一部のエラーは、S-D制度改善処理を用いることによって解消することができる。他のエラーは、サブシステム及び/又は制御装置によって解決することができる。   In some cases, data for the entire wafer may be calculated during the SD process. Alternatively, data for a portion of the wafer may be calculated and / or predicted. For example, the portion may have one or more radial regions and / or quadrants. An error condition may be declared when one or more measured values and / or calculated / predicted values are outside the accuracy limits set for the wafer. Some errors can be resolved by using the SD system improvement process. Other errors can be resolved by the subsystem and / or controller.

ウエハの一部は様々な信頼値を有する製品を有して良い。S-D処理は、製品開発サイクルにおける多くの様々な段階で、S-Dウエハから最大量の製品を得るのに用いられて良い。   A portion of the wafer may have products with various confidence values. S-D processing can be used to obtain the maximum amount of product from an S-D wafer at many different stages in the product development cycle.

プロセス結果及び/又は他のマップに関連する許容値及び/又は許容限界は、1つ以上のプロセスにおける許容可能なばらつきを特定するのに用いられて良い。それに加えて、プロセス結果及び/又は他のマップは、処理シーケンスにおける1つ以上の処理についての信頼性データ及び/又はリスク因子を設定するのに用いられて良い。たとえば、プロセス結果及び/又は他のマップは、チャンバ洗浄処理に応じて変化して良く、かつS-D処理は、チャンバ洗浄後に生じる「最初のウエハ」問題を改善及び/又は解決するのに用いられて良い。   Tolerances and / or tolerance limits associated with process results and / or other maps may be used to identify acceptable variability in one or more processes. In addition, process results and / or other maps may be used to set confidence data and / or risk factors for one or more processes in the process sequence. For example, process results and / or other maps may vary depending on the chamber cleaning process, and SD processing is used to improve and / or solve the “first wafer” problem that occurs after chamber cleaning. good.

一部の実施例では、S-Dデータは層の製造情報を有して良く、かつその層の製造情報は各異なる層についてそれぞれ異なっていて良い。新たなS-D層データは、S-D処理中に取得され、プロセスレシピを更新及び/又は最適化するのに用いられ、プロセスモデルを更新及び/又は最適化するのに用いられ、かつプロファイルデータを更新及び/又は最適化するのに用いられて良い。それに加えて、S-D処理は新たなS-D層データを、他のサブシステム及び/又は工場システム内の制御装置へ送って良い。たとえば新たなS-Dデータは新たなウエハ厚さ及び/又は均一性データを有して良い。   In some embodiments, the S-D data may include layer manufacturing information, and the layer manufacturing information may be different for different layers. New SD layer data is acquired during SD processing and used to update and / or optimize process recipes, used to update and / or optimize process models, and update and / or update profile data. Can be used to optimize. In addition, the SD process may send new SD layer data to other subsystems and / or controllers in the factory system. For example, new S-D data may include new wafer thickness and / or uniformity data.

S-D処理は状態に係る情報を利用して良い。状態に係る情報とはたとえば、ウエハデータを構成して番号付けする手段としての、サイトID、チップID、ダイID、製品ID、サブシステムID、時間、ウエハID、スロットID、ロットID、レシピ、及び/又はパターニング構造のIDである。   The S-D process may use information related to the state. Information related to the state includes, for example, a site ID, chip ID, die ID, product ID, subsystem ID, time, wafer ID, slot ID, lot ID, recipe, as means for configuring and numbering wafer data And / or the ID of the patterning structure.

それに加えて、S-Dモデル化処理は、ウエハモデル、精度モデル、レシピモデル、光学特性モデル、構造モデル、FDCモデル、予測モデル、信頼性モデル、測定モデル、エッチングモデル、堆積モデル、最初のウエハ効果モデル、チャンバモデル、装置モデル、ドリフトモデル、猶予期間モデル、電気特性モデル、若しくはデバイスモデル、又はこれらの結合を、生成、精緻化、及び/又は使用して良い。   In addition to that, the SD modeling process includes wafer model, accuracy model, recipe model, optical property model, structure model, FDC model, prediction model, reliability model, measurement model, etching model, deposition model, first wafer effect model , Chamber models, equipment models, drift models, grace period models, electrical property models, or device models, or combinations thereof, may be generated, refined, and / or used.

S-D処理はまた、履歴データ、ウエハデータ、精度データ、プロセスデータ、光学特性データ、構造データ、FDCデータ、予測データ、信頼性データ、測定データ、エッチングデータ、チャンバデータ、装置データ、ドリフトデータ、電気特性データ、若しくはデバイスデータ、又はこれらの結合をも用いて良い。   SD processing also includes history data, wafer data, accuracy data, process data, optical property data, structure data, FDC data, prediction data, reliability data, measurement data, etching data, chamber data, equipment data, drift data, electrical Characteristic data, device data, or a combination thereof may also be used.

S-DパラメータはS-D層情報を有して良い。S-D厚さデータはリソグラフィ処理後に供されて良い。S-D処理はこの情報をスキャナサブシステムへ送るのに用いられて良い。それに加えて、厚さデータは堆積処理後に供されて良く、かつS-D処理はこの情報を他のサブシステムへ送るのに用いられて良い。S-Dウエハデータをリアルタイムで測定及び/又は処理サブシステムへフィードフォワードすることによって、ウエハ処理を改善することが可能となる。層の厚さに影響を及ぼす恐れのある材料のばらつき及び/又は処理のばらつきは、サイト間、ウエハ間、及びロット間で変化して良い。厚さのばらつきは堆積処理がウエハ全体にわたって均一ではないために生じると考えられる。これには、チャンバ間でのばらつき及び長時間にわたるチャンバのドリフトが含まれると考えられる。厚さのばらつきは光学特性のばらつき及び/又は熱のばらつきを生じさせる恐れがある。S-D処理は、これらのばらつきを緩和及び/又は除去するのに用いることができる。   The S-D parameter may include SD layer information. S-D thickness data may be provided after lithographic processing. S-D processing can be used to send this information to the scanner subsystem. In addition, the thickness data can be provided after the deposition process, and the S-D process can be used to send this information to other subsystems. Wafer processing can be improved by feeding forward the S-D wafer data to the measurement and / or processing subsystem in real time. Material variations and / or process variations that may affect layer thickness may vary from site to site, from wafer to wafer, and from lot to lot. Thickness variations are believed to occur because the deposition process is not uniform across the wafer. This is believed to include chamber-to-chamber variation and chamber drift over time. Variations in thickness can cause variations in optical properties and / or variations in heat. S-D processing can be used to mitigate and / or eliminate these variations.

システム及び/又はサブシステムは非S-D及び/又はS-Dデータを有して良い。非S-D及び/又はS-Dデータは、セットアップデータ、構成データ、履歴データ、入力データ、出力データ、優先データ、猶予データ、失敗データ、応答データ、エラーデータ、フィードフォワードデータ、フィードバックデータ、通過データ、内部データ、外部データ、最適化データ、状態データ、タイミングデータ、プロセス結果データ、及び/又は測定データを有して良い。   The system and / or subsystem may have non-S-D and / or S-D data. Non-SD and / or SD data is setup data, configuration data, history data, input data, output data, priority data, grace data, failure data, response data, error data, feed forward data, feedback data, passing data, internal Data, external data, optimization data, status data, timing data, process result data, and / or measurement data may be included.

一部の実施例では、S-Dウエハデータ及び/又はウエハデータは、底部CDデータ、中間CDデータ、上部CDデータ、若しくは角度データ、又はこれらの結合を有して良い。たとえば、サブシステムはエッチング装置を有して良く、かつそのエッチング装置はS-Dである新たなウエハ及び/又はプロセス状態データを用いて、ウエハ上に深い溝をエッチングして作製するときのエッチング時間を決定し、ウエハ上にデュアルダマシン構造をエッチングするときのエッチング時間を決定し、ウエハ上のゲート構造をエッチングするときのエッチング時間を決定して良い。それに加えて、リアルタイム処理データは、計算されたCD、計算された深さ、及び/又は計算された側壁角度を有して良い。   In some embodiments, the S-D wafer data and / or wafer data may include bottom CD data, intermediate CD data, top CD data, or angle data, or a combination thereof. For example, the subsystem may have an etcher, and the etcher uses the new wafer and / or process state data that is SD to reduce the etch time when etching a deep trench on the wafer. The etching time for etching the dual damascene structure on the wafer may be determined, and the etching time for etching the gate structure on the wafer may be determined. In addition, the real-time processing data may have a calculated CD, a calculated depth, and / or a calculated sidewall angle.

処理装置がウエハを受け入れる準備を整えるまで、その処理装置へウエハが搬送されないようにするために、S-D制御アプリケーションが用いられて良い。受け手がS-Dメッセ維持及び/又はデータを用いる準備を整えるまで、そのS-Dメッセ維持及び/又はデータが送られないようにするために、S-D制御アプリケーションが用いられて良い。S-D制御アプリケーションは、猶予期間変数を用いて、ウエハ、計算、プロセス、及び/又は測定を猶予することができる。たとえば、猶予期間は、ウエハについての計算、プロセス、及び/又は測定によってS-Dデータが用いられ得る前に、そのS-Dデータが届くのを防止するのに用いられて良い。猶予期間は、ウエハデータ、シーケンスデータ、制御データ、及び/又は履歴データを用いることによって決定されて良い。猶予期間変数は、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)によって用いられて良い。   An S-D control application may be used to prevent the wafer from being transferred to the processing equipment until the processing equipment is ready to accept the wafer. An S-D control application may be used to prevent the S-D message maintenance and / or data from being sent until the recipient is ready to use the S-D message maintenance and / or data. S-D control applications can use grace period variables to grace wafers, calculations, processes, and / or measurements. For example, the grace period may be used to prevent the S-D data from reaching before it can be used by calculations, processes, and / or measurements on the wafer. The grace period may be determined by using wafer data, sequence data, control data, and / or history data. The grace period variable may be used by one or more controllers (114, 119, 124, 129, 134, 139, 144, 149, 154, and 159).

それに加えて、判定及び/又は介入規則がS-D処理に関連するとき、これらの判定及び/又は介入規則が実行されて良い。評価処理及び/又は限界についての介入及び/又は判定規則は、履歴処理、装置使用者の経験、プロセスに関する知識に基づいて実行されて良く、かつホストコンピュータから得られて良い。規則は、S-D FDC処理において用いられることで、警告状態、エラー状態、故障状態、及び/又は注意状態にどのようにして応答するのかを決定して良い。FDC S-D処理は、故障を優先及び/又は分類し、システム性能を予測し、予防的維持スケジュールを予測し、保守不稼働時間を減らし、かつそのシステム内の使用可能な方法のサービス寿命を延ばすことが可能である。   In addition, these determinations and / or intervention rules may be performed when the determinations and / or intervention rules are associated with SD processing. Intervention and / or decision rules for the evaluation process and / or limits may be performed based on historical processing, device user experience, process knowledge, and may be obtained from the host computer. Rules may be used in S-D FDC processing to determine how to respond to warning, error, fault, and / or caution conditions. FDC SD processing prioritizes and / or classifies failures, predicts system performance, predicts preventive maintenance schedules, reduces maintenance downtime, and extends the service life of available methods in the system. Is possible.

サブシステムは、警告/故障の性質に依存して、警告/故障に応じた様々な行為をとることができる。警告/故障に対してとられる行為は状況に基づいて良い。その状況はS-Dであって良く、かつ、規則、システム/プロセスレシピ、チャンバの種類、識別番号、搬入ポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号ID、及び/又はデータ種類によって特定されて良い。   Depending on the nature of the warning / failure, the subsystem can take various actions depending on the warning / failure. The action taken for a warning / failure may be based on the situation. The situation may be SD, and the rules, system / process recipe, chamber type, identification number, loading port number, cassette number, lot number, control job ID, process job ID, slot number ID, and / or It may be specified by the data type.

1つ以上のS-Dシミュレーションアプリケーションは、入力状態、プロセス特性、及びプロセスモードに基づいて、ウエハについての予測データを計算するのに用いられて良い。S-D計測モデルは、65nm未満の設計ノードに関連するより小さな構造及び/又は部位の予測及び/又は計算に用いられて良い。たとえば予測モデルは、プロセス化学物質モデル、チャンバモデル、EMモデル、SPCチャート、PLSモデル、PCAモデル、FDCモデル、及び多変数解析(MVA)モデルを有して良い。   One or more S-D simulation applications may be used to calculate predictive data for the wafer based on input conditions, process characteristics, and process modes. The S-D metrology model can be used to predict and / or calculate smaller structures and / or sites associated with sub 65nm design nodes. For example, the prediction model may include a process chemical model, a chamber model, an EM model, an SPC chart, a PLS model, a PCA model, an FDC model, and a multivariate analysis (MVA) model.

構造の物理的寸法が減少することで、より正確なデータを得るのに、ウエハの大半についてリアルタイムのS-D処理が必要になると考えられる。それに加えて、一部のウエハは、新たなS-Dプロセスの検証及び/又は既存のS-Dプロセスの評価に用いられて良い。新たなS-Dプロセスが使用及び/又は検証されるとき、プロセス結果はばらついて良く、かつ評価又は検証処理が大半のウエハ上で実行されて良い。評価又は検証処理が実行されるとき、S-D処理が用いられて良い。   The reduction in the physical dimensions of the structure may require real-time S-D processing for most of the wafers to obtain more accurate data. In addition, some wafers may be used for verification of new S-D processes and / or evaluation of existing S-D processes. When a new S-D process is used and / or verified, the process results may vary and an evaluation or verification process may be performed on most wafers. When the evaluation or verification process is performed, the S-D process may be used.

S-D処理シーケンスが、いつどのようにして評価用サイトを用いるのかを設定するために実行及び使用されて良い。S-D処理シーケンスは、履歴データベースに保存されたデータに基づいて、半導体製造者によって特定されて良い。たとえば半導体製造者は、SEM測定を行うときにウエハ上の位置の数を履歴に基づいて選ぶことが可能で、かつ、一の装置からの測定データ、検査データ、及び/又は評価データを、SEM装置、TEM装置、及び/又はFIB装置を用いて測定されたデータに関連づける。それに加えて、その半導体製造者が、そのプロセスが高品質の製品及び/またはデバイスを製造し続けているという自信を得ることで、使用される評価用サイト数を減らすことができる。   An S-D processing sequence may be executed and used to set when and how to use the evaluation site. The S-D processing sequence may be specified by a semiconductor manufacturer based on data stored in a history database. For example, the semiconductor manufacturer can select the number of positions on the wafer based on the history when performing SEM measurement, and the measurement data, inspection data, and / or evaluation data from one apparatus can be Correlate to data measured using a device, TEM device, and / or FIB device. In addition, the number of evaluation sites used can be reduced by the semiconductor manufacturer gaining confidence that the process continues to produce high quality products and / or devices.

評価/検査/測定処理は、時間を要するものであり、かつ処理システムのスループットに影響を及ぼすと考えられる。処理実行中、製造者は、ウエハの生成及び評価に用いられる期間を最小限に抑制したいと思うだろう。S-D処理は状態に依存して行われると考えられる。様々なS-D処理がウエハの状態に基づいて実行されて良い。たとえば、1つ以上のウエハが測定及び/又は検査できず、S-D処理が評価計画に含まれる評価用サイトのサブセットを用いて実行されても良い。   The evaluation / inspection / measurement process is time consuming and is thought to affect the throughput of the processing system. During processing, the manufacturer will want to minimize the time used for wafer generation and evaluation. S-D processing is considered to be performed depending on the state. Various S-D processes may be performed based on the state of the wafer. For example, one or more wafers may not be measured and / or inspected, and S-D processing may be performed using a subset of evaluation sites included in the evaluation plan.

半導体プロセスの現像中、S-D及び/又は非S-D履歴データは以降での使用のために生成及び保存されて良い。S-D履歴データは多数のサイトでデータを有して良い。   During development of a semiconductor process, SD and / or non-SD history data may be generated and stored for future use. S-D history data may include data at multiple sites.

処理の実行前、間、及び/又はその後、シミュレーション及び/又は予測データが生成及び/又は修正されて良い。シミュレーション及び/又は予測データはS-D及び/又は非S-Dデータを有して良い。新たなシミュレーション及び/又は予測データは、リアルタイムで用いられることで、計算、モデル、及び/又は結果を更新して良い。それに加えて、処理の実行前、間、及び/又はその後、シミュレーション及び/又は予測データについての信頼性データが生成及び/又は修正されて良い。   Simulation and / or prediction data may be generated and / or modified before, during and / or after execution of the process. The simulation and / or prediction data may include S-D and / or non-S-D data. New simulation and / or prediction data may be used in real time to update calculations, models, and / or results. In addition, reliability data for simulation and / or prediction data may be generated and / or modified before, during and / or after execution of the process.

S-D履歴データは、GOFデータ、熱データ、厚さデータ、ビア関連データ、CDデータ、CDプロファイルデータ、材料関連データ、溝関連データ、側壁角データ、差分幅データ、又はこれらの結合を有して良い。そのデータはまたとりわけ、サイト結果データ、サイト数データ、CD測定フラグデータ、測定サイト数データ、X座標データ及びY座標データをも有して良い。   SD history data has GOF data, thermal data, thickness data, via related data, CD data, CD profile data, material related data, groove related data, sidewall angle data, differential width data, or a combination thereof. good. The data may also include, among other things, site result data, site number data, CD measurement flag data, measurement site number data, X coordinate data, and Y coordinate data.

S-D処理はサブシステムによって用いられることで、レシピ及び/又はモデルをリアルタイムで調節して3次元構造を処理することができる。3次元構造とはたとえば、メモリ構造、デュアルダマシン構造、溝、ビア、及び多ゲート構造である。それに加えて、S-D処理はサブシステムによって用いられることで、評価、検査、検証、及び/又は測定レシピ及び/又はモデルをリアルタイムで調節して3次元構造を評価、検査、及び/又は測定することができる。3次元構造は、厚さばらつきのS-D感度を増大させる恐れがあり、かつ多方向での構造のモデル化及び/又は測定を必要とする。評価サブシステムはスループットの問題を引き起こす恐れがある。より高い測定スループットは、S-D処理におけるサンプリング位置及び構造を動的に調節することによって得ることができる。   S-D processing can be used by subsystems to process 3D structures by adjusting recipes and / or models in real time. Examples of the three-dimensional structure include a memory structure, a dual damascene structure, a trench, a via, and a multi-gate structure. In addition, SD processing can be used by subsystems to evaluate, inspect, and / or measure 3D structures by adjusting evaluation, inspection, verification, and / or measurement recipes and / or models in real time. Can do. Three-dimensional structures can increase the S-D sensitivity of thickness variations and require modeling and / or measurement of the structure in multiple directions. The evaluation subsystem can cause throughput problems. Higher measurement throughput can be obtained by dynamically adjusting the sampling position and structure in the SD process.

S-D半導体処理システムでは、複数の処理及び/又は測定装置が存在し、かつ装置の相性は重要な問題であると考えられる。場合によっては、内部装置からのデータは外部及び/又は参照用装置からのデータと適合しなければならない。S-D処理は、装置間でのデータを適合させるのに用いられて良く、かつサブシステムによって必要とされる校正の調節を行うのに用いられて良い。これらの調節はR2R計算によって行われて良い。   In an S-D semiconductor processing system, there are a plurality of processing and / or measurement apparatuses, and the compatibility of the apparatuses is considered to be an important problem. In some cases, data from internal devices must be compatible with data from external and / or reference devices. S-D processing can be used to fit data between devices and can be used to make calibration adjustments required by the subsystem. These adjustments may be made by R2R calculations.

1つ以上のS-D処理が、S-Dデータのやり取り及びハンドシェーキングを行うための2方向通信を可能にするために用いられて良い。S-D処理は、現在の状態及び設定を、サブシステム、制御装置、及び/又はS-D処理へ問い合わせて良い。S-D処理は、各デバイスに固有のパラメータを分離し、かつ情報を各デバイスへ供給することによるサブシステム内の多数のデバイスとのやり取りに用いられて良い。たとえばS-Dパラメータは、制御装置、処理装置、計測装置、OES装置、RFセンサ、カメラ、光センサ、CCD、端点検出器、温度センサ、及び深さセンサへ送られて良い。   One or more S-D processes may be used to enable two-way communication for S-D data exchange and handshaking. The S-D process may query the subsystem, controller, and / or S-D process for the current state and settings. S-D processing may be used to interact with multiple devices in the subsystem by separating parameters unique to each device and supplying information to each device. For example, the S-D parameter may be sent to a control device, a processing device, a measurement device, an OES device, an RF sensor, a camera, an optical sensor, a CCD, an end point detector, a temperature sensor, and a depth sensor.

ウエハがS-Dデータを用いることによってサブシステム内で処理されるとき、処理されたウエハは、そのウエハについてのウエハ状態データを変更することによって被処理S-Dウエハとして認定され、かつウエハに関連する処理データは新たなS-D処理データとして認定及び/又は保存されて良い。ウエハが非S-Dデータを用いることによってサブシステム内で処理されるときには、その処理されたウエハは、そのウエハについてのウエハ状態データを変更することによって被処理非S-Dウエハとして認定され、ウエハに関連する処理データは新たな非S-D処理データとして認定及び/又は保存されて良い。   When a wafer is processed within the subsystem by using SD data, the processed wafer is qualified as a processed SD wafer by changing the wafer status data for that wafer, and the processing data associated with the wafer. May be certified and / or stored as new SD processing data. When a wafer is processed in the subsystem by using non-SD data, the processed wafer is qualified as a processed non-SD wafer by modifying the wafer status data for that wafer and is associated with the wafer. The processing data may be authorized and / or stored as new non-SD processing data.

ウエハデータは、サブシステム内で生成、改良、及び/又は修正された被処理ウエハについてのモデル化データを有して良い。S-Dモデル化データが用いられるとき、新たなモデル及び関連モデルパラメータが、S-Dモデル及びデータとして認定及び保存されて良い。非S-Dデータが用いられるとき、そのモデル及び関連モデルパラメータは非S-Dモデル及びデータとして認定及び保存されて良い。たとえば、S-Dモデル及びデータはS-Dライブラリ及び/又はデータベース内に保存されて良く、かつ非S-Dモデル及びデータは非S-Dライブラリ及び/又はデータベース内に保存されて良い。S-D又は非S-Dデータを用いてシミュレーションが実行されるとき、シミュレーションモデル及び/又はシミュレーションデータが認定及び/又は保存されて良い。   The wafer data may include modeling data for the processed wafer that has been generated, refined, and / or modified in the subsystem. When S-D modeling data is used, new models and associated model parameters can be qualified and stored as S-D models and data. When non-S-D data is used, the model and associated model parameters may be qualified and stored as non-S-D models and data. For example, S-D models and data may be stored in S-D libraries and / or databases, and non-S-D models and data may be stored in non-S-D libraries and / or databases. When simulation is performed using S-D or non-S-D data, the simulation model and / or simulation data may be qualified and / or stored.

S-D処理は、ウエハプロファイルデータを生成、使用、変更、及び/又は検証して良い。たとえば、寸法が小さくなることで、S-Dウエハプロファイルデータは、位置合わせ、測定、及び/又は処理中により大きな影響を有すると考えられるし、かつウエハプロファイルデータは、半径方向データ、曲線データ、部位データ、温度データ、及び/又は厚さデータを有して良い。   The S-D process may generate, use, change, and / or verify wafer profile data. For example, with smaller dimensions, SD wafer profile data may have a greater impact during alignment, measurement, and / or processing, and wafer profile data may be radial data, curve data, site data. Temperature data and / or thickness data.

一部のサブシステムでは、S-D及び/又は非S-Dウエハデータは、汚染レベル、汚染確率、及び/又は気体放出(outgassing)率を決定するのに用いられて良い。他のサブシステムでは、堆積処理中でのノズル位置、並びに/又は、位置合わせ及び/若しくは測定処理中でのプローブ位置が決定されても良い。チャンバ内でウエハによって放出されるエネルギー量が決定されて良い。たとえば、使用される光学素子、ノズル、及び/又はプローブは、位置感受性、地点感受性、サイト感受性、及び/又は温度感受性であって良い。それに加えて、ウエハについての光学特性及び/又は光学特性についての校正因子が決定されて良い。たとえば、被処理マスク及び/又は材料層の特性が決定されて良い。   In some subsystems, S-D and / or non-S-D wafer data may be used to determine contamination level, contamination probability, and / or outgassing rate. In other subsystems, the nozzle position during the deposition process and / or the probe position during the alignment and / or measurement process may be determined. The amount of energy released by the wafer in the chamber may be determined. For example, the optical elements, nozzles, and / or probes used may be position sensitive, point sensitive, site sensitive, and / or temperature sensitive. In addition, optical properties for the wafer and / or calibration factors for the optical properties may be determined. For example, the properties of the mask to be processed and / or the material layer may be determined.

そのシステムデータは、ウエハ状態の情報、地点情報、測定情報、ベンダー情報、設計情報、チップレイアウト情報、ライブラリ情報、装置情報、若しくは調査情報、又はこれらの結合を有して良い。   The system data may include wafer state information, point information, measurement information, vendor information, design information, chip layout information, library information, apparatus information, or survey information, or a combination thereof.

一部の実施例では、1つ以上のサブシステムが1つ以上のウエハ及び/又は関連するウエハデータを受け取って良い。サブシステムは、1つ以上のウエハを実質的に同時に処理する多数の処理装置を有して良い。たとえば検査サブシステムは、1つ以上のウエハを実質的に同時に検査する2つ以上の検査装置/モジュールを有して良い。サブシステムに関連する制御装置はS-D処理シーケンスを用いることで、どのウエハが各処理装置によって処理されるのかを判断して良い。サブシステムの内部及び/又は外部にある搬送装置は、ウエハの移動及び/又は保存に用いられて良い。それに加えて、1つ以上のサブシステム内の1つ以上の処理装置は、非リアルタイムで1つ以上のウエハを処理するのに用いられて良い。各処理装置について現在のウエハが認定され、各ウエハについてウエハデータが設定され、かつウエハデータはリアルタイム及び/又は履歴ウエハデータを有して良い。処理シーケンスは、内部及び/又は外部処理を有して良い。内部及び/又は外部処理では、ウエハは外部測定及び/又は処理装置へ送られて良い。ウエハロット内の他のウエハが他のサブシステム又は他のIM装置へ送られても良い。   In some embodiments, one or more subsystems may receive one or more wafers and / or associated wafer data. The subsystem may include multiple processing devices that process one or more wafers substantially simultaneously. For example, the inspection subsystem may include two or more inspection devices / modules that inspect one or more wafers substantially simultaneously. The control apparatus related to the subsystem may determine which wafer is processed by each processing apparatus by using the S-D processing sequence. Transfer devices inside and / or outside the subsystem may be used for wafer movement and / or storage. In addition, one or more processing devices in one or more subsystems may be used to process one or more wafers in non-real time. A current wafer is certified for each processing apparatus, wafer data is set for each wafer, and the wafer data may include real-time and / or historical wafer data. The processing sequence may include internal and / or external processing. For internal and / or external processing, the wafer may be sent to external measurement and / or processing equipment. Other wafers in the wafer lot may be sent to other subsystems or other IM devices.

本発明のさらに他の実施例はS-D像ライブラリの生成方法を供する。当該方法は、パターニングされたマスク層内及び/又はその上の第1S-D部位から第1S-D検査像を取得する工程であって、第1S-D部位はウエハ上の第1所定サイトに生成され、かつS-D検査サブシステムは第1S-D検査像を生成する工程、第1S-D部位の仮想像に相当する第1S-Dシミュレーション像を計算する工程、S-D検査像と第1S-Dシミュレーション像との第1差異を計算する工程、第1差異と第1S-D像生成基準とを比較する工程、並びに、第1S-D造成性基準が満たされているときには仮想像を用いて第1S-D部位を認定して、S-D検査像ライブラリ内に、第1S-D検査像及び関連するサイトデータを保存し、又は、第1S-D造成性基準が満たされていないときには第1補正行為を適用する工程、を有して良い。   Yet another embodiment of the present invention provides a method for generating an S-D image library. The method is a step of acquiring a first S-D inspection image from a first S-D portion in and / or on a patterned mask layer, wherein the first S-D portion is located at a first predetermined site on a wafer. And the SD inspection subsystem generates a first S-D inspection image, calculates a first S-D simulation image corresponding to a virtual image of the first S-D region, SD inspection image and first S-D Calculating the first difference with the simulation image, comparing the first difference with the first S-D image generation criterion, and using the virtual image when the first S-D constructability criterion is satisfied. 1S-D site is identified and the first S-D inspection image and related site data are stored in the SD inspection image library, or the first corrective action is taken when the first S-D constructability standard is not satisfied The step of applying may be included.

一部の実施例では、ウエハは1つ以上のS-D処理を用いることによって1つ以上のリソグラフィサブシステムによって処理されて良く、かつS-Dウエハ厚さは1つ以上のリソグラフィサブシステムによってリアルタイムで生成されて良い。続いてウエハはエッチングサブシステムへ搬送されて良い。1つ以上のリソグラフィサブシステムは、S-Dメッセージ及び/又はデータを、エッチングサブシステムへ送って良い。エッチングサブシステムはS-Dメッセージを受け取りかつ処理し、S-Dウエハ厚さデータを抽出して良い。エッチングサブシステムは、S-Dウエハ厚さデータをもちいることによってS-Dエッチングデータを設定して良い。そのS-Dエッチングデータには、エッチングレシピ、エッチング時間、及び/又はエッチング用化学物質が含まれて良い。次にエッチングサブシステムは、S-Dエッチングデータを用いることによってウエハをエッチングして良い。それに加えて、S-D層の厚さデータがエッチング装置に供されるとき、計算時間は減少し、かつ精度は改善されうる。   In some embodiments, the wafer may be processed by one or more lithography subsystems by using one or more SD processes, and the SD wafer thickness is generated in real time by one or more lithography subsystems. Good. The wafer may then be transferred to the etching subsystem. One or more lithography subsystems may send SD messages and / or data to the etching subsystem. The etch subsystem may receive and process the S-D message and extract S-D wafer thickness data. The etching subsystem may set the S-D etching data by using the S-D wafer thickness data. The S-D etching data may include an etching recipe, etching time, and / or etching chemicals. The etching subsystem may then etch the wafer using the S-D etching data. In addition, when the S-D layer thickness data is provided to the etching apparatus, the calculation time is reduced and the accuracy can be improved.

S-D又は非S-D処理及び/又は結果について精度値が決定されて良い。精度値は精度限界程度であって良い。精度値が精度限界を満たさない場合には、精緻化処理が実行されて良い。あるいはその代わりに、他の処理が実行されて良く、他のサイトが用いられて良く、又は他のウエハが用いられても良い。   Accuracy values may be determined for S-D or non-S-D processing and / or results. The accuracy value may be about the accuracy limit. If the accuracy value does not satisfy the accuracy limit, the refinement process may be performed. Alternatively, other processes may be performed, other sites may be used, or other wafers may be used.

精緻化処理が用いられるとき、その精緻化処理は、双一次法、ラグランジュ法、キュービックスプライン法、アイトケン法、重み付け平均法、多次法、双正方形(bi-cubic)法、チュラン法、ウエーブレット法、ベッセル法、エバレット法、有限差分法、ガウス法、エルミート法、ニュートン分割差分法、接触法、若しくはシール法、又はこれらの結合を利用して良い。   When the refinement process is used, the refinement process includes bilinear method, Lagrangian method, cubic spline method, Aitken method, weighted average method, multi-order method, bi-cubic method, Chulan method, wavelet Method, Bessel method, Everett method, finite difference method, Gauss method, Hermite method, Newton division difference method, contact method, seal method, or a combination thereof may be used.

一部の実施例では、S-D及び/又は非S-D処理について完了時間及び/又は実行時間が決定されて良い。更新されたレシピを設定するための十分な時間があるか否かを判断するため、完了時間及び/又は実行時間は、測定及び/又は処理開始時間と同程度であって良い。完了時間及び/又は実行時間が処理開始時間よりも短い場合には、ウエハは更新された測定レシピを用いて測定及び/又は処理されて良い。あるいは完了時間及び/又は実行時間が処理開始時間よりも短くない場合には、ウエハは更新されていない測定レシピを用いて測定及び/又は処理されて良い。   In some embodiments, completion time and / or execution time may be determined for SD and / or non-SD processing. To determine whether there is sufficient time to set up an updated recipe, the completion time and / or execution time may be comparable to the measurement and / or processing start time. If the completion time and / or execution time is shorter than the process start time, the wafer may be measured and / or processed using the updated measurement recipe. Alternatively, if the completion time and / or execution time is not shorter than the process start time, the wafer may be measured and / or processed using a measurement recipe that has not been updated.

S-D処理シーケンスは時間と共に変化して良い。S-D処理シーケンスが作成されているとき、スループットは期待しているよりも劣ると考えられる。なぜなら新たな処理についての信頼値が低下して、リスク因子が高くなって、かつ信頼値を向上させてリスク因子を低減させるために追加の測定工程が必要となるからである。ウエハが別個及び/又は外部の測定装置を用いて測定されるとき、さらなる時間が必要となる。   The S-D processing sequence may change over time. When the S-D processing sequence is created, the throughput is considered to be inferior to what is expected. This is because the confidence value for the new process decreases, the risk factor increases, and an additional measurement step is required to improve the confidence value and reduce the risk factor. Additional time is required when the wafer is measured separately and / or using an external measurement device.

S-Dシステム、サブシステム、及び/又は処理が作成されているとき、安定したS-D処理が最初に作成され、続いて安定したS-D処理が最適化されて良い。S-D処理は、プロセス安定化中、プロセス改良中、及びプロセス最適渦中に用いられて良い。   When an S-D system, subsystem, and / or process is being created, a stable S-D process may be created first, and then a stable S-D process may be optimized. S-D processing may be used during process stabilization, process improvement, and in process optimum vortices.

安定化シーケンス中、最適化シーケンスが設定される前に、1つ以上の追加S-D測定工程が、信頼値の向上及び/又はリスク因子の低減に用いられて良い。猶予時間は、プロセス実行前にS-Dデータを待つのに用いられて良い。   During the stabilization sequence, one or more additional S-D measurement steps may be used to improve confidence values and / or reduce risk factors before the optimization sequence is established. The grace time may be used to wait for S-D data before process execution.

1つ以上のS-D測定がエッチング処理の実行前に行われて良い。それにより、パターニングされたエッチング層からのS-Dデータとの比較に用いることのできるパターニングされたマスク層についてのS-Dデータを得ることができる。それに加えて、堆積処理後にS-D測定が行われて良く、かつこれらのS-D測定はS-D厚さデータ、均一性データ、及び/又は光学特性データを供して良い。これらのデータは、S-Dデータ又は履歴データとしてリアルタイムでフィードフォワードされて良い。S-Dウエハデータは、処理装置、測定装置、位置合わせ装置、搬送装置、検査装置、及び/又はパターン認識装置から取得されて良い。   One or more S-D measurements may be made prior to performing the etching process. Thereby, S-D data can be obtained for the patterned mask layer that can be used for comparison with the S-D data from the patterned etching layer. In addition, S-D measurements may be performed after the deposition process, and these S-D measurements may provide S-D thickness data, uniformity data, and / or optical property data. These data may be fed forward in real time as S-D data or history data. The S-D wafer data may be acquired from a processing apparatus, a measurement apparatus, an alignment apparatus, a transfer apparatus, an inspection apparatus, and / or a pattern recognition apparatus.

製造環境によっては、S-D処理は、以前は利用不可能だったS-Dデータを供し、高速の処理を供し、プロセスのより完全な理解を供し、有害な方法に取って代わり、より高い信頼値を供し、より高速の搬送速度を供し、均一性を改善し、危険なウエハ数を減らし、かつ処理及び/又は装置の軌跡に対して短い反応時間を供することができる。   In some manufacturing environments, SD processing provides SD data that was previously unavailable, provides faster processing, provides a more complete understanding of the process, replaces harmful methods, and provides higher confidence. Higher transfer speeds can be provided, uniformity can be improved, the number of dangerous wafers can be reduced, and a shorter reaction time can be provided for processing and / or equipment trajectories.

上述したように、集積回路に用いられている現在の製造法及び工場の設計は、スタンドアローンのプラットフォームとして設けられ、又は大体の領域において群を成す-通常は2000フィート以上離れている-多くの装置を必要とする。従ってこれらの装置を動作させる設備は工場全体を通じて広範囲に分布していなければならない。これらのプラットフォームによって必要とされる典型的な機能は、基板コーティング(接合、BARC、TARC、レジスト、上部コーティング)、ベーキング(塗布後ベーキング、露光後ベーキング)、イメージング(露光)、計測(オーバーレイ、限界寸法、欠陥、及び膜厚)、浸漬処理における露光前及び露光後洗浄、エッチング(下地の薄膜中のパターンを確定する)、及びエッチング後洗浄(ポリマー及び他の副生成物の除去)である。32nm未満のゲート長を目指した技術は、半導体デバイスの1層の活性層が完成するまでこれらの動作を繰り返すこと-つまり二重BARC、二重若しくは三重パターニング、又は三重描画等-が必要となる。集積回路をこれらの製造用の「島」間で移動させるためには、FOUP(フープ)が、別個のプラットフォーム間でICを移動させるのに用いられている。   As mentioned above, current manufacturing methods and factory designs used in integrated circuits are either provided as stand-alone platforms or grouped in most areas-usually over 2000 feet apart-many Requires equipment. Therefore, the equipment for operating these devices must be widely distributed throughout the factory. Typical functions required by these platforms are substrate coating (bonding, BARC, TARC, resist, top coating), baking (post-application baking, post-exposure baking), imaging (exposure), metrology (overlay, limit) Dimensions, defects, and film thickness), pre-exposure and post-exposure cleaning in an immersion process, etching (determining the pattern in the underlying thin film), and post-etch cleaning (removal of polymers and other by-products). Technologies aimed at gate lengths of less than 32 nm require repeating these operations until one active layer of a semiconductor device is completed--double BARC, double or triple patterning, or triple drawing, etc. . In order to move integrated circuits between these manufacturing “islands”, FOUPs are used to move ICs between separate platforms.

処理速度を向上させ、かつ300mm、450mm、又は他の直径のウエハをより良好に製造するため、コーティング、ベーキング、露光、現像、全検査、エッチング、エッチング後洗浄、ウエハ廃棄、及びウエハ再加工を含む全製造プロセスは理想的には、内部の共通の制御ソフトウエアによって制御される1つのプラットフォーム内で完結されて良い。またその1つのプラットフォームは、かなり早期の処理工程に関連するエッチング後の結果に対するフィードフォワード及び/又はフィードバックAPC(自動処理制御)を有する。APCは、エッチング後CD(限界寸法)、オーバーレイ、及び欠陥情報が、評価され、かつフィードフォワード(同一ウエハについての将来の処理を導く)データ及び/又はフィードバック(現在のウエハについての現在の処理、又は将来のウエハについての現在の処理を導く)データを供給することによって、すぐに作用されることを可能にする。   Coating, baking, exposure, development, full inspection, etching, post-etch cleaning, wafer disposal, and wafer rework to improve processing speed and better manufacture 300mm, 450mm, or other diameter wafers The entire manufacturing process, including the ideal, can be completed within a single platform controlled by internal common control software. The one platform also has feed-forward and / or feedback APC (automatic processing control) for post-etch results associated with fairly early processing steps. APC is a post-etch CD (critical dimension), overlay, and defect information that is evaluated and feed forward (leading to future processing for the same wafer) data and / or feedback (current processing for the current wafer, (Or lead the current process for future wafers), allowing it to be acted on immediately.

それに加えて、フィードフォワード及び/又はフィードバックAPCシステム及び関連するS-D搬送サブシステムは、サイトに固有な方法と併用されて良い。たとえば、S-D搬送サブシステムはウエハを特定の処理装置へ搬送させるのに用いられて良く、かつウエハの特定のサイトについてAPC調節が行われて良い。それに加えて、製造プロセス及び搬送シーケンスは、ウエハの特定サイトで実行されるプロセスから収集されるサイト固有情報に基づいて作成されて良い。   In addition, feed forward and / or feedback APC systems and associated SD transport subsystems may be used in conjunction with site specific methods. For example, the SD transport subsystem may be used to transport a wafer to a specific processing apparatus, and APC adjustments may be made for specific sites on the wafer. In addition, manufacturing processes and transfer sequences may be created based on site specific information collected from processes performed at specific sites on the wafer.

さらに製造プロセスは及び搬送シーケンスは、「先送り」(send ahead)ウエハ(つまりロットを処理する前に1つの完全なウエハを処理及び評価する)用いることによって、FAB(製造プラント)利用への影響を最小限にしながら作成され、かつ完成させることができる。このようなことを、FAB生産性を大きく損なうことなく従来のプロセスで行うことは不可能である。たとえば、S-D搬送シーケンスを用いることによって、「先送り」ウエハがエッチング及び検査を介して処理され、それと同時に主ロットが上流で処理される。これにより、全体のスループットへの影響を最小限にしながら上流の製造プロセスを調節することが可能となる。   In addition, the manufacturing process and transfer sequence can be impacted on FAB (manufacturing plant) usage by using “send ahead” wafers (ie, processing and evaluating one complete wafer before processing the lot). Can be created and completed with minimal. It is impossible to do this with conventional processes without significantly reducing FAB productivity. For example, by using an S-D transfer sequence, “forward” wafers are processed via etching and inspection, while the main lot is processed upstream. This allows the upstream manufacturing process to be adjusted while minimizing the impact on overall throughput.

よって、薄膜処理から得られるウエハはプラットフォームの一端へ入り込み、かつ良好に仕上げされたウエハは他端を出る。換言すると、FOUPは一端での処理のためにウエハを供給し、新たなFOUPは他端で受け取る。上述の製造用の「島」を用いたシステムとは対照的に、全てのウエハがフォトリソグラフィシステムへ搬入された後では、中間的な供給を行うFOUPはもはや必要ない。   Thus, a wafer obtained from thin film processing enters one end of the platform, and a well-finished wafer exits the other end. In other words, the FOUP supplies a wafer for processing at one end and a new FOUP is received at the other end. In contrast to the manufacturing "island" system described above, an FOUP with an intermediate supply is no longer necessary after all wafers have been loaded into the photolithography system.

これらの必要な処理を完了させるため、プラットフォームは多数のモジュールを有して良い。その多数のモジュールには、接合からエッチング後洗浄の検査までウエハを処理する全ての必要な装置が含まれている。各モジュールは取り外し可能である。装置を「再起動」するのに置換は必要ない。これにより、修理が助けられ、かつ予定していないモジュールレベルでの装置問題による生産時間の損失が最小限に抑制される。それに加えて、取り外し可能なモジュールを有する基本ブロック設計は、長い不稼働時間及び装置の費用のかかる除去や再設置をすることなく、特化された副集合体(モジュール)用の十分なスペースの追加又は削除を可能にする。   To complete these necessary processing, the platform may have a number of modules. The numerous modules include all the necessary equipment to process the wafer from bonding to post-etch cleaning inspection. Each module is removable. No replacement is required to “reboot” the device. This helps repair and minimizes production time loss due to unplanned module level equipment problems. In addition, the basic block design with removable modules provides sufficient space for specialized sub-assemblies (modules) without long downtime and costly removal and re-installation of equipment. Allows additions or deletions.

ウエハがモジュール間を移動ので、そのウエハはレール型システム上のロボットによって管理されて良い。ウエハを移動させるのに用いられるロボットは、中心軸上を回転する二重又は三重ピンセットのバランスシステムを有して良い。地点間でウエハを移動させるこれらのロボットは、スキャナのいずれかの面上のレール上を移動して良い。それにより、高速なサイクル時間、及び改善されたプロセス多目的性を実現する処理工程の全ての考えられ得る構成を可能にする。よって「面搬送」システムは、現像後のIMから多重リソグラフィ(二重パターニング又はリソグラフィ)のためのコーティング処理又は再加工の開始へ、ウエハを容易に移行させることを可能にする。それにより、露光装置の利用を向上させることが可能となる。それに加えて、多重パターニングは「面搬送」システムによって可能となる。それにより、1つのウエハは、現像後IMから多重リソグラフィ用のフォトリソグラフィシステムの入力へ移動することが可能となる。再加工処理がフォトリソグラフィシステムのプレリソグラフィ部分において利用可能である場合、再加工を必要とするウエハもまた、このように処理されて良い。よってウエハは、FOUPへ再搬入される必要がなく、かつ人間又は間接的な自動化によって装置間を移動させる必要もない。そのためウエハレベルでの欠陥が減少する。   As the wafer moves between modules, the wafer may be managed by a robot on a rail type system. The robot used to move the wafer may have a balance system of double or triple tweezers that rotates on a central axis. These robots that move wafers between points may move on rails on either side of the scanner. It allows for all possible configurations of processing steps that achieve fast cycle times and improved process versatility. Thus, the “surface transfer” system allows the wafer to be easily transferred from IM after development to the start of a coating process or rework for multiple lithography (double patterning or lithography). Thereby, utilization of the exposure apparatus can be improved. In addition, multiple patterning is possible with a “surface transfer” system. Thereby, one wafer can be transferred from the IM after development to the input of the photolithography system for multiple lithography. If rework processing is available in the prelithographic part of the photolithography system, wafers that require rework may also be processed in this way. Thus, the wafer does not need to be re-loaded into the FOUP and does not need to be moved between devices by human or indirect automation. This reduces defects at the wafer level.

上述のレールシステムを使用する結果、そのシステムはウエハを順次処理する必要がなくなる。全プロセスを構成するモジュールは、そのモジュールの組を提供する1つ以上のロボットを備えるように群を成して良い。それに加えて、ロットはウエハの再加工又は廃棄を待つ必要がない。良好なウエハはラインの端部で処理可能である一方で、再加工ウエハの「子ロット」が、生成され、処理され、かつエッチング後に主ロットへ追いついて良い。これと同一の考え方は、主ロット中での良好なウエハを猶予させることなく、基本ロットから廃棄されるウエハを選ぶのにも用いられて良い。仕様に適合しないウエハの再加工は迅速かつ自動であって良い。よって全体の製造、検査、及び制御機能は、共通のソフトウエアを備えた1つの装置に内蔵されて良い。前記共通のソフトウエアは、出力の制御と監視を行って、プロセス入力をリアルタイムで調節する。   As a result of using the rail system described above, the system does not need to sequentially process wafers. The modules that make up the entire process may be grouped to include one or more robots that provide the set of modules. In addition, lots do not have to wait for wafer rework or disposal. While good wafers can be processed at the end of the line, a “child lot” of reworked wafers can be generated and processed and catch up to the main lot after etching. This same idea can be used to select wafers to be discarded from the basic lot without delaying good wafers in the main lot. Reworking wafers that do not meet specifications can be quick and automatic. Thus, the overall manufacturing, inspection, and control functions can be built into a single device with common software. The common software controls and monitors output to adjust process inputs in real time.

本発明の一の実施例では、接合からエッチング後洗浄検査までウエハを処理するために必要なすべての装置を含むモジュールが存在する。そのモジュールは、図9に図示されているように、順序通りに配置されている必要はない。   In one embodiment of the present invention, there is a module that includes all the equipment needed to process the wafer from bonding to post-etch cleaning inspection. The modules need not be arranged in order, as illustrated in FIG.

図9に図示されているように、薄膜処理(又は他の上流プロセス)からのウエハが第1端部に入り込み、かつ検証されて完成したウエハが他の端部を出る。たとえば、モジュール1及び3はレジストスピナー、ベーキングプレート、浸漬前洗浄処理を有して良い。モジュール2は、ウエハを汚染する恐れのある「汚れた」ベーキングプロセスを有して良い。従って本発明は、これらの「汚れた」プロセスを、残りの装置から隔離することを可能にすることで、欠陥を低減して可能性のある汚染を最小限に抑制する。大気中の粒子カウンタが、周囲の欠陥レベルを監視するためにウエハ路及び重要な処理領域内に設置されて良い。検出は警告条件を設定する役割を果たして良い。さらにロボットウエハハンドラが、ウエハ入口からモジュール4内で見いだされるスキャナまでの、多レール型システムに乗って良い。スキャナは自身の内部ウエハハンドラを有して良い。よってウエハは、多レールシステム上の他のロボットによる露光後に、浸漬後洗浄、PEB、BWEE、及び現像用のモジュール5,6へ、運搬されて良い。続いてウエハは、オーバーレイ、欠陥、及び限界寸法チェックのためのIMモジュール7(イメージングモジュール)へ向かう。   As illustrated in FIG. 9, a wafer from a thin film process (or other upstream process) enters the first end and the verified completed wafer exits the other end. For example, modules 1 and 3 may have a resist spinner, a baking plate, and a pre-immersion cleaning process. Module 2 may have a “dirty” baking process that may contaminate the wafer. Thus, the present invention allows these “dirty” processes to be isolated from the rest of the equipment, thereby reducing defects and minimizing potential contamination. Atmospheric particle counters can be installed in the wafer path and critical processing areas to monitor ambient defect levels. Detection may serve to set warning conditions. Furthermore, a robot wafer handler may ride on a multi-rail system from the wafer entrance to the scanner found in module 4. The scanner may have its own internal wafer handler. Thus, the wafer may be transported to modules 5 and 6 for post-immersion cleaning, PEB, BWEE, and development after exposure by other robots on the multi-rail system. The wafer then goes to the IM module 7 (imaging module) for overlay, defect, and critical dimension checking.

この点では、ウエハが失敗した場合には、そのウエハは再加工されて良く、再加工できない場合には廃棄されて良く、二重又は三重パターニングのための関節処理又は単一ウエハの「サイドトラック」を介して送り戻される。またフォトリソグラフィシステムPAB、PEB、スキャナ、又は現像処理に対するAPC調節は、この点での計測結果に基づいて行われて良い。しかしAPC調節及びサイトに固有なAPC調節もまた、処理中での任意の点で行われて良い。たとえば本発明では、たとえIMモジュール7がウエハの像生成を行う最初のモジュールであるとしても、そのウエハ及びそのウエハ上の特定のサイトに関する情報が、プロセス中の任意の工程から得られて良い。たとえば、モジュール4に見いだされるスキャナは、ウエハ上で実行されるプロセスに関する情報、又はウエハの特定のサイトで実行されるプロセスに関する情報を供して良い。よってAPC調節は、ウエハ上の特定のサイトに従って行われて良く、かつプロセス中の様々な情報源からの情報を用いて行われて良い。   In this regard, if a wafer fails, the wafer may be reworked, and if it cannot be reworked, it may be discarded, articulated for double or triple patterning or single wafer “sidetrack” ”Is sent back through. Also, APC adjustment for the photolithography system PAB, PEB, scanner, or development process may be performed based on the measurement results at this point. However, APC adjustments and site-specific APC adjustments may also be made at any point in the process. For example, in the present invention, information about the wafer and a specific site on the wafer may be obtained from any step in the process, even if the IM module 7 is the first module to generate an image of the wafer. For example, a scanner found in module 4 may provide information regarding processes performed on the wafer or information regarding processes performed at a particular site on the wafer. Thus, APC adjustments can be made according to specific sites on the wafer and can be done using information from various sources in the process.

それに加えて、エッチングプロセスは自身の内部ハンドラ(モジュール8)内で実行されて良い。またエッチング後洗浄装置(モジュール9)及び最終IM装置(モジュール10)も含まれる。最終IMは、必要に応じて、限界寸法、欠陥、及びオーバーレイ部位を有する。良好なウエハと粗悪なウエハはこの点で分類されて良い。エッチング後限界寸法データが、レジストフォトリソグラフィシステム、PAB、PEB、露光装置、又はフォトリソグラフィシステム現像装置レシピを駆動するように、真の完全なAPCは実装されて良い。   In addition, the etching process may be performed within its own internal handler (module 8). Also included are post-etch cleaning equipment (module 9) and final IM equipment (module 10). The final IM has critical dimensions, defects, and overlay sites as needed. Good and bad wafers can be classified in this respect. A true complete APC may be implemented such that post-etch critical dimension data drives a resist photolithography system, PAB, PEB, exposure apparatus, or photolithography system developer recipe.

たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。   Even if only certain exemplary embodiments of the present invention are described in detail, those skilled in the art will readily appreciate that many modifications are possible without departing substantially from the novel teachings and advantages of the present invention. to understand. Accordingly, it is understood that many such modified types are included within the technical scope of the present invention.

よって本記載は本発明を限定するものではない。本発明の設定、動作、及び挙動は、本明細書に存在するレベルの詳細が与えられれば、実施例の修正型及び変化型が可能であるという理解を前提として記載されている。従って前述の詳細な説明は如何なる意味においても本発明を限定するものではない。本発明の技術的範囲は、この詳細な説明によってではなく「特許請求の範囲」の請求項によって定義される。   Accordingly, this description does not limit the invention. The setup, operation, and behavior of the present invention are described with the understanding that modifications and variations of the embodiments are possible given the level of detail present herein. Accordingly, the foregoing detailed description is not intended to limit the invention in any way. The scope of the invention is defined by the claims, rather than by this detailed description.

Claims (47)

複数のウエハを処理する方法であって:
前記複数のウエハを処理システムによって受け取る工程であって、前記処理システムはサイト依存(S-D)及び非サイト依存(N-S-D)サブシステムを有し、各ウエハは関連するウエハデータを有し、前記ウエハデータはS-D信頼性データ及び/又はN-S-D信頼性データを有する、工程;
前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて第1組のS-Dウエハを作製する工程;
前記第1組のS-Dウエハについての第1S-D処理シーケンスを決定する工程であって、前記第1組のS-Dウエハは前記第1S-D処理シーケンスを用いることによって第1S-Dサブシステム内で処理され、前記第1S-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
前記第1S-Dサブシステム内の1つ以上の第1S-D処理装置へ前記第1組のS-Dウエハを搬送する工程であって、前記第1S-D処理シーケンスは前記1つ以上の第1S-D処理装置を決定するのに用いられる、工程;
を有する方法。
A method for processing a plurality of wafers comprising:
Receiving the plurality of wafers by a processing system, the processing system having site dependent (SD) and non-site dependent (NSD) subsystems, each wafer having associated wafer data, the wafer data Has SD reliability data and / or NSD reliability data;
Producing a first set of SD wafers using said SD reliability data and / or NSD reliability data;
Determining a first SD processing sequence for the first set of SD wafers, wherein the first set of SD wafers is used in the first SD subsystem by using the first SD processing sequence; Processed and wafer state data is used to set the first SD processing sequence; and
Transporting the first set of SD wafers to one or more first S-D processing devices in the first S-D subsystem, wherein the first S-D processing sequence is the one or more first S-D processing sequences. -D used to determine the processing equipment;
Having a method.
前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて第1組のN-S-Dウエハを作製する工程;
前記第1組のN-S-Dウエハについての第1S-D処理シーケンスを決定する工程であって、前記第1組のN-S-Dウエハは前記第1N-S-D処理シーケンスを用いることによって第1N-S-Dサブシステム内で処理され、前記第1N-S-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
前記第1N-S-Dサブシステム内の1つ以上の第1N-S-D処理装置へ前記第1組のN-S-Dウエハを搬送する工程であって、前記第1N-S-D処理シーケンスは前記1つ以上の第1N-S-D処理装置を決定するのに用いられる、工程;
をさらに有する、請求項1に記載の方法。
Producing a first set of NSD wafers using said SD reliability data and / or NSD reliability data;
Determining a first SD processing sequence for the first set of NSD wafers, wherein the first set of NSD wafers is used in the first N-SD subsystem by using the first N-SD processing sequence; Processed and wafer state data is used to set the first N-SD processing sequence; and
Transporting the first set of NSD wafers to one or more first N-SD processing devices in the first N-SD subsystem, wherein the first N-SD processing sequence is the one or more first N-N processing sequences. -The process used to determine the SD processor;
The method of claim 1, further comprising:
前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて他の組のN-S-Dウエハを作製する工程;
前記他の組のN-S-Dウエハについての他のS-D処理シーケンスを決定する工程であって、前記他の組のN-S-Dウエハは前記他のN-S-D処理シーケンスを用いることによって他のN-S-Dサブシステム内で処理され、前記他のN-S-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
前記他のN-S-Dサブシステム内の1つ以上の他のN-S-D処理装置へ前記他の組のN-S-Dウエハを搬送する工程であって、前記他のN-S-D処理シーケンスは前記1つ以上の他のN-S-D処理装置を決定するのに用いられる、工程;
をさらに有する、請求項2に記載の方法。
Producing another set of NSD wafers using the SD reliability data and / or NSD reliability data;
Determining another SD processing sequence for the other set of NSD wafers, wherein the other set of NSD wafers is processed in another NSD subsystem by using the other NSD processing sequence; Wafer state data is used to set the other NSD processing sequence; and
Transporting the other set of NSD wafers to one or more other NSD processing devices in the other NSD subsystem, wherein the other NSD processing sequence is the one or more other NSD processing devices. Used to determine the process;
The method of claim 2, further comprising:
前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて他の組のS-Dウエハを作製する工程;
前記他の組のS-Dウエハについての他のS-D処理シーケンスを決定する工程であって、前記他の組のS-Dウエハは前記他のS-D処理シーケンスを用いることによって他のS-Dサブシステム内で処理され、前記他のS-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
前記他のS-Dサブシステム内の1つ以上の他のS-D処理装置へ前記他の組のS-Dウエハを搬送する工程であって、前記他のS-D処理シーケンスは前記1つ以上の他のS-D処理装置を決定するのに用いられる、工程;
をさらに有する、請求項1に記載の方法。
Producing another set of SD wafers using the SD reliability data and / or NSD reliability data;
Determining another SD processing sequence for the other set of SD wafers, wherein the other set of SD wafers is processed in another SD subsystem by using the other SD processing sequence; Wafer status data is used to set the other SD processing sequence; and
Transferring the other set of SD wafers to one or more other SD processing devices in the other SD subsystem, wherein the other SD processing sequence is the one or more other SD processing devices. Used to determine the process;
The method of claim 1, further comprising:
前記第1S-D処理シーケンスが前記第1組のS-Dウエハを用いることによって実行される前、間、及び/又は後に、第1S-Dサブシステム処理データを収集する工程;
前記ウエハデータ及び/又は前記第1S-Dサブシステム処理データを用いることによって、前記第1組のS-Dウエハの中の1つ以上のウエハについての第1S-D信頼性データを設定する工程;
前記第1S-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のS-Dウエハを作製する工程;並びに、
前記追加の組のS-Dウエハを、追加のサブシステム内の1つ以上の追加S-D処理装置へ搬送する工程であって、前記1つ以上の追加S-D処理装置の決定に追加のS-D処理シーケンスが用いられる、工程;
をさらに有する、請求項1に記載の方法。
Collecting first SD subsystem processing data before, during and / or after the first SD process sequence is performed by using the first set of SD wafers;
Setting first S-D reliability data for one or more wafers in the first set of SD wafers by using the wafer data and / or the first S-D subsystem processing data;
Creating an additional set of SD wafers by using the first S-D reliability data, the SD reliability data, or the NSD reliability data, or a combination thereof; and
Transferring the additional set of SD wafers to one or more additional SD processing devices in an additional subsystem, wherein an additional SD processing sequence is used to determine the one or more additional SD processing devices; A process;
The method of claim 1, further comprising:
前記の第1S-D信頼性データを設定する工程が:
前記第1S-Dサブシステム処理データを用いることによって、前記第1組のS-Dウエハの中の第1S-Dウエハについての第1S-D信頼値を設定する工程;
前記の第1S-Dウエハについての第1S-D信頼値を第1S-D信頼限界と比較する工程;並びに、
前記第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記第1S-D信頼限界が満たされないときには第1S-D補正行為を適用する工程;
を有する、請求項5に記載の方法。
The step of setting the first S-D reliability data includes:
Setting a first S-D confidence value for a first S-D wafer in the first set of SD wafers by using the first S-D subsystem processing data;
Comparing a first S-D confidence value for the first S-D wafer with a first S-D confidence limit; and
Continuing the processing of the first set of SD wafers when the first SD confidence limit is met, or applying a first SD correction action when the first SD confidence limit is not met;
6. The method according to claim 5, comprising:
前記の第1S-D補正行為を適用する工程が:
前記第1S-Dサブシステム処理データを用いることによって、前記第1組のS-Dウエハの中の1つ以上の追加のウエハについてのS-D信頼値を設定する設定工程;
前記の1つ以上の追加のウエハについてのS-D信頼値を追加の第1S-D信頼限界と比較する比較工程;並びに、
前記追加の第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記追加の第1S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
を有する、請求項6に記載の方法。
The step of applying the first S-D correction act is:
A setting step of setting SD confidence values for one or more additional wafers in the first set of SD wafers by using the first S-D subsystem processing data;
Comparing the SD confidence value for the one or more additional wafers with an additional first SD confidence limit; and
Continue processing the first set of SD wafers when the additional first S-D confidence limit is met, or cancel the setting step and the comparison step when the additional first S-D confidence limit is not met. The step of:
The method of claim 6, comprising:
前記第1N-S-D処理シーケンスが前記第1組のN-S-Dウエハを用いることによって実行される前、間、及び/又は後に、第1N-S-Dサブシステム処理データを収集する工程;
前記ウエハデータ及び/又は前記第1N-S-Dサブシステム処理データを用いることによって、前記第1組のN-S-Dウエハの中の1つ以上のウエハについての第1N-S-D信頼性データを設定する工程;
前記第1N-S-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のN-S-Dウエハを作製する工程;並びに、
前記追加の組のN-S-Dウエハを、追加のサブシステム内の1つ以上の追加N-S-D処理装置へ搬送する工程であって、前記1つ以上の追加N-S-D処理装置の決定に追加のN-S-D処理シーケンスが用いられる、工程;
をさらに有する、請求項2に記載の方法。
Collecting first N-SD subsystem processing data before, during and / or after the first N-SD processing sequence is performed by using the first set of NSD wafers;
Setting first N-SD reliability data for one or more wafers in the first set of NSD wafers by using the wafer data and / or the first N-SD subsystem processing data;
Creating an additional set of NSD wafers by using the first N-SD reliability data, the SD reliability data, or the NSD reliability data, or a combination thereof; and
Transferring the additional set of NSD wafers to one or more additional NSD processing units in an additional subsystem, wherein an additional NSD processing sequence is used to determine the one or more additional NSD processing units A process;
The method of claim 2, further comprising:
前記の第1 N-S-D信頼性データを設定する工程が:
前記第1 N-S-Dサブシステム処理データを用いることによって、前記第1組のN-S-Dウエハの中の第1N-S-Dウエハについての第1N-S-D信頼値を設定する工程;
前記の第1N-S-Dウエハについての第1N-S-D信頼値を第1N-S-D信頼限界と比較する工程;並びに、
前記第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記第1N-S-D信頼限界が満たされないときには第1N-S-D補正行為を適用する工程;
を有する、請求項8に記載の方法。
The step of setting the first NSD reliability data is as follows:
Setting a first N-SD confidence value for a first N-SD wafer in the first set of NSD wafers by using the first NSD subsystem processing data;
Comparing a first N-SD confidence value for the first N-SD wafer to a first N-SD confidence limit; and
Continuing the processing of the first set of NSD wafers when the first N-SD confidence limit is met, or applying a first N-SD correction action when the first N-SD confidence limit is not met;
9. The method of claim 8, comprising:
前記の第1N-S-D補正行為を適用する工程が:
前記第1N-S-Dサブシステム処理データを用いることによって、前記第1組のN-S-Dウエハの中の1つ以上の追加のウエハについてのN-S-D信頼値を設定する設定工程;
前記の1つ以上の追加のウエハについてのN-S-D信頼値を追加の第1N-S-D信頼限界と比較する比較工程;並びに、
前記追加の第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記追加の第1N-S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
を有する、請求項9に記載の方法。
The step of applying the first N-SD correction act is:
Setting the NSD confidence value for one or more additional wafers in the first set of NSD wafers by using the first N-SD subsystem processing data;
Comparing the NSD confidence value for the one or more additional wafers with an additional first N-SD confidence limit; and
Continue processing the first set of NSD wafers when the additional first N-SD confidence limit is met, or stop the setting and comparing steps when the additional first N-SD confidence limit is not met The step of:
The method of claim 9, comprising:
前記他のN-S-D処理シーケンスが前記他の組のN-S-Dウエハを用いることによって実行される前、間、及び/又は後に、他のN-S-Dサブシステム処理データを収集する工程;
前記ウエハデータ及び/又は前記他のN-S-Dサブシステム処理データを用いることによって、前記他の組のN-S-Dウエハの中の1つ以上のウエハについての他のN-S-D信頼性データを設定する工程;
前記他のN-S-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のN-S-Dウエハを作製する工程;並びに、
前記追加の組のN-S-Dウエハを、追加のサブシステム内の1つ以上の追加N-S-D処理装置へ搬送する工程であって、前記1つ以上の追加N-S-D処理装置の決定に追加のN-S-D処理シーケンスが用いられる、工程;
をさらに有する、請求項3に記載の方法。
Collecting other NSD subsystem processing data before, during, and / or after the other NSD processing sequence is performed by using the other set of NSD wafers;
Setting other NSD reliability data for one or more wafers in the other set of NSD wafers by using the wafer data and / or the other NSD subsystem processing data;
Creating an additional set of NSD wafers by using the other NSD reliability data, the SD reliability data, or the NSD reliability data, or a combination thereof; and
Transferring the additional set of NSD wafers to one or more additional NSD processing units in an additional subsystem, wherein an additional NSD processing sequence is used to determine the one or more additional NSD processing units A process;
The method of claim 3, further comprising:
前記の他のN-S-D信頼性データを設定する工程が:
前記他のN-S-Dサブシステム処理データを用いることによって、前記他の組のN-S-Dウエハの中の第1N-S-Dウエハについての第1N-S-D信頼値を設定する工程;
前記の第1N-S-Dウエハについての第1N-S-D信頼値を第1N-S-D信頼限界と比較する工程;並びに、
前記第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記第1N-S-D信頼限界が満たされないときには第1N-S-D補正行為を適用する工程;
を有する、請求項11に記載の方法。
The steps for setting the other NSD reliability data include:
Setting a first N-SD confidence value for a first N-SD wafer in the other set of NSD wafers by using the other NSD subsystem processing data;
Comparing a first N-SD confidence value for the first N-SD wafer to a first N-SD confidence limit; and
Continuing the processing of the first set of NSD wafers when the first N-SD confidence limit is met, or applying a first N-SD correction action when the first N-SD confidence limit is not met;
The method of claim 11, comprising:
前記の第1N-S-D補正行為を適用する工程が:
前記他のN-S-Dサブシステム処理データを用いることによって、前記他の組のN-S-Dウエハの中の1つ以上の追加のウエハについてのN-S-D信頼値を設定する設定工程;
前記の1つ以上の追加のウエハについてのN-S-D信頼値を追加の第1N-S-D信頼限界と比較する比較工程;並びに、
前記追加の第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記追加の第1N-S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
を有する、請求項12に記載の方法。
The step of applying the first N-SD correction act is:
Setting the NSD confidence value for one or more additional wafers in the other set of NSD wafers by using the other NSD subsystem processing data;
Comparing the NSD confidence value for the one or more additional wafers with an additional first N-SD confidence limit; and
Continue processing the first set of NSD wafers when the additional first N-SD confidence limit is met, or stop the setting and comparing steps when the additional first N-SD confidence limit is not met The step of:
13. The method of claim 12, comprising:
前記他のS-D処理シーケンスが前記他の組のS-Dウエハを用いることによって実行される前、間、及び/又は後に、他のS-Dサブシステム処理データを収集する工程;
前記ウエハデータ及び/又は前記他のS-Dサブシステム処理データを用いることによって、前記他の組のS-Dウエハの中の1つ以上のウエハについての他のS-D信頼性データを設定する工程;
前記他のS-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のS-Dウエハを作製する工程;並びに、
前記追加の組のS-Dウエハを、追加のサブシステム内の1つ以上の追加S-D処理装置へ搬送する工程であって、前記1つ以上の追加S-D処理装置の決定に追加のS-D処理シーケンスが用いられる、工程;
をさらに有する、請求項4に記載の方法。
Collecting other SD subsystem processing data before, during, and / or after the other SD processing sequence is performed by using the other set of SD wafers;
Setting other SD reliability data for one or more wafers in the other set of SD wafers by using the wafer data and / or the other SD subsystem processing data;
Creating an additional set of SD wafers by using the other SD reliability data, the SD reliability data, or the NSD reliability data, or a combination thereof; and
Transferring the additional set of SD wafers to one or more additional SD processing devices in an additional subsystem, wherein an additional SD processing sequence is used to determine the one or more additional SD processing devices; A process;
5. The method of claim 4, further comprising:
前記の他のS-D信頼性データを設定する工程が:
前記他のS-Dサブシステム処理データを用いることによって、前記他の組のS-Dウエハの中の第1S-Dウエハについての第1S-D信頼値を設定する工程;
前記の第1S-Dウエハについての第1N-S-D信頼値を第1S-D信頼限界と比較する工程;並びに、
前記第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記第1S-D信頼限界が満たされないときには第1S-D補正行為を適用する工程;
を有する、請求項14に記載の方法。
The other SD reliability data setting steps include:
Setting a first S-D confidence value for a first S-D wafer in the other set of SD wafers by using the other SD subsystem processing data;
Comparing a first N-SD confidence value for the first S-D wafer with a first S-D confidence limit; and
Continuing the processing of the first set of SD wafers when the first SD confidence limit is met, or applying a first SD correction action when the first SD confidence limit is not met;
15. The method of claim 14, comprising:
前記の第1S-D補正行為を適用する工程が:
前記他のS-Dサブシステム処理データを用いることによって、前記他の組のS-Dウエハの中の1つ以上の追加のウエハについてのS-D信頼値を設定する設定工程;
前記の1つ以上の追加のウエハについてのS-D信頼値を追加の第1S-D信頼限界と比較する比較工程;並びに、
前記追加の第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記追加の第1S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
を有する、請求項15に記載の方法。
The step of applying the first S-D correction act is:
Setting the SD confidence value for one or more additional wafers in the other set of SD wafers by using the other SD subsystem processing data;
Comparing the SD confidence value for the one or more additional wafers with an additional first SD confidence limit; and
Continue processing the first set of SD wafers when the additional first S-D confidence limit is met, or cancel the setting step and the comparison step when the additional first S-D confidence limit is not met. The step of:
16. The method according to claim 15, comprising:
前記第1S-D処理シーケンスが、1つ以上のコーティング処理、1つ以上のエッチング処理、1つ以上の熱処理、1つ以上の露光処理、1つ以上の酸化処理、1つ以上の窒化処理、1つ以上の現像処理、1つ以上のリソグラフィ処理、1つ以上のスキャナ関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の保存処理、1つ以上の搬送処理、1つ以上のロードロック処理、若しくは1つ以上の洗浄処理、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。  The first S-D processing sequence includes one or more coating processes, one or more etching processes, one or more heat treatments, one or more exposure processes, one or more oxidation processes, one or more nitriding processes, One or more development processes, one or more lithography processes, one or more scanner related processes, one or more measurement processes, one or more inspection processes, one or more evaluation processes, one or more simulation processes, One or more prediction processes, one or more rework processes, one or more storage processes, one or more transport processes, one or more load lock processes, or one or more cleaning processes, or a combination of these 2. The method of claim 1, comprising at least one of them. 前記第1S-Dサブシステムが、1つ以上のコーティングサブシステム、1つ以上のエッチングサブシステム、1つ以上の熱サブシステム、1つ以上の露光サブシステム、1つ以上の酸化サブシステム、1つ以上の窒化サブシステム、1つ以上の現像サブシステム、1つ以上のリソグラフィサブシステム、1つ以上のスキャナ関連サブシステム、1つ以上の測定サブシステム、1つ以上の検査サブシステム、1つ以上の評価サブシステム、1つ以上のシミュレーションサブシステム、1つ以上の予測サブシステム、1つ以上の再加工サブシステム、1つ以上の保存サブシステム、1つ以上の搬送サブシステム、1つ以上のロードロックサブシステム、若しくは1つ以上の洗浄サブシステム、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。  The first S-D subsystem comprises one or more coating subsystems, one or more etching subsystems, one or more thermal subsystems, one or more exposure subsystems, one or more oxidation subsystems, 1 One or more nitriding subsystems, one or more development subsystems, one or more lithography subsystems, one or more scanner related subsystems, one or more measurement subsystems, one or more inspection subsystems, one More than one evaluation subsystem, one or more simulation subsystems, one or more prediction subsystems, one or more rework subsystems, one or more storage subsystems, one or more transport subsystems, one or more The method of claim 1, comprising at least one of a plurality of load lock subsystems, or one or more cleaning subsystems, or a combination thereof. 前記第1S-D処理装置が、1つ以上のコーティング処理装置、1つ以上のエッチング処理装置、1つ以上の熱処理装置、1つ以上の露光処理装置、1つ以上の酸化処理装置、1つ以上の窒化処理装置、1つ以上の現像処理装置、1つ以上のリソグラフィ処理装置、1つ以上のスキャナ関連処理装置、1つ以上の測定処理装置、1つ以上の検査処理装置、1つ以上の評価処理装置、1つ以上のシミュレーション処理装置、1つ以上の予測処理装置、1つ以上の再加工処理装置、1つ以上の保存処理装置、1つ以上の搬送処理装置、1つ以上のロードロック処理装置、若しくは1つ以上の洗浄処理装置、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。  The first S-D processing apparatus includes one or more coating processing apparatuses, one or more etching processing apparatuses, one or more heat treatment apparatuses, one or more exposure processing apparatuses, one or more oxidation processing apparatuses, and one More than one nitriding device, more than one development processing device, more than one lithography processing device, more than one scanner related processing device, more than one measurement processing device, more than one inspection processing device, more than one Evaluation processing device, one or more simulation processing devices, one or more prediction processing devices, one or more rework processing devices, one or more storage processing devices, one or more transport processing devices, one or more The method according to claim 1, comprising at least one of a load lock processing device, or one or more cleaning processing devices, or a combination thereof. 前記複数のウエハは、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。  The plurality of wafers includes at least one of a semiconductor material, a carbon material, a dielectric material, a glass material, a ceramic material, a metal material, an oxide material, a mask material, or a planarizing material, or a combination thereof. Item 2. The method according to Item 1. 複数のウエハを処理する方法であって:
前記複数のウエハを処理システム内の非サイト依存の搬送サブシステムによって受け取る工程であって、前記処理システムはサイト依存(S-D)及び非サイト依存(N-S-D)サブシステムを有し、各ウエハは関連するウエハデータを有し、前記ウエハデータはS-D信頼性データ及び/又はN-S-D信頼性データを有し、少なくとも1つのウエハは上に1つ以上の評価用構造を有する、工程;
前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて第1組のS-D測定用ウエハを作製する工程であって、前記第1組のS-D測定用ウエハの各ウエハは上に1つ以上の評価用構造を有し、前記第1組のS-D測定用ウエハはN-S-D搬送サブシステムからS-D搬送サブシステムへ搬送される、工程;
前記第1組のS-D測定用ウエハについての第1S-D測定用処理を決定する工程であって、前記第1組のS-D測定用ウエハは前記第1S-D測定用処理を用いることによって第1S-D測定用サブシステム内で処理され、前記第1S-D測定用処理を設定するのに前記ウエハデータが用いられる、工程;
前記S-D搬送サブシステムを用いることによって、前記第1S-Dサブシステム内の1つ以上の第1S-D測定関連装置へ前記第1組のS-D測定用ウエハを搬送する工程であって、第1S-D搬送シーケンス、第1S-D処理シーケンス、若しくは第1S-D測定シーケンス、又はこれらの結合は、前記1つ以上の第1S-D測定関連装置を決定するのに用いられる、工程;並びに、
前記第1S-D測定処理を実行する工程;
を有する方法。
A method for processing a plurality of wafers comprising:
Receiving the plurality of wafers by a non-site dependent transport subsystem in a processing system, the processing system having a site dependent (SD) and a non site dependent (NSD) subsystem, each wafer being associated Having wafer data, the wafer data having SD reliability data and / or NSD reliability data, and at least one wafer having one or more evaluation structures thereon;
A step of producing a first set of SD measurement wafers using the SD reliability data and / or NSD reliability data, each wafer of the first set of SD measurement wafers having one or more wafers thereon; A process having an evaluation structure, wherein the first set of SD measurement wafers is transferred from the NSD transfer subsystem to the SD transfer subsystem;
Determining a first S-D measurement process for the first set of SD measurement wafers, wherein the first set of SD measurement wafers uses the first S-D measurement process to determine the first S-D measurement process; A process that is processed in a -D measurement subsystem and wherein the wafer data is used to set up the first S-D measurement process;
Transferring the first set of SD measurement wafers to one or more first S-D measurement related devices in the first S-D subsystem by using the SD transfer subsystem; A -D transport sequence, a first S-D processing sequence, or a first S-D measurement sequence, or a combination thereof, is used to determine the one or more first S-D measurement related devices; and
Performing the first S-D measurement process;
Having a method.
前記の第1S-D測定処理を実行する工程が:
前記第1組のS-D測定用ウエハから第1測定用ウエハを選択する工程であって、前記第1測定用ウエハは上に第1S-D評価用部位を有する、工程;
前記S-D評価用部位からの第1S-D測定信号データを有する第1測定データを取得する工程;
S-D測定信号及び関連する構造のライブラリから第1S-D最善推定信号及び関連する第1S-D最善推定構造を選択する工程;
前記第1S-D測定信号データと前記第1S-D最善推定信号データとの間の第1S-D差異を計算する工程;
前記第1S-D差異を用いることによって前記第1測定用ウエハについて第1S-D信頼性データを設定する工程;
前記第1S-D信頼性データを第1S-D製品要件と比較する工程;並びに、
前記第1S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを第1高信頼性ウエハと認定して前記処理を継続し、又は前記第1S-D製品要件の1つ以上が満たされないときには第1補正行為を適用する工程;
を有する、請求項21に記載の方法。
The steps of performing the first S-D measurement process are:
Selecting a first measurement wafer from the first set of SD measurement wafers, wherein the first measurement wafer has a first S-D evaluation portion thereon;
Obtaining first measurement data having first S-D measurement signal data from the SD evaluation site;
Selecting a first S-D best estimate signal and an associated first S-D best estimate structure from a library of SD measurement signals and associated structures;
Calculating a first S-D difference between the first S-D measurement signal data and the first S-D best estimate signal data;
Setting first S-D reliability data for the first measurement wafer by using the first S-D difference;
Comparing the first S-D reliability data to a first S-D product requirement; and
When one or more of the first S-D product requirements are met, the first measurement wafer is certified as a first high-reliability wafer and the process is continued, or one of the first S-D product requirements Applying the first corrective action when the above are not satisfied;
The method of claim 21, comprising:
前記第1S-D製品要件の1つ以上が満たされるときには、前記第1S-D最善推定構造及び関連する前記第1S-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項22に記載の方法。  When one or more of the first S-D product requirements are met, the first S-D best estimation structure and the associated first S-D best estimation signal data are used to determine the first S-D evaluation site. 23. The method of claim 22, further comprising the step of qualifying. 前記第1補正行為を適用する工程が:
S-D回折信号及び関連する構造のライブラリから新たなS-D最善推定信号及び関連する新たなS-D最善推定構造を選択する選択工程;
前記新たなS-D測定信号データと前記新たなS-D最善推定信号データとの間の新たなS-D差異を計算する計算工程;
前記新たなS-D差異を用いることによって前記第1測定用ウエハについて新たなS-D信頼性データを設定する設定工程;
前記新たなS-D信頼性データを新たなS-D製品要件と比較する比較工程;並びに、
前記新たなS-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを新たな高信頼性ウエハとして認定して前記処理を継続し、又は、前記新たなS-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
を有する、請求項22に記載の方法。
The step of applying the first correction act includes:
Selecting a new SD best estimate signal and an associated new SD best estimate structure from a library of SD diffraction signals and related structures;
Calculating a new SD difference between the new SD measurement signal data and the new SD best estimate signal data;
A setting step of setting new SD reliability data for the first measurement wafer by using the new SD difference;
A comparison step of comparing the new SD reliability data with new SD product requirements; and
When one or more of the new SD product requirements are met, the first measurement wafer is certified as a new high-reliability wafer and the process is continued, or one or more of the new SD product requirements If not satisfied, the selection step, the calculation step, the setting step, the comparison step, and the step of canceling the authorization;
23. The method of claim 22, comprising:
第1S-Dプロファイルライブラリ生成基準が満たされるときには、前記新たなS-D最善推定構造及び関連する前記新たなS-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項24に記載の方法。  When the first S-D profile library generation criterion is satisfied, the step of certifying the first S-D evaluation site by using the new SD best estimation structure and the related new SD best estimation signal data is further included. 25. The method of claim 24, comprising: 前記の第1S-D測定処理を実行する工程が:
前記第1組のS-D測定用ウエハから第2測定用ウエハを選択する工程であって、前記第2測定用ウエハは上に前記第1S-D評価用部位を有する、工程;
前記S-D評価用部位からの第2S-D測定信号データを有する第2測定データを取得する工程;
前記のS-D測定信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリから第2S-D最善推定信号及び関連する第2S-D最善推定構造を選択する工程;
前記第2S-D測定信号データと前記第2S-D最善推定信号データとの間の第2S-D差異を計算する工程;
前記第2S-D差異を用いることによって前記第2測定用ウエハについて第2S-D信頼性データを設定する工程;
前記第2S-D信頼性データを第2S-D製品要件と比較する工程;並びに、
前記第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを第2高信頼性ウエハとして認定して前記処理を継続し、又は前記第2S-D製品要件の1つ以上が満たされないときには第2補正行為を適用する工程;
を有する、請求項22に記載の方法。
The steps of performing the first S-D measurement process are:
Selecting a second measurement wafer from the first set of SD measurement wafers, wherein the second measurement wafer has the first S-D evaluation portion thereon;
Obtaining second measurement data having second S-D measurement signal data from the SD evaluation site;
Selecting a second S-D best estimate signal and a related second S-D best estimate structure from the SD measurement signal and related structure library, or from the SD diffraction signal and related structure library;
Calculating a second S-D difference between the second S-D measurement signal data and the second S-D best estimate signal data;
Setting second S-D reliability data for the second measurement wafer by using the second S-D difference;
Comparing the second S-D reliability data with a second S-D product requirement; and
When one or more of the second S-D product requirements are met, the second measurement wafer is certified as a second high-reliability wafer and the process is continued, or one of the second S-D product requirements Applying the second corrective action when the above are not satisfied;
23. The method of claim 22, comprising:
前記第2S-D製品要件の1つ以上が満たされるときには、前記第2S-D最善推定構造及び関連する前記第2S-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項26に記載の方法。  When one or more of the second S-D product requirements are met, the second S-D best estimation structure and the associated second S-D best estimation signal data are used to determine the first S-D evaluation site. 27. The method of claim 26, further comprising the step of qualifying. 前記第2補正行為を適用する工程が:
前記のS-D回折信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリから新たな第2S-D最善推定信号及び関連する新たな第2S-D最善推定構造を選択する選択工程;
前記新たな第2S-D測定信号データと前記新たな第2S-D最善推定信号データとの間の新たな第2S-D差異を計算する計算工程;
前記新たな第2S-D差異を用いることによって前記第1測定用ウエハについて新たな第2S-D信頼性データを設定する設定工程;
前記新たな第2S-D信頼性データを新たな第2S-D製品要件と比較する比較工程;並びに、
前記新たな第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを新たな第2高信頼性ウエハとして認定して前記処理を継続し、又は、前記新たな第2S-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
を有する、請求項22に記載の方法。
The step of applying the second correction act includes:
A selection step of selecting a new second S-D best estimate signal and a related new second S-D best estimate structure from the SD diffraction signal and related structure library, or from the SD diffraction signal and related structure library;
A calculating step of calculating a new second S-D difference between the new second S-D measurement signal data and the new second S-D best estimate signal data;
A setting step of setting new second S-D reliability data for the first measurement wafer by using the new second S-D difference;
A comparing step of comparing the new second S-D reliability data with the new second S-D product requirements; and
When one or more of the new second S-D product requirements are met, the second measurement wafer is certified as a new second high-reliability wafer and the process is continued, or the new second S -D when one or more of the product requirements are not met, the selecting step, the calculating step, the setting step, the comparing step, and the step of canceling the certification;
23. The method of claim 22, comprising:
前記新たな第2S-Dプロファイルライブラリ生成基準が満たされるときには、前記新たな第2S-D最善推定構造及び関連する前記新たな第2S-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項28に記載の方法。  When the new second S-D profile library generation criteria are met, the first second S-D best estimation signal data is used by using the new second S-D best estimation structure and the associated new second S-D best estimation signal data. 30. The method of claim 28, further comprising the step of qualifying the site for evaluation. 前記第2補正行為を適用する工程が、前記第1測定用ウエハ、前記第2測定用ウエハ、若しくは前記第1組のS-D測定用ウエハ、又はこれらの結合を、再測定する工程、再検査する工程、再加工する工程、保存する工程、洗浄する工程、及び/又は取り除く工程、を有する、請求項28に記載の方法。  The step of applying the second correction act is a step of re-inspecting the first measurement wafer, the second measurement wafer, the first set of SD measurement wafers, or a combination thereof, and re-inspecting. 29. The method of claim 28, comprising the steps of: reworking, storing, washing, and / or removing. 前記の第1S-D測定処理を実行する工程が:
前記第1 S-D測定用ウエハ上の第2S-D評価用部位を選択する工程;
前記第2S-D評価用部位からの第2S-D測定信号データを有する第2測定データを取得する工程;
前記のS-D測定信号及び関連する構造のライブラリから第2S-D最善推定信号及び関連する第2S-D最善推定構造を選択する工程;
前記第2S-D測定信号データと前記第2S-D最善推定信号データとの間の第2S-D差異を計算する工程;
前記第2S-D差異を用いることによって前記第1測定用ウエハについて第2S-D信頼性データを設定する工程;
前記第2S-D信頼性データを第2S-D製品要件と比較する工程;並びに、
前記第2S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを第2高信頼性ウエハとして認定して前記処理を継続し、又は前記第2S-D製品要件の1つ以上が満たされないときには第2補正行為を適用する工程;
を有する、請求項22に記載の方法。
The steps of performing the first S-D measurement process are:
Selecting a second S-D evaluation site on the first SD measurement wafer;
Obtaining second measurement data having second S-D measurement signal data from the second S-D evaluation site;
Selecting a second S-D best estimate signal and a related second S-D best estimate structure from the SD measurement signal and a library of related structures;
Calculating a second S-D difference between the second S-D measurement signal data and the second S-D best estimate signal data;
Setting second S-D reliability data for the first measurement wafer by using the second S-D difference;
Comparing the second S-D reliability data with a second S-D product requirement; and
When one or more of the second S-D product requirements are met, the first measurement wafer is certified as a second high-reliability wafer and the process is continued, or one of the second S-D product requirements Applying the second corrective action when the above are not satisfied;
23. The method of claim 22, comprising:
前記の第2S-D測定処理を実行する工程が:
前記第1 S-D測定用ウエハ上の第3S-D評価用部位を選択する工程;
前記第3S-D評価用部位からの第3S-D測定信号データを有する第3測定データを取得する工程;
S-D測定信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリから第3S-D最善推定信号及び関連する第3S-D最善推定構造を選択する選択工程;
前記第3S-D測定信号データと前記第3S-D最善推定信号データとの間の第3S-D差異を計算する計算工程;
前記第3S-D差異を用いることによって前記第1測定用ウエハについて第3S-D信頼性データを設定する設定工程;
前記第3S-D信頼性データを第3S-D製品要件と比較する比較工程;並びに、
前記第3S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを第3高信頼性ウエハとして認定して前記処理を継続し、又は前記第3S-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
を有する、請求項21に記載の方法。
The steps of performing the second S-D measurement process are:
Selecting a third SD evaluation site on the first SD measurement wafer;
Obtaining third measurement data having third S-D measurement signal data from the third S-D evaluation site;
A selection step of selecting a third S-D best estimate signal and a related third S-D best estimate structure from a library of SD measurement signals and related structures, or a library of SD diffraction signals and related structures;
A calculating step of calculating a third S-D difference between the third S-D measurement signal data and the third S-D best estimated signal data;
A setting step of setting third S-D reliability data for the first measurement wafer by using the third S-D difference;
A comparing step of comparing the third S-D reliability data with a third S-D product requirement; and
When one or more of the third S-D product requirements are met, the first measurement wafer is certified as a third high-reliability wafer and the process is continued, or one of the third S-D product requirements When the above is not satisfied, the selection step, the calculation step, the setting step, the comparison step, and the step of canceling the authorization;
The method of claim 21, comprising:
前記の第1S-D測定処理を実行する工程が:
上に第2S-D評価用部位を有する第2測定用ウエハ選択する工程;
前記第2S-D評価用部位からの第2S-D測定信号データを有する第2測定データを取得する工程;
前記のS-D測定信号及び関連する構造のライブラリから第2S-D最善推定信号及び関連する第2S-D最善推定構造を選択する工程;
前記第2S-D測定信号データと前記第2S-D最善推定信号データとの間の第2S-D差異を計算する工程;
前記第2S-D差異を用いることによって前記第2測定用ウエハについて第2S-D信頼性データを設定する工程;
前記第2S-D信頼性データを第2S-D製品要件と比較する工程;並びに、
前記第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを第2高信頼性ウエハとして認定して前記処理を継続し、又は前記第2S-D製品要件の1つ以上が満たされないときには第2補正行為を適用する工程;
を有する、請求項22に記載の方法。
The steps of performing the first S-D measurement process are:
Selecting a second measurement wafer having a second S-D evaluation portion thereon;
Obtaining second measurement data having second S-D measurement signal data from the second S-D evaluation site;
Selecting a second S-D best estimate signal and a related second S-D best estimate structure from the SD measurement signal and a library of related structures;
Calculating a second S-D difference between the second S-D measurement signal data and the second S-D best estimate signal data;
Setting second S-D reliability data for the second measurement wafer by using the second S-D difference;
Comparing the second S-D reliability data with a second S-D product requirement; and
When one or more of the second S-D product requirements are met, the second measurement wafer is certified as a second high-reliability wafer and the process is continued, or one of the second S-D product requirements Applying the second corrective action when the above are not satisfied;
23. The method of claim 22, comprising:
前記第2補正行為を適用する工程が:
前記のS-D測定データ及び関連する構造のライブラリから新たな第2S-D最善推定信号データ及び関連する新たな第2S-D最善推定構造を選択する選択工程;
前記第2測定信号データと前記新たな第2S-D最善推定信号データとの間の新たな第2S-D差異を計算する計算工程;
前記新たな第2S-D差異を用いることによって前記第2測定用ウエハについて新たな第2S-D信頼性データを設定する設定工程;
前記新たな第2S-D信頼性データを新たな第2S-D製品要件と比較する比較工程;並びに、
前記新たな第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを新たな第2高信頼性ウエハとして認定して前記処理を継続し、又は、前記新たな第2S-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
を有する、請求項23に記載の方法。
The step of applying the second correction act includes:
Selecting a new second S-D best estimate signal data and a related new second S-D best estimate structure from the SD measurement data and a library of related structures;
A calculating step of calculating a new second SD difference between the second measurement signal data and the new second SD best estimated signal data;
A setting step of setting new second S-D reliability data for the second measurement wafer by using the new second S-D difference;
A comparing step of comparing the new second S-D reliability data with the new second S-D product requirements; and
When one or more of the new second S-D product requirements are met, the second measurement wafer is certified as a new second high-reliability wafer and the process is continued, or the new second S -D when one or more of the product requirements are not met, the selecting step, the calculating step, the setting step, the comparing step, and the step of canceling the certification;
24. The method of claim 23, comprising:
前記第1補正行為を適用する工程が、前記第1組の測定用ウエハのうちの1つ以上を、再測定する工程、再検査する工程、再加工する工程、保存する工程、洗浄する工程、及び/又は取り除く工程、を有する、請求項22に記載の方法。  The step of applying the first correction act is a step of re-measuring one or more of the first set of measurement wafers, a step of re-inspection, a step of re-processing, a step of storing, a step of washing, 23. The method of claim 22, comprising: and / or removing. 前記第1補正行為を適用する工程が:
前記のS-D測定信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリに関連するプロファイル空間の外側に存在する新たなプロファイル空間から、第1S-D計算された評価用構造及び関連する第1S-D計算された信号データを作成する工程;
前記第1測定信号データと前記第1S-D計算された信号データとの間の第1S-D計算された差異を決定する工程;
前記第1S-D計算された差異を用いることによって前記第1測定用ウエハについての第1S-D計算された信頼性データを設定する工程;
前記第1S-D計算された信頼性データを第1S-Dプロファイルライブラリ生成基準と比較する工程;並びに、
前記1つ以上の第1S-Dプロファイルライブラリ生成基準が満たされるときには、前記第1測定用ウエハを第1計算された高信頼性ウエハと認定して前記処理を継続し、又は前記1つ以上の第1S-Dプロファイルライブラリ生成基準が満たされないときには、第2補正行為を適用する工程;
を有する、請求項22に記載の方法。
The step of applying the first correction act includes:
From the SD measurement signal and the related structure library, or from the new profile space existing outside the profile space related to the SD diffraction signal and the related structure library, the first S-D calculated evaluation structure and the related Generating the first S-D calculated signal data;
Determining a first S-D calculated difference between the first measurement signal data and the first S-D calculated signal data;
Setting first S-D calculated reliability data for the first measurement wafer by using the first S-D calculated difference;
Comparing the first S-D calculated reliability data to a first S-D profile library generation criterion; and
When the one or more first S-D profile library generation criteria are satisfied, the first measurement wafer is certified as a first calculated high-reliability wafer and the process is continued, or the one or more first S-D profile library generation criteria are satisfied. Applying the second corrective action when the first S-D profile library generation criteria are not satisfied;
23. The method of claim 22, comprising:
高さ、幅、厚さ、深さ、体積、面積、角度、誘電特性、プロセスレシピパラメータ、処理時間、限界寸法、間隔、期間、位置、若しくは線幅、又は上記2以上の結合を変更することによって、新たなS-D計算された評価用構造及び関連する新たなS-D計算された信号データを生成する生成工程;
前記第1測定信号と前記新たなS-D計算された信号データとの新たなS-D計算された差異を決定する決定工程;
前記新たなS-D計算された差異を用いることによって前記第1測定用ウエハについて新たなS-D計算された信頼性データを設定する設定工程;
前記新たなS-D計算された信頼性データを新たなS-Dプロファイルライブラリ生成基準と比較する比較工程;並びに、
前記新たなS-Dプロファイルライブラリ生成基準の1つ以上が満たされるときには、前記第1測定用ウエハを新たな計算された高信頼性ウエハとして認定して前記処理を継続し、又は前記新たなS-Dプロファイルライブラリ生成基準の1つ以上が満たされないときには、前記生成工程、前記決定工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
をさらに有する、請求項36に記載の方法。
Change height, width, thickness, depth, volume, area, angle, dielectric properties, process recipe parameters, processing time, critical dimensions, spacing, duration, position, or line width, or a combination of two or more of the above Generating a new SD calculated evaluation structure and associated new SD calculated signal data by:
A determining step of determining a new SD calculated difference between the first measurement signal and the new SD calculated signal data;
A setting step of setting new SD calculated reliability data for the first measurement wafer by using the new SD calculated difference;
Comparing the new SD calculated reliability data with a new SD profile library generation criteria; and
When one or more of the new SD profile library generation criteria are satisfied, the first measurement wafer is certified as a new calculated high-reliability wafer and the processing is continued, or the new SD profile library When one or more of the generation criteria are not satisfied, the generation step, the determination step, the setting step, the comparison step, and the step of canceling the authorization;
40. The method of claim 36, further comprising:
前記のS-D測定信号及び関連する構造のライブラリ、又は前記のS-D回折信号のライブラリ内に、前記第1S-D計算された評価用構造及び前記関連する第1S-D計算された信号データを保存する工程をさらに有する、請求項36に記載の方法。  The evaluation structure calculated in the first S-D and the related first S-D calculated signal data are stored in the SD measurement signal and the related structure library, or the SD diffraction signal library. 40. The method of claim 36, further comprising the step. 前記第1S-Dプロファイルライブラリ生成基準が満たされるときには、前記第1S-D計算された評価用構造及び前記関連する第1計算された信号データを用いることによって前記第1S-D評価用部位を認定する工程をさらに有する、請求項36に記載の方法。  When the first S-D profile library generation criteria are satisfied, the first S-D evaluation site is identified by using the first S-D calculated evaluation structure and the related first calculated signal data 40. The method of claim 36, further comprising the step of: 前記第1補正行為を適用する工程が:
S-Dプロファイルライブラリに関連するデータ空間の外側に存在する第1S-Dプロファイルデータ空間内で第1外側データ点を決定する工程であって、第1外側S-D信号データ、第1外側S-Dプロファイルデータ、第1外側S-Dプロファイルパラメータデータ、又は上記の結合は、前記第1外側データ点に関連する、工程;
前記第1S-D測定信号データと前記第1外側S-D信号データとの第1外側S-D差異を計算する工程;
前記第1外側S-D差異を用いることによって前記第1測定用ウエハについて第1外側S-D信頼性データを設定する設定工程;
前記第1外側S-D信頼性データを第1外側S-D製品要件と比較する比較工程;並びに、
前記第1外側S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを外側高信頼性ウエハとして認定して前記処理を継続し、又は前記第1外側S-D製品要件の1つ以上が満たされないときには、第2補正行為を適用する工程;
をさらに有する、請求項22に記載の方法。
The step of applying the first correction act includes:
Determining a first outer data point within a first S-D profile data space existing outside a data space associated with the SD profile library, the first outer SD signal data, the first outer SD profile data, 1 outer SD profile parameter data, or the combination is associated with the first outer data point;
Calculating a first outer SD difference between the first S-D measurement signal data and the first outer SD signal data;
A setting step of setting first outer SD reliability data for the first measurement wafer by using the first outer SD difference;
Comparing the first outer SD reliability data with a first outer SD product requirement; and
When one or more of the first outer SD product requirements are met, the first measurement wafer is qualified as an outer reliable wafer and the process is continued, or one or more of the first outer SD product requirements Applying the second corrective action when is not satisfied;
23. The method of claim 22, further comprising:
前記第1外側S-D製品要件の1つ以上が満たされるときには、前記第1外側データ点に関連するデータを用いることによって前記第1S-D評価用部位を認定する工程をさらに有する、請求項40に記載の方法。  The method of claim 40, further comprising qualifying the first SD evaluation site by using data associated with the first outer data point when one or more of the first outer SD product requirements are met. The method described. 前記継続する工程が:
前記第1 S-D差異を第1精度要件と比較する比較工程;及び、
前記第1精度要件の1つ以上が満たされるときには、第1組の検査用ウエハを第1高信頼性ウエハとして認定して前記処理を継続し、又は前記第1精度要件の1つ以上が満たされないときには、追加の補正行為を適用する工程;
を有する、請求項22に記載の方法。
The continuing process includes:
A comparing step of comparing the first SD difference with a first accuracy requirement; and
When one or more of the first accuracy requirements are met, the first set of inspection wafers is certified as a first high-reliability wafer and the process is continued, or one or more of the first accuracy requirements are met If not, applying additional corrective action;
23. The method of claim 22, comprising:
ウエハを処理するためのプラットフォームであって:
各々が処理データに基づいて前記ウエハを処理する装置を有する複数の処理モジュール;
前記モジュール間でウエハを搬送するように備えられていて、かつ前記モジュールの面のレール上を移動する、少なくとも1つのロボット;
前記処理モジュール内の前記ウエハ上で完了したプロセスを検査するように備えられている少なくとも1つの検査モジュール;
前記モジュール、前記少なくとも1つのロボット、及び前記少なくとも1つの検査モジュールからのウエハデータを制御して受け取り、かつ前記の受け取ったデータに基づいて前記複数の処理モジュール内でデータを調節する、共通制御ユニット;
を有するウエハ処理プラットフォーム。
A platform for processing wafers:
A plurality of processing modules each having an apparatus for processing the wafer based on processing data;
At least one robot arranged to transfer wafers between the modules and moving on rails on the surface of the modules;
At least one inspection module configured to inspect a completed process on the wafer in the processing module;
A common control unit that controls and receives wafer data from the module, the at least one robot, and the at least one inspection module, and adjusts data within the plurality of processing modules based on the received data ;
A wafer processing platform.
前記ウエハデータがサイトに固有なウエハデータを有する、請求項43に記載のウエハ処理プラットフォーム。  44. The wafer processing platform of claim 43, wherein the wafer data comprises site specific wafer data. 前記処理データが前記ウエハのために作成された製造プロセスに基づく、請求項43に記載のウエハ処理プラットフォーム。  44. The wafer processing platform according to claim 43, wherein the processing data is based on a manufacturing process created for the wafer. 前記製造プロセスが、前記プロセスデータの調節に従って対応するように調節される、請求項45に記載のウエハ処理プラットフォーム。  46. The wafer processing platform of claim 45, wherein the manufacturing process is adjusted to respond according to the adjustment of the process data. 前記ウエハは、多くのウエハが当該ウエハ処理プラットフォームによって処理される前に、前記製造プロセスを更新するのに用いられる先送りウエハである、請求項46に記載のウエハ処理プラットフォーム。  47. The wafer processing platform of claim 46, wherein the wafer is a forward wafer used to update the manufacturing process before many wafers are processed by the wafer processing platform.
JP2010501280A 2007-03-30 2008-03-31 Inline lithography and etching system Active JP5200276B2 (en)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US11/730,284 US7596423B2 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent procedure
US11/730,341 2007-03-30
US11/730,283 2007-03-30
US11/730,279 US7783374B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual damascene procedure
US11/730,279 2007-03-30
US11/730,202 US7531368B2 (en) 2007-03-30 2007-03-30 In-line lithography and etch system
US11/730,202 2007-03-30
US11/730,339 2007-03-30
US11/730,339 US7935545B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual patterning procedure
US11/730,284 2007-03-30
US11/730,341 US7650200B2 (en) 2007-03-30 2007-03-30 Method and apparatus for creating a site-dependent evaluation library
US11/730,283 US7373216B1 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent wafer
PCT/US2008/058888 WO2008121955A2 (en) 2007-03-30 2008-03-31 In-line lithography and etch system

Publications (2)

Publication Number Publication Date
JP2010524209A JP2010524209A (en) 2010-07-15
JP5200276B2 true JP5200276B2 (en) 2013-06-05

Family

ID=39808885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010501280A Active JP5200276B2 (en) 2007-03-30 2008-03-31 Inline lithography and etching system

Country Status (4)

Country Link
JP (1) JP5200276B2 (en)
KR (1) KR101475967B1 (en)
TW (1) TWI381468B (en)
WO (1) WO2008121955A2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8739095B2 (en) * 2010-03-08 2014-05-27 Cadence Design Systems, Inc. Method, system, and program product for interactive checking for double pattern lithography violations
US9277186B2 (en) * 2012-01-18 2016-03-01 Kla-Tencor Corp. Generating a wafer inspection process using bit failures and virtual inspection
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
TWI631636B (en) * 2013-12-16 2018-08-01 克萊譚克公司 Integrated use of model-based metrology and a process model
US11263737B2 (en) * 2019-01-10 2022-03-01 Lam Research Corporation Defect classification and source analysis for semiconductor equipment
US20220351996A1 (en) * 2021-04-29 2022-11-03 Changxin Memory Technologies, Inc. Front opening unified pod, wafer transfer system and wafer transfer method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3889355A (en) * 1973-02-05 1975-06-17 Ibm Continuous processing system
US5124927A (en) * 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
JPH0480939A (en) * 1990-07-24 1992-03-13 Hitachi Ltd Manufacture of semiconductor integrated circuit device
US6171174B1 (en) * 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
US6909930B2 (en) * 2001-07-19 2005-06-21 Hitachi, Ltd. Method and system for monitoring a semiconductor device manufacturing process
JP4158384B2 (en) * 2001-07-19 2008-10-01 株式会社日立製作所 Semiconductor device manufacturing process monitoring method and system
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
AU2003270866A1 (en) * 2002-09-30 2004-04-23 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
TW200745771A (en) * 2006-02-17 2007-12-16 Nikon Corp Adjustment method, substrate processing method, substrate processing apparatus, exposure apparatus, inspection apparatus, measurement and/or inspection system, processing apparatus, computer system, program and information recording medium

Also Published As

Publication number Publication date
KR20100016095A (en) 2010-02-12
KR101475967B1 (en) 2014-12-23
WO2008121955A2 (en) 2008-10-09
WO2008121955A3 (en) 2009-01-15
TW200903686A (en) 2009-01-16
JP2010524209A (en) 2010-07-15
TWI381468B (en) 2013-01-01

Similar Documents

Publication Publication Date Title
US7531368B2 (en) In-line lithography and etch system
US7729795B2 (en) Method and apparatus for verifying a site-dependent wafer
US11520238B2 (en) Optimizing an apparatus for multi-stage processing of product units
US7596423B2 (en) Method and apparatus for verifying a site-dependent procedure
US7650200B2 (en) Method and apparatus for creating a site-dependent evaluation library
TWI777585B (en) Determining a correction to a process
US6960416B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7673278B2 (en) Enhanced process yield using a hot-spot library
KR102308124B1 (en) maintenance of a set of process fingerprints
JP5200276B2 (en) Inline lithography and etching system
TWI667532B (en) Optimizing a sequence of processes for manufacturing of product units
CN110573966A (en) Method and apparatus for optimizing a lithographic process
US7449265B1 (en) Scatterometry target for determining CD and overlay
US7783374B2 (en) Method and apparatus for performing a site-dependent dual damascene procedure
US8183062B2 (en) Creating metal gate structures using Lithography-Etch-Lithography-Etch (LELE) processing sequences
US7935545B2 (en) Method and apparatus for performing a site-dependent dual patterning procedure
TWI749607B (en) Sub-field control of a lithographic process and associated apparatus
TWI839789B (en) A method for modeling measurement data over a substrate area and associated apparatuses

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130122

R150 Certificate of patent or registration of utility model

Ref document number: 5200276

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250