JP5002891B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP5002891B2
JP5002891B2 JP2004365581A JP2004365581A JP5002891B2 JP 5002891 B2 JP5002891 B2 JP 5002891B2 JP 2004365581 A JP2004365581 A JP 2004365581A JP 2004365581 A JP2004365581 A JP 2004365581A JP 5002891 B2 JP5002891 B2 JP 5002891B2
Authority
JP
Japan
Prior art keywords
gate electrode
film
region
gate
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004365581A
Other languages
Japanese (ja)
Other versions
JP2006173432A5 (en
JP2006173432A (en
Inventor
セルゲイ ピディン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2004365581A priority Critical patent/JP5002891B2/en
Publication of JP2006173432A publication Critical patent/JP2006173432A/en
Publication of JP2006173432A5 publication Critical patent/JP2006173432A5/ja
Application granted granted Critical
Publication of JP5002891B2 publication Critical patent/JP5002891B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は半導体装置の製造方法に関する。 The present invention is related to a method of manufacturing a semiconductor equipment.

マイクロプロセッサにおける動作周波数を高周波数化するためにCMOSトランジスタの動作速度の高速化が図られている。その高速化は主にCMOSトランジスタの微細化によって達成されてきた。CMOSトランジスタの微細化は、リソグラフィに用いる光の短波長化によるトランジスタのチャネル長の縮小化やトランジスタのゲート電極のゲート酸化膜の薄膜化によって進められてきた。しかし、最小フォトエッチ寸法がリソグラフィに用いる光の波長以下になってきているとともに、ゲート電極のゲート酸化膜は耐圧の確保が必要なため薄膜化が困難になってきている。このため、CMOSトランジスタの微細化が困難になりつつある。 In order to increase the operating frequency of the microprocessor, the operating speed of the CMOS transistor is increased. The increase in speed has been achieved mainly by miniaturization of CMOS transistors. The miniaturization of a CMOS transistor has been promoted by reducing the channel length of the transistor by shortening the wavelength of light used for lithography and reducing the thickness of the gate oxide film of the gate electrode of the transistor. However, the minimum photoetching dimension has become smaller than the wavelength of light used for lithography, and it has become difficult to reduce the thickness of the gate oxide film of the gate electrode because it is necessary to ensure a breakdown voltage. For this reason, miniaturization of CMOS transistors is becoming difficult.

そこで、最小フォトエッチ寸法が50nm以下となる世代のCMOSトランジスタでは、トランジスタのチャネル部分に応力を加えシリコン結晶をひずませることでチャネル領域の電子の移動速度を高める(トランジスタのオン電流を増加させる)技術が開発されている。   Therefore, in the generation of CMOS transistors with a minimum photoetching dimension of 50 nm or less, stress is applied to the channel portion of the transistor and the silicon crystal is distorted to increase the electron movement speed in the channel region (increasing the on-current of the transistor). Technology has been developed.

従来のCMOSトランジスタの概略的断面図を図17に示す。CMOSトランジスタはnチャネル型トランジスタ60aとpチャネル型トランジスタ60bで構成されている。nチャネル型トランジスタ60aは、p型ウェル領域68aおよびソース/ドレイン66aと、ゲート電極64a、ゲート酸化膜62aおよびゲート電極側壁絶縁膜65aからなるゲート積層体70aで構成され、pチャネル型トランジスタ60bは、n型ウェル領域68bおよびソース/ドレイン66bと、ゲート電極64b、ゲート酸化膜62bおよびゲート電極側壁絶縁膜65bからなるゲート積層体70bで構成されている。CMOSトランジスタは、nチャネル型トランジスタ60aとpチャネル型トランジスタ60bの両方のオン電流を増加させるため、図17に示すようにnチャネル型トランジスタ60aのチャネル部分に引張応力が加わるようにシリコン窒化膜61aを形成し、pチャネル型トランジスタ60bのチャネル部分に圧縮応力が加わるようにシリコン窒化膜61bを形成することで、各々のトランジスタのオン電流を向上させている。   A schematic cross-sectional view of a conventional CMOS transistor is shown in FIG. The CMOS transistor is composed of an n-channel transistor 60a and a p-channel transistor 60b. The n-channel transistor 60a includes a p-type well region 68a, a source / drain 66a, and a gate stack 70a including a gate electrode 64a, a gate oxide film 62a, and a gate electrode sidewall insulating film 65a. , An n-type well region 68b, a source / drain 66b, and a gate stacked body 70b including a gate electrode 64b, a gate oxide film 62b, and a gate electrode sidewall insulating film 65b. Since the CMOS transistor increases the on-current of both the n-channel transistor 60a and the p-channel transistor 60b, the silicon nitride film 61a is applied so that tensile stress is applied to the channel portion of the n-channel transistor 60a as shown in FIG. And the silicon nitride film 61b is formed so that compressive stress is applied to the channel portion of the p-channel transistor 60b, thereby improving the on-current of each transistor.

また、nチャネル型トランジスタ60aおよびpチャネル型トランジスタ60bのチャネル部分に引張応力が加えられる場合、シリコン窒化膜61bの膜厚をシリコン窒化膜61aの膜厚より薄くし、nチャネル型トランジスタ60aおよびpチャネル型トランジスタ60bのチャネル部分に圧縮応力が加えられる場合、シリコン窒化膜61aの膜厚をシリコン窒化膜61bの膜厚より薄くする、すなわち、シリコン窒化膜61a、61bの膜厚を制御することで、nチャネル型トランジスタ60aおよびpチャネル型トランジスタ60bのチャネル部分に加わる応力に差を持たせて各々のトランジスタのオン電流を向上させている。別の方法として、シリコン窒化膜61a、61bのソース・ドレイン66a、66bに隣接して延びる部分の面積を制御する方法もある。   When tensile stress is applied to the channel portions of the n-channel transistor 60a and the p-channel transistor 60b, the silicon nitride film 61b is made thinner than the silicon nitride film 61a, and the n-channel transistors 60a and p When compressive stress is applied to the channel portion of the channel type transistor 60b, the film thickness of the silicon nitride film 61a is made thinner than the film thickness of the silicon nitride film 61b, that is, the film thickness of the silicon nitride films 61a and 61b is controlled. The on-current of each transistor is improved by providing a difference in stress applied to the channel portions of the n-channel transistor 60a and the p-channel transistor 60b. As another method, there is a method of controlling the area of the portion of the silicon nitride films 61a and 61b extending adjacent to the source / drain 66a and 66b.

他に、効果は上述の方法より小さいが、nチャネル型トランジスタ60aのチャネル部分に引張応力が加わるようにし、pチャネル型トランジスタ60bのチャネル部分には引張応力ではあるがnチャネル型トランジスタ60aより圧縮応力側の応力が加わるようにして、各々のトランジスタのオン電流を向上させる方法もある。逆に、pチャネル型トランジスタ60aのチャネル部分に圧縮応力が加わるようにし、nチャネル型トランジスタ60aのチャネル部分には圧縮応力ではあるがpチャネル型トランジスタ60bより引張応力側の応力が加わるようにして、各々のトランジスタのオン電流を向上させる方法もある。以下にそれらの方法を記載する。なお、各説明とも図示はしないが、各部位に対する符号は図17に用いたものを適用する。   In addition, although the effect is smaller than the above method, a tensile stress is applied to the channel portion of the n-channel transistor 60a, and the channel portion of the p-channel transistor 60b is compressed more than the n-channel transistor 60a although it is a tensile stress. There is also a method for improving the on-current of each transistor by applying stress on the stress side. On the contrary, compressive stress is applied to the channel portion of the p-channel transistor 60a, and stress on the tensile stress side from the p-channel transistor 60b is applied to the channel portion of the n-channel transistor 60a. There is also a method for improving the on-current of each transistor. These methods are described below. Although not shown in each description, the reference numerals used in FIG. 17 are applied to the respective parts.

nチャネル型トランジスタ60aのシリサイド膜63aの膜厚をpチャネル型トランジスタ60bのシリサイド膜63bの膜厚より厚くすることによって、nチャネル型トランジスタ60aのオン電流を向上させ、pチャネル型トランジスタ60bのオン電流の低下を抑えている。   By making the thickness of the silicide film 63a of the n-channel transistor 60a larger than the thickness of the silicide film 63b of the p-channel transistor 60b, the on-current of the n-channel transistor 60a is improved, and the on-state of the p-channel transistor 60b is increased. The decrease in current is suppressed.

また、nチャネル型トランジスタ60aのゲート電極64aがアモルファスシリコンで成膜されて、不純物添加をせずに熱処理をされたのち、不純物が注入されて、nチャネル型トランジスタ60aのチャネル部分に引張応力が加えられるようにしている。pチャネル型トランジスタ60bのゲート電極64bは、アモルファスシリコンで成膜されて、不純物が注入されたのち、ゲート電極64bが引張の結晶化応力を持つように熱処理されて、pチャネル型トランジスタ60bのチャネル部分にはnチャネル型トランジスタ60aのチャネル部分に加わる引張応力より圧縮側の応力が加えられるようにして、pチャネル型トランジスタ60bのオン電流の低下を抑えている。   Further, after the gate electrode 64a of the n-channel transistor 60a is formed of amorphous silicon and is subjected to heat treatment without adding impurities, impurities are implanted, and tensile stress is applied to the channel portion of the n-channel transistor 60a. To be added. The gate electrode 64b of the p-channel transistor 60b is formed of amorphous silicon, and after impurities are implanted, the gate electrode 64b is heat-treated so as to have a tensile crystallization stress. The portion is applied with a stress on the compression side rather than the tensile stress applied to the channel portion of the n-channel transistor 60a, thereby suppressing a decrease in on-current of the p-channel transistor 60b.

また、nチャネル型トランジスタ60aのゲート電極64aを2層に分けて成膜することで、ゲート電極64aの結晶粒径を1層で成膜されたpチャネル型トランジスタ60bのゲート電極64bの結晶粒径より小さくしてnチャネル型トランジスタ60aのチャネル部分に加わる応力を低減させて、nチャネル型トランジスタ60aのオン電流の低下を抑えている。   Further, by forming the gate electrode 64a of the n-channel transistor 60a in two layers, the crystal grain size of the gate electrode 64b of the p-channel transistor 60b in which the gate electrode 64a has a single crystal grain size is formed. The stress applied to the channel portion of the n-channel transistor 60a is reduced by making it smaller than the diameter, thereby suppressing a decrease in on-current of the n-channel transistor 60a.

また、トランジスタのチャネル部分と隣接する素子分離領域69との距離が、nチャネル型トランジスタ60aよりpチャネル型トランジスタ60bの方が小さくなるようにして、pチャネル型トランジスタ60bのチャネル部分に加わる応力がnチャネル型トランジスタ60aのチャネル部分に加わる応力より高くなるようにしている。   In addition, the stress applied to the channel portion of the p-channel transistor 60b is such that the distance between the channel portion of the transistor and the adjacent element isolation region 69 is smaller in the p-channel transistor 60b than in the n-channel transistor 60a. The stress applied to the channel portion of the n-channel transistor 60a is made higher.

また、nチャネル型トランジスタ60aおよびpチャネル型トランジスタ60bのゲート電極側壁絶縁膜65a、65bの膜質を変えることで、nチャネル型トランジスタ60aのゲート電極側壁絶縁膜65aの持つ応力はpチャネル型トランジスタ60bのゲート電極側壁絶縁膜65bの持つ応力と相対的に引張側になり、pチャネル型トランジスタ60bのゲート電極側壁絶縁膜65bの持つ応力はnチャネル型トランジスタ60aのゲート電極側壁絶縁膜65aの持つ応力と相対的に圧縮側になるようにして、各々のトランジスタのオン電流を向上させている。   Further, by changing the film quality of the gate electrode sidewall insulating films 65a and 65b of the n-channel transistor 60a and the p-channel transistor 60b, the stress of the gate electrode sidewall insulating film 65a of the n-channel transistor 60a is changed to the p-channel transistor 60b. The stress of the gate electrode sidewall insulating film 65b of the n-channel transistor 60a is the stress of the gate electrode sidewall insulating film 65a of the n-channel transistor 60a. The on-current of each transistor is improved so as to be relatively on the compression side.

また、nチャネル型トランジスタ60aおよびpチャネル型トランジスタ60bのゲート電極側壁絶縁膜65a、65bのヤング率を変える、すなわち、nチャネル型トランジスタ60aとpチャネル型トランジスタ60bが同じシリコン窒化膜で覆われていて、そのシリコン窒化膜がトランジスタに圧縮応力を加える場合、nチャネル型トランジスタ60aのゲート電極側壁絶縁膜65aのヤング率をpチャネル型トランジスタ60bのゲート電極側壁絶縁膜65bのヤング率より小さくなるように、ゲート電極側壁絶縁膜65a、65bの材料を選択している。逆にトランジスタにシリコン窒化膜が引張応力を加える場合、nチャネル型トランジスタ60aのゲート電極側壁絶縁膜65aのヤング率をpチャネル型トランジスタ60bのゲート電極側壁絶縁膜65bのヤング率より大きくなるように、ゲート電極側壁絶縁膜膜65a、65bの材料を選択している。これによって、各々のトランジスタのオン電流を向上させている。
特開2003−86708号公報
Further, the Young's modulus of the gate electrode sidewall insulating films 65a and 65b of the n-channel transistor 60a and the p-channel transistor 60b is changed, that is, the n-channel transistor 60a and the p-channel transistor 60b are covered with the same silicon nitride film. When the silicon nitride film applies compressive stress to the transistor, the Young's modulus of the gate electrode sidewall insulating film 65a of the n-channel transistor 60a is made smaller than the Young's modulus of the gate electrode sidewall insulating film 65b of the p-channel transistor 60b. In addition, the material of the gate electrode sidewall insulating films 65a and 65b is selected. Conversely, when the silicon nitride film applies a tensile stress to the transistor, the Young's modulus of the gate electrode sidewall insulating film 65a of the n-channel transistor 60a is made larger than the Young's modulus of the gate electrode sidewall insulating film 65b of the p-channel transistor 60b. The material of the gate electrode sidewall insulating film 65a, 65b is selected. This improves the on-current of each transistor.
JP 2003-86708 A

しかしながら、最小フォトエッチ寸法が50nm以下となる世代のCMOSトランジスタにおいて、更なる高速動作をさせるためには、図17に示すようにnチャネル型トランジスタのチャネル部分に引張応力が加わるようにシリコン窒化膜61aを形成し、pチャネル型トランジスタ60bのチャネル部分に圧縮応力が加わるようにシリコン窒化膜61bを形成し、各々のトランジスタのオン電流を向上させるだけでは限界がある。また、nチャネル型トランジスタのチャネル部分に引張応力が加わるようにシリコン窒化膜61aを形成し、pチャネル型トランジスタ60bのチャネル部分に圧縮応力が加わるようにシリコン窒化膜61bを形成する方法に、上述の各々のトランジスタのオン電流を向上させる他の方法を組合せても性能向上は期待できない。
例えば、図17に示すnチャネル型トランジスタ60aのチャネル部分に引張応力が加わるようにシリコン窒化膜61aを形成し、pチャネル型トランジスタ60bのチャネル部分に圧縮応力が加わるようにシリコン窒化膜61bを形成したCMOSトランジスタにおいて、nチャネル型トランジスタ60aとpチャネル型トランジスタ60bのゲート電極側壁絶縁膜の膜質を変える、もしくは、ゲート電極側壁絶縁膜のヤング率を変えるとしても、上述のようにシリコン窒化膜61a、61bが同一方向の応力を持つ膜においてのみ有効となる。すなわち、nチャネル型トランジスタ60aのチャネル部分に引張応力が加わるようにシリコン窒化膜61aを形成し、pチャネル型トランジスタ60bのチャネル部分に圧縮応力が加わるようにシリコン窒化膜61bを形成したCMOSトランジスタのオン電流を向上させることができない。
本発明は、上記実状に鑑みてなされるもので、nチャネル型トランジスタのチャネル部分に引張応力が加わるようなシリコン窒化膜を形成し、pチャネル型トランジスタのチャネル部分に圧縮応力が加わるようなシリコン窒化膜を形成したCMOSトランジスタにおいて、nチャネル型トランジスタとpチャネル型トランジスタの両方のオン電流を更に向上させて、かつ製造工程の効率が向上する製造方法を提供することを目的とする。
However, in the generation of CMOS transistors having a minimum photoetching dimension of 50 nm or less, in order to operate at higher speed, a silicon nitride film is applied so that tensile stress is applied to the channel portion of the n-channel transistor as shown in FIG. There is a limit in simply forming the silicon nitride film 61b so that compressive stress is applied to the channel portion of the p-channel transistor 60b by forming 61a and improving the on-current of each transistor. Further, the method of forming the silicon nitride film 61a so that tensile stress is applied to the channel portion of the n-channel transistor and forming the silicon nitride film 61b so as to apply compressive stress to the channel portion of the p-channel transistor 60b is described above. Even if other methods for improving the on-current of each of the transistors are combined, performance improvement cannot be expected.
For example, the silicon nitride film 61a is formed so that tensile stress is applied to the channel portion of the n-channel transistor 60a shown in FIG. 17, and the silicon nitride film 61b is formed so that compressive stress is applied to the channel portion of the p-channel transistor 60b. Even if the film quality of the gate electrode sidewall insulating films of the n-channel transistor 60a and the p-channel transistor 60b is changed or the Young's modulus of the gate electrode sidewall insulating film is changed, the silicon nitride film 61a as described above. , 61b is effective only in a film having the stress in the same direction. That is, in the CMOS transistor in which the silicon nitride film 61a is formed so that tensile stress is applied to the channel portion of the n-channel transistor 60a, and the silicon nitride film 61b is formed so that compressive stress is applied to the channel portion of the p-channel transistor 60b. The on-current cannot be improved.
The present invention has been made in view of the above circumstances, and a silicon nitride film is formed so that tensile stress is applied to the channel portion of the n-channel transistor, and silicon is applied to compressive stress in the channel portion of the p-channel transistor. An object of the present invention is to provide a manufacturing method in which the on-state current of both an n-channel transistor and a p-channel transistor is further improved and the efficiency of the manufacturing process is improved in a CMOS transistor in which a nitride film is formed.

第1の半導体装置の製造方法では、半導体基板に、第1の活性領域を形成し、前記第1の活性領域上に第1のゲート酸化膜を形成し、前記第1のゲート絶縁膜上に第1のゲート電極を形成し、前記第1のゲート電極をマスクとして前記第1の活性領域に第1不純物の注入を行い、第1の拡散層を形成する。また、前記第1のゲート電極の側壁に第1のゲート電極側壁絶縁膜を形成し、前記第1のゲート電極及び前記第1のゲート電極側壁絶縁膜をマスクとして、前記第1の活性領域に第2不純物の注入を行い、前記第1の拡散層よりも深い第2の拡散層を形成する。また、前記第2の拡散層を形成した後、前記第1のゲート電極側壁絶縁膜をエッチングする。更に、前記第1のゲート電極側壁絶縁膜の少なくとも一部をエッチングした後、前記第1の活性領域を覆う第1の応力制御膜を形成する。In the first method for manufacturing a semiconductor device, a first active region is formed on a semiconductor substrate, a first gate oxide film is formed on the first active region, and on the first gate insulating film. A first gate electrode is formed and a first impurity is implanted into the first active region using the first gate electrode as a mask to form a first diffusion layer. In addition, a first gate electrode sidewall insulating film is formed on a sidewall of the first gate electrode, and the first active region is formed on the first active region using the first gate electrode and the first gate electrode sidewall insulating film as a mask. A second impurity is implanted to form a second diffusion layer deeper than the first diffusion layer. In addition, after forming the second diffusion layer, the first gate electrode sidewall insulating film is etched. Further, after etching at least a part of the first gate electrode sidewall insulating film, a first stress control film covering the first active region is formed.

導体装置の製造方法では、半導体基板に、第1の活性領域と第2の活性領域とを形成し、前記第1の活性領域上に第1のゲート酸化膜を形成し、前記第1のゲート酸化膜上に第1のゲート電極を形成し、前記第1のゲート電極をマスクとして前記第1の活性領域に第1不純物の注入を行い、第1の拡散層を形成する。また、前記第1のゲート電極側壁に第1のゲート電極側壁絶縁膜を形成し、前記第1のゲート電極及び前記第1のゲート電極側壁絶縁膜をマスクとして、前記第1の活性領域に第2不純物の注入を行い、前記第1の拡散層よりも深い第2の拡散層を形成する。また、前記第2の活性領域上に第2のゲート酸化膜を形成し、前記第2のゲート酸化膜上に第2のゲート電極を形成し、前記第2のゲート電極をマスクとして、前記第2の活性領域に第3不純物の注入を行い、第3の拡散層を形成する。また、前記第2のゲート電極の側壁に第2のゲート電極側壁絶縁膜を形成し、前記第2のゲート電極及び前記第2のゲート電極側壁絶縁膜をマスクとして、前記第2の活性領域に第4不純物の注入を行い、前記第3の拡散層よりも深い第4の拡散層を形成する。更に、前記第1の活性領域および第2の活性領域を覆う第1の応力制御膜を形成し、前記第2の活性領域を覆うマスク層を形成し、前記マスク層をマスクとして前記第1の活性領域における前記第1の応力制御膜を除去し、前記第1のゲート電極側壁絶縁膜をエッチングして除去し、前記第1の活性領域を覆う第2の応力制御膜を前記第1のゲート電極の側壁に接するように形成する。 In the method of manufacturing a semi-conductor device, a semiconductor substrate, a first forming an active region and a second active region, a first gate oxide film formed on the first active region, the first A first gate electrode is formed on the gate oxide film, and a first impurity is implanted into the first active region using the first gate electrode as a mask to form a first diffusion layer. Further, a first gate electrode sidewall insulating film is formed on the first gate electrode sidewall, and the first active region is formed in the first active region using the first gate electrode and the first gate electrode sidewall insulating film as a mask. Two impurities are implanted to form a second diffusion layer deeper than the first diffusion layer. In addition, a second gate oxide film is formed on the second active region, a second gate electrode is formed on the second gate oxide film, and the second gate electrode is used as a mask to form the second gate electrode. A third impurity is implanted into the second active region to form a third diffusion layer. In addition, a second gate electrode sidewall insulating film is formed on the sidewall of the second gate electrode, and the second active region is formed on the second active region using the second gate electrode and the second gate electrode sidewall insulating film as a mask. A fourth impurity is implanted to form a fourth diffusion layer deeper than the third diffusion layer. Further, a first stress control film that covers the first active region and the second active region is formed, a mask layer that covers the second active region is formed, and the first layer is formed using the mask layer as a mask. The first stress control film in the active region is removed, the first gate electrode sidewall insulating film is removed by etching, and a second stress control film covering the first active region is removed from the first gate. It forms so that the side wall of an electrode may be contact | connected.

本発明によれば、半導体基板の第1の領域に配置されるnチャネル型トランジスタの第1のゲート積層体と前記半導体基板の第2の領域に配置されるpチャネル型トランジスタの第2のゲート積層体のどちらか一方あるいは両方においてゲート電極側壁絶縁膜を持たない構造にすることにより、各々のトランジスタのチャネル部分により多くの応力が加えられ各々のトランジスタのオン電流を向上させて、かつ製造工程の効率が向上したCMOSトランジスタが実現できる。   According to the present invention, the first gate stack of the n-channel transistor disposed in the first region of the semiconductor substrate and the second gate of the p-channel transistor disposed in the second region of the semiconductor substrate. By employing a structure in which one or both of the stacked bodies do not have the gate electrode side wall insulating film, more stress is applied to the channel portion of each transistor, and the on-current of each transistor is improved, and the manufacturing process is performed. A CMOS transistor with improved efficiency can be realized.

本発明の実施の形態を実施例を用いて説明する。   Embodiments of the present invention will be described using examples.

図1は本発明の実施形態の第1具体例に係るCMOSトランジスタの基本的な構造を示している。   FIG. 1 shows a basic structure of a CMOS transistor according to a first specific example of an embodiment of the present invention.

その図1に示すCMOSトランジスタの製造フローの概略図を図2(a)乃至(f)を用いて説明する。   A schematic diagram of the manufacturing flow of the CMOS transistor shown in FIG. 1 will be described with reference to FIGS.

まず、図2(a)のようにSi基板11にSTI(Shallow Trench Isolation)法により素子分離領域12を形成し、nチャネル型トランジスタが形成される第1の領域13nにp型の導電型の不純物を注入しp型ウェル領域18を形成し、pチャネル型トランジスタが形成される第2の領域13pにn型の導電型の不純物を注入しn型ウェル領域28を形成する。   First, as shown in FIG. 2A, an element isolation region 12 is formed on a Si substrate 11 by an STI (Shallow Trench Isolation) method, and a p-type conductivity type is formed in a first region 13n where an n-channel transistor is formed. Impurities are implanted to form the p-type well region 18, and n-type conductivity type impurities are implanted into the second region 13p where the p-channel transistor is formed to form the n-type well region 28.

次に、Si基板11の表面にゲート酸化膜およびポリシリコン膜を成膜したのちエッチング法によりゲート酸化膜20、31、およびポリシリコン膜からなるゲート電極21、32を形成し、ゲート電極21、32をマスクとして第1の領域13nにはn型の導電型の不純物を注入し、第2の領域13pにはp型の導電型の不純物を注入し、それぞれ浅い接合領域19a、30aを形成する。続いて、Si基板11の表面とゲート電極21、32を覆うようにシリコン酸化膜からなる絶縁膜を形成し、絶縁膜をエッチバックしてゲート電極側壁絶縁膜22、33を形成する。このようにして、第1のゲート積層体23、第2のゲート積層体34が形成される。   Next, after forming a gate oxide film and a polysilicon film on the surface of the Si substrate 11, gate oxide films 20 and 31, and gate electrodes 21 and 32 made of a polysilicon film are formed by an etching method. 32 is used as a mask, n-type conductivity type impurities are implanted into the first region 13n, and p-type conductivity type impurities are implanted into the second region 13p to form shallow junction regions 19a and 30a, respectively. . Subsequently, an insulating film made of a silicon oxide film is formed so as to cover the surface of the Si substrate 11 and the gate electrodes 21 and 32, and the insulating film is etched back to form gate electrode sidewall insulating films 22 and 33. In this way, the first gate stacked body 23 and the second gate stacked body 34 are formed.

次に、ゲート電極21、32およびゲート電極側壁絶縁膜22、33をマスクとして第1の領域13nにはn型の導電型の不純物を注入し、第2の領域13pにはp型の導電型の不純物を注入し、深い接合領域19b、30bを形成する。続いて、熱処理により注入された不純物を活性化させてソース・ドレイン領域19、30が形成される。   Next, using the gate electrodes 21 and 32 and the gate electrode sidewall insulating films 22 and 33 as a mask, an n-type conductivity type impurity is implanted into the first region 13n, and a p-type conductivity type is implanted into the second region 13p. Then, deep junction regions 19b and 30b are formed. Subsequently, the impurity implanted by the heat treatment is activated to form the source / drain regions 19 and 30.

次に、Si基板11の表面と第1のゲート積層体23、第2のゲート積層体34を覆うNi膜を形成し(図示せず)、続いて、450℃程度の加熱処理によって、ソース・ドレイン領域19、30およびゲート電極21、32にNiSiのシリサイド膜24、25、35、36を形成し、続いて、未反応のNi膜が除去される。 Next, a Ni film is formed to cover the surface of the Si substrate 11 and the first gate stacked body 23 and the second gate stacked body 34 (not shown). NiSi 2 silicide films 24, 25, 35, and 36 are formed in the drain regions 19 and 30 and the gate electrodes 21 and 32, and then the unreacted Ni film is removed.

次に、図2(b)のように図2(a)の構造体の表面の全体に、熱CVD法によって膜厚60nmの引張応力を有するシリコン窒化膜(第1の応力制御膜)26を形成する。なお、第1の応力制御膜26は、SiHCl+SiH+Si+Siガス(流量5〜50sccm)、NHガス(流量500〜10000sccm)およびN+Arガス(流量500〜10000sccm)を供給し、基板温度が500℃〜700℃、圧力が0.1Torr〜400Torrの条件で化学反応させて形成される。この条件で形成された第1の応力制御膜26は、内部応力として1.4GPaの引張応力を有している。なお、内部応力は、以下の方法で測定された。直径200mm、厚さ0.6mmのSi基板の表面に上記形成方法にて膜厚100nmの第2の応力制御膜を形成する。続いて、Si基板の曲がり量(曲率半径)がニュートン環を用いた測定法により測定され、内部応力は、Si基板の縦弾性係数、Si基板の膜厚、Si基板のポアソン比、Si基板の曲率半径および第2の応力制御膜の膜厚の関係から算出される。 Next, as shown in FIG. 2B, a silicon nitride film (first stress control film) 26 having a tensile stress of 60 nm thickness is formed on the entire surface of the structure shown in FIG. Form. The first stress control film 26 is formed of SiH 2 Cl 2 + SiH 4 + Si 2 H 4 + Si 2 H 6 gas (flow rate 5 to 50 sccm), NH 3 gas (flow rate 500 to 10,000 sccm), and N 2 + Ar gas (flow rate 500). 10000 sccm), a chemical reaction is performed under conditions of a substrate temperature of 500 ° C. to 700 ° C. and a pressure of 0.1 Torr to 400 Torr. The first stress control film 26 formed under these conditions has a tensile stress of 1.4 GPa as an internal stress. The internal stress was measured by the following method. A second stress control film having a thickness of 100 nm is formed on the surface of a Si substrate having a diameter of 200 mm and a thickness of 0.6 mm by the above-described formation method. Subsequently, the amount of curvature (curvature radius) of the Si substrate is measured by a measurement method using a Newton ring, and the internal stress is the longitudinal elastic modulus of the Si substrate, the thickness of the Si substrate, the Poisson's ratio of the Si substrate, It is calculated from the relationship between the radius of curvature and the thickness of the second stress control film.

次に、プラズマCVD法によりエッチングストッパー膜となるシリコン酸化膜41が形成される。なお、シリコン酸化膜41は、基板温度が400℃、SiH+Oガスを化学反応させて形成される。 Next, a silicon oxide film 41 serving as an etching stopper film is formed by plasma CVD. The silicon oxide film 41 is formed by chemically reacting SiH 4 + O 2 gas with a substrate temperature of 400 ° C.

図2(c)のように、シリコン酸化膜41上にレジスト膜40が塗布され、第2の領域13pに開口部が形成される。続いて、反応性イオンエッチング(RIE)法によって第1の応力制御膜26を露出させる。なお、RIE法にはC+Ar+Oガスを用いた。続いて、RIE法によってSi基板11の表面、ゲート電極32およびゲート酸化膜31の側壁を露出させる。ここで、ゲート電極32は第2のゲート積層体34からゲート電極側壁絶縁膜33が取り除かれた状態を示す。なお、RIE法にはCHF+Ar+Oガスを用いた。 As shown in FIG. 2C, a resist film 40 is applied on the silicon oxide film 41, and an opening is formed in the second region 13p. Subsequently, the first stress control film 26 is exposed by reactive ion etching (RIE). Note that C 4 F 8 + Ar + O 2 gas was used for the RIE method. Subsequently, the surface of the Si substrate 11, the gate electrode 32, and the side walls of the gate oxide film 31 are exposed by RIE. Here, the gate electrode 32 shows a state where the gate electrode sidewall insulating film 33 is removed from the second gate stacked body 34. Note that CHF 3 + Ar + O 2 gas was used for the RIE method.

次に、図2(c)のレジスト膜40を除去する。続いて、図2(d)のように、第2の領域13pのSi基板11の表面、ゲート電極32およびゲート酸化膜31の側壁と、第1の領域13nのシリコン酸化膜41を覆うように、プラズマCVDによって膜厚80nmの圧縮応力を有するシリコン窒化膜(第2の応力制御膜)38を形成する。なお、第2の応力制御膜38は、SiHガス(流量100〜1000sccm)、NHガス(流量500〜10000sccm)およびN+Arガス(流量500〜10000sccm)を供給し、基板温度が400℃〜700℃、圧力が0.1Torr〜400Torr、RFパワーが100W〜1000Wの条件で化学反応させて形成される。この条件で形成された第2の応力制御膜38は、内部応力として1.4GPaの圧縮応力を有している。 Next, the resist film 40 in FIG. 2C is removed. Subsequently, as shown in FIG. 2D, the surface of the Si substrate 11 in the second region 13p, the side walls of the gate electrode 32 and the gate oxide film 31, and the silicon oxide film 41 in the first region 13n are covered. Then, a silicon nitride film (second stress control film) 38 having a compressive stress with a film thickness of 80 nm is formed by plasma CVD. The second stress control film 38 supplies SiH 4 gas (flow rate 100 to 1000 sccm), NH 3 gas (flow rate 500 to 10,000 sccm), and N 2 + Ar gas (flow rate 500 to 10,000 sccm), and the substrate temperature is 400 ° C. It is formed by chemical reaction under conditions of ˜700 ° C., pressure of 0.1 Torr to 400 Torr, and RF power of 100 W to 1000 W. The second stress control film 38 formed under these conditions has a compressive stress of 1.4 GPa as an internal stress.

図2(e)のように、第2の応力制御膜38上にレジスト膜50が形成され、第1の領域13nに開口部が形成される。続いて、RIE法によってシリコン酸化膜41を露出させる。なお、RIE法にはCHF+Ar+Oガスを用いた。 As shown in FIG. 2E, a resist film 50 is formed on the second stress control film 38, and an opening is formed in the first region 13n. Subsequently, the silicon oxide film 41 is exposed by RIE. Note that CHF 3 + Ar + O 2 gas was used for the RIE method.

次に、図2(e)の構造体からレジスト膜50を除去したのち、図2(f)のように、シリコン酸化膜からなる膜厚600nmの層間絶縁膜17を形成し、続いて、その表面が化学的機械的研磨(CMP)法により平坦化される。   Next, after removing the resist film 50 from the structure shown in FIG. 2E, an interlayer insulating film 17 having a thickness of 600 nm made of a silicon oxide film is formed as shown in FIG. The surface is planarized by a chemical mechanical polishing (CMP) method.

更に、図1に示すCMOSトランジスタにおけるコンタクトの製造フローの概略図を図3(a)乃至(d)を用いて説明する。   Further, a schematic diagram of a contact manufacturing flow in the CMOS transistor shown in FIG. 1 will be described with reference to FIGS.

図3(a)のように、層間絶縁膜17の表面にレジスト膜43が形成され、第1の領域13nおよび第2の領域13pにそれぞれ開口部44−1、44−2が形成される。続いて、図3(b)のように、コンタクトホール16−1、16−2の形成は、まず、RIE法によって第1の領域13nのシリコン酸化膜41と第2の応力制御膜38まで貫通させる。なお、RIE法にはCF+Hガスを用いた。次いで、RIE法によって第1の領域13nのシリコン酸化膜41が取り除かれ、第1の応力制御膜26が露出する。なお、RIE法にはC+Ar+0ガスを用いた。次いで、図3(c)のように、RIE法によってシリサイド膜24、35まで貫通させて完成する。なお、第1の応力制御膜26と第2の応力制御膜38はエッチングレートが異なっているので、シリサイド膜24、35が受けるダメージを最小限にするガスを選択することが望ましい。ここでは、RIE法にはCHFガスを用いた。 As shown in FIG. 3A, a resist film 43 is formed on the surface of the interlayer insulating film 17, and openings 44-1 and 44-2 are formed in the first region 13n and the second region 13p, respectively. Subsequently, as shown in FIG. 3B, the contact holes 16-1 and 16-2 are first formed through the silicon oxide film 41 and the second stress control film 38 in the first region 13n by the RIE method. Let Note that CF 4 + H 2 gas was used for the RIE method. Next, the silicon oxide film 41 in the first region 13n is removed by RIE, and the first stress control film 26 is exposed. Note that the RIE method using a C 4 F 8 + Ar + 0 2 gas. Next, as shown in FIG. 3C, the silicide films 24 and 35 are penetrated by the RIE method to complete. Since the first stress control film 26 and the second stress control film 38 have different etching rates, it is desirable to select a gas that minimizes damage to the silicide films 24 and 35. Here, CHF 3 gas was used for the RIE method.

最後に、レジスト膜43を除去したのち、コンタクトホール16−1、16−2をTi膜/TiN膜の堆積層からなるバリアメタル膜(図示せず)とCu(銅)、W(タングステン)、Al(アルミニウム)等の導電材料で充填することで、図3(d)に示すコンタクト42が形成される。   Finally, after removing the resist film 43, the contact holes 16-1 and 16-2 are formed by forming a barrier metal film (not shown) made of a deposited layer of Ti film / TiN film, Cu (copper), W (tungsten), The contact 42 shown in FIG. 3D is formed by filling with a conductive material such as Al (aluminum).

以上のようにしてCMOSトランジスタの基本的な構造である第1具体例が完成する。   As described above, the first specific example which is the basic structure of the CMOS transistor is completed.

このように製作されたpチャネル型トランジスタは、第2のゲート積層体34からゲート電極側壁絶縁膜33が取り除かれ、かつSi基板11の表面およびゲート電極側壁絶縁膜33が取り除かれた第2のゲート積層体34の表面に圧縮応力を有する第2の応力制御膜38が形成されたことによって、チャネル部分のひずみ量が増大し、pチャネル型トランジスタのオン電流が増大する。プロセスシュミレータ(商品名:TSUPREM4、Synopsys社製)を用いて確認された。シミュレーションの条件は、以下のようになる。本発明は、引張応力を有する第1の応力制御膜26と圧縮応力を有する第2の応力制御膜38のそれぞれ内部応力の大きさは1.4GPa、第1の応力制御膜26の膜厚は60nm、第2の応力制御膜38の膜厚は80nmとし、また、ゲート長を50nm、ゲート高さ(Si基板11の表面からゲート電極21、32のシリサイド膜21、36の表面までの高さ)を100nmとし、更に、nチャネル型トランジスタのゲート電極側壁絶縁膜22の幅を80nm、pチャネル型トランジスタのゲート電極側壁絶縁膜33の幅を零とした。本発明と比較するために従来技術に係るpチャネル型トランジスタのゲート電極側壁絶縁膜33の幅が80nmになる場合(pチャネル型トランジスタの他のパラメータおよびnチャネル型トランジスタのパラメータは本発明と同じ)も条件に加えた。   In the p-channel transistor fabricated in this way, the second gate stack 34 is removed from the gate electrode side wall insulating film 33, and the surface of the Si substrate 11 and the gate electrode side wall insulating film 33 are removed. By forming the second stress control film 38 having a compressive stress on the surface of the gate stacked body 34, the amount of strain in the channel portion increases, and the on-current of the p-channel transistor increases. It was confirmed using a process simulator (trade name: TSUPREM4, manufactured by Synopsys). The simulation conditions are as follows. In the present invention, the internal stress of the first stress control film 26 having tensile stress and the second stress control film 38 having compressive stress are 1.4 GPa, and the thickness of the first stress control film 26 is The thickness of the second stress control film 38 is 60 nm, the gate length is 50 nm, and the gate height (the height from the surface of the Si substrate 11 to the surfaces of the silicide films 21 and 36 of the gate electrodes 21 and 32). ) Is 100 nm, the width of the gate electrode sidewall insulating film 22 of the n-channel transistor is 80 nm, and the width of the gate electrode sidewall insulating film 33 of the p-channel transistor is zero. For comparison with the present invention, when the width of the gate electrode sidewall insulating film 33 of the p-channel transistor according to the prior art becomes 80 nm (other parameters of the p-channel transistor and parameters of the n-channel transistor are the same as those of the present invention) ) Was also added to the conditions.

図4は、pチャネル型トランジスタにおけるゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係を示す図である。ここで、チャネル部分のひずみ量は、チャネル部分に応力が加わる前のチャネル長(x)に対するチャネル部分に応力が加わった時のチャネル長の変化量(Δx)の比(Δx/x)で表される。従来技術では、−1.70×10−3であったのに対して、本発明は、−3.42×10−3と、圧縮方向のひずみ量が約2倍になったことが分かる。圧縮方向のひずみ量の増加によって、pチャネル型トランジスタのオン電流は約20%増大させることができる。 FIG. 4 is a diagram showing the relationship between the width of the gate electrode sidewall insulating film and the amount of strain in the channel portion in the p-channel transistor. Here, the strain amount of the channel portion is expressed by the ratio (Δx / x) of the change amount (Δx) of the channel length when the stress is applied to the channel portion with respect to the channel length (x) before the stress is applied to the channel portion. Is done. In the prior art, it was -1.70 × 10 −3 , whereas in the present invention, −3.42 × 10 −3 , it can be seen that the amount of strain in the compression direction is approximately doubled. By increasing the amount of strain in the compression direction, the on-current of the p-channel transistor can be increased by about 20%.

第1具体例の変形例に係る半導体装置を説明する。   A semiconductor device according to a modification of the first specific example will be described.

図5は第1具体例の変形例に係るCMOSトランジスタの基本的な構造を示している。図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。   FIG. 5 shows a basic structure of a CMOS transistor according to a modification of the first specific example. In the figure, portions corresponding to the portions described above are denoted by the same reference numerals, and description thereof is omitted.

第1具体例の変形例に係る半導体装置は、pチャネル型トランジスタのゲート電極側壁絶縁膜33の幅方向と高さ方向の寸法が小さくなって形成されている。他の部分については、図2乃至3に示す第1具体例の半導体装置と同様に構成されている。第1具体例の変形例に係る半導体装置の製造方法は、図2(c)で説明した第2の領域13pのSi基板11の表面および第2のゲート積層体34を覆う第1の応力制御膜26とゲート電極側壁絶縁膜33とをRIE法によって取り除く工程において、ゲート電極側壁絶縁膜33が全て取り除かれるのではなく、ゲート電極側壁絶縁膜33は後退する(一部が残る)ように形成される。そして、図2(d)で説明した第2の応力制御膜38の形成は、Si基板11の表面、ゲート積層体34(一部が残ったゲート電極側壁絶縁膜を含む)および第1の領域13nのシリコン酸化膜41の上に行われる。その他の工程は、先の図2(a)、(b)、(e)、(f)と図3の説明と同じになる。   The semiconductor device according to a modification of the first specific example is formed by reducing the width and height dimensions of the gate electrode sidewall insulating film 33 of the p-channel transistor. Other parts are configured in the same manner as the semiconductor device of the first specific example shown in FIGS. The semiconductor device manufacturing method according to the modification of the first specific example is the first stress control that covers the surface of the Si substrate 11 and the second gate stacked body 34 in the second region 13p described with reference to FIG. In the step of removing the film 26 and the gate electrode side wall insulating film 33 by the RIE method, the gate electrode side wall insulating film 33 is not completely removed but the gate electrode side wall insulating film 33 is formed so as to recede (part remains). Is done. The formation of the second stress control film 38 described with reference to FIG. 2D includes the surface of the Si substrate 11, the gate stacked body 34 (including the gate electrode sidewall insulating film that remains partially), and the first region. This is performed on the 13n silicon oxide film 41. The other steps are the same as those in FIGS. 2A, 2B, 2E, and 3F and FIG.

図6はpチャネル型トランジスタのゲート電極側壁絶縁膜33の幅とチャネル部分のひずみ量の関係を示している。図4と同様に、プロセスシュミレータを用いてチャネル部分のひずみ量が確認された。pチャネル型トランジスタのゲート電極側壁絶縁膜33の幅を従来技術の80nm、第1具体例の変形例としてpチャネル型トランジスタのゲート電極側壁絶縁膜22の幅が60nm、40nm、30nmおよび20nmの場合についてシミュレーションが行われた。シミュレーションの条件は、pチャネル型トランジスタのゲート電極側壁絶縁膜22の幅が異なる以外は、先に説明した条件と同じである。なお、pチャネル型トランジスタのゲート電極側壁絶縁膜33の高さについては、幅と同じ比率で小さくした。   FIG. 6 shows the relationship between the width of the gate electrode sidewall insulating film 33 of the p-channel transistor and the amount of strain in the channel portion. Similar to FIG. 4, the amount of strain in the channel portion was confirmed using a process simulator. When the width of the gate electrode sidewall insulating film 33 of the p-channel transistor is 80 nm of the prior art, and the width of the gate electrode sidewall insulating film 22 of the p-channel transistor is 60 nm, 40 nm, 30 nm and 20 nm as a modification of the first specific example. A simulation was performed. The simulation conditions are the same as those described above, except that the width of the gate electrode sidewall insulating film 22 of the p-channel transistor is different. Note that the height of the gate electrode sidewall insulating film 33 of the p-channel transistor was reduced at the same ratio as the width.

チャネル部分のひずみ量は、従来技術では、−1.70×10−3であったのに対して、第1具体例の変形例では、pチャネル型トランジスタのゲート電極側壁絶縁膜33の幅が60nm、40nm、30nmおよび20nmの場合、それぞれ−2.10×10−3、−2.54×10−3、−2.80×10−3、−3.00×10−3と、ゲート電極側壁絶縁膜33の幅の減少に比例してチャネル部分の圧縮方向のひずみ量が大きくなったことが分かる。すなわち、ゲート電極側壁絶縁膜33の幅を変えることで、pチャネル型トランジスタのオン電流の増加量を調整することができる。 The distortion amount of the channel portion is −1.70 × 10 −3 in the prior art, whereas in the modification of the first specific example, the width of the gate electrode sidewall insulating film 33 of the p-channel transistor is In the case of 60 nm, 40 nm, 30 nm, and 20 nm, the gate electrode is −2.10 × 10 −3 , −2.54 × 10 −3 , −2.80 × 10 −3 , −3.00 × 10 −3 , respectively. It can be seen that the amount of strain in the compression direction of the channel portion increases in proportion to the decrease in the width of the sidewall insulating film 33. That is, by increasing the width of the gate electrode sidewall insulating film 33, the amount of increase in on-current of the p-channel transistor can be adjusted.

図7は本発明の実施形態の第2具体例に係るCMOSトランジスタの基本的な構造を示している。   FIG. 7 shows a basic structure of a CMOS transistor according to a second specific example of the embodiment of the present invention.

その図7に示すCMOSトランジスタの製造フローの概略図を図8(a)乃至(f)を用いて説明する。   A schematic diagram of the manufacturing flow of the CMOS transistor shown in FIG. 7 will be described with reference to FIGS.

まず、図8(a)のようにSi基板111にSTI法により素子分離領域112を形成し、nチャネル型トランジスタが形成される第1の領域113nにp型の導電型の不純物を注入しp型ウェル領域118を形成し、pチャネル型トランジスタが形成される第2の領域113pにn型の導電型の不純物を注入しn型ウェル領域128を形成する。   First, as shown in FIG. 8A, an element isolation region 112 is formed on an Si substrate 111 by an STI method, and p-type conductivity type impurities are implanted into a first region 113n where an n-channel transistor is formed. An n-type well region 118 is formed, and an n-type conductivity region is implanted into the second region 113p where the p-channel transistor is formed, thereby forming an n-type well region 128.

次に、Si基板111の表面にゲート酸化膜およびポリシリコン膜を成膜したのちエッチング法によりゲート酸化膜120、131、およびポリシリコン膜からなるゲート電極121、132を形成し、ゲート電極121、132をマスクとして第1の領域113nにはn型の導電型の不純物を注入し、第2の領域113pにはp型の導電型の不純物を注入し、それぞれ浅い接合領域119a、130aを形成する。続いて、Si基板111の表面とゲート電極121、132を覆うようにシリコン酸化膜からなる絶縁膜を形成し、絶縁膜をエッチバックしてゲート電極側壁絶縁膜122、133を形成する。このようにして、第1のゲート積層体123、第2のゲート積層体134が形成される。   Next, after forming a gate oxide film and a polysilicon film on the surface of the Si substrate 111, gate oxide films 120 and 131 and gate electrodes 121 and 132 made of a polysilicon film are formed by an etching method. Using the mask 132 as a mask, an n-type conductivity type impurity is implanted into the first region 113n, and a p-type conductivity type impurity is implanted into the second region 113p to form shallow junction regions 119a and 130a, respectively. . Subsequently, an insulating film made of a silicon oxide film is formed so as to cover the surface of the Si substrate 111 and the gate electrodes 121 and 132, and the insulating film is etched back to form gate electrode sidewall insulating films 122 and 133. In this way, the first gate stacked body 123 and the second gate stacked body 134 are formed.

次に、ゲート電極121、132およびゲート電極側壁絶縁膜122、133をマスクとして第1の領域113nにはn型の導電型の不純物を注入し、第2の領域113pにはp型の導電型の不純物を注入し、深い接合領域119b、130bを形成する。続いて、熱処理により注入された不純物を活性化させてソース・ドレイン領域119、130が形成される。   Next, using the gate electrodes 121 and 132 and the gate electrode sidewall insulating films 122 and 133 as masks, an n-type conductivity impurity is implanted into the first region 113n, and a p-type conductivity type is implanted into the second region 113p. Then, deep junction regions 119b and 130b are formed. Subsequently, the impurity implanted by the heat treatment is activated to form source / drain regions 119 and 130.

次に、Si基板111の表面と第1のゲート積層体123、第2のゲート積層体134を覆うNi膜を形成し(図示せず)、続いて、450℃程度の加熱処理によって、ソース・ドレイン領域119、130およびゲート電極121、132にNiSiのシリサイド膜124、125、135、136を形成し、続いて、未反応のNi膜が除去される。 Next, a Ni film is formed to cover the surface of the Si substrate 111, the first gate stacked body 123, and the second gate stacked body 134 (not shown), and then heat treatment at about 450 ° C. NiSi 2 silicide films 124, 125, 135, 136 are formed in the drain regions 119, 130 and the gate electrodes 121, 132, and then the unreacted Ni film is removed.

次に、図8(b)のように図8(a)の構造体の表面の全体に、プラズマCVD法によって膜厚80nmの圧縮応力を有するシリコン窒化膜(第2の応力制御膜)138を形成する。なお、第2の応力制御膜138は、SiHガス(流量100〜1000sccm)、NHガス(流量500〜10000sccm)およびN+Arガス(流量500〜10000sccm)を供給し、基板温度が400℃〜700℃、圧力が0.1Torr〜400Torr、RFパワーが100W〜1000Wの条件で化学反応させて形成される。この条件で形成された第2の応力制御膜138は、内部応力として1.4GPaの圧縮応力を有している。なお、内部応力は、以下の方法で測定された。直径200mm、厚さ0.6mmのSi基板の表面に上記形成方法にて膜厚100nmの第2の応力制御膜を形成する。続いて、Si基板の曲がり量(曲率半径)がニュートン環を用いた測定法により測定され、内部応力は、Si基板の縦弾性係数、Si基板の膜厚、Si基板のポアソン比、Si基板の曲率半径および第2の応力制御膜の膜厚の関係から算出される。 Next, as shown in FIG. 8B, a silicon nitride film (second stress control film) 138 having a compressive stress of 80 nm thickness is formed on the entire surface of the structure of FIG. 8A by plasma CVD. Form. The second stress control film 138 supplies SiH 4 gas (flow rate 100 to 1000 sccm), NH 3 gas (flow rate 500 to 10,000 sccm), and N 2 + Ar gas (flow rate 500 to 10,000 sccm), and the substrate temperature is 400 ° C. It is formed by chemical reaction under conditions of ˜700 ° C., pressure of 0.1 Torr to 400 Torr, and RF power of 100 W to 1000 W. The second stress control film 138 formed under these conditions has a compressive stress of 1.4 GPa as an internal stress. The internal stress was measured by the following method. A second stress control film having a thickness of 100 nm is formed on the surface of a Si substrate having a diameter of 200 mm and a thickness of 0.6 mm by the above-described formation method. Subsequently, the amount of curvature (curvature radius) of the Si substrate is measured by a measurement method using a Newton ring, and the internal stress is the longitudinal elastic modulus of the Si substrate, the thickness of the Si substrate, the Poisson's ratio of the Si substrate, It is calculated from the relationship between the radius of curvature and the thickness of the second stress control film.

次に、プラズマCVD法によりエッチングストッパー膜となるシリコン酸化膜141が形成される。なお、シリコン酸化膜141は、基板温度が400℃、SiH+Oガスを化学反応させて形成される。 Next, a silicon oxide film 141 serving as an etching stopper film is formed by plasma CVD. Note that the silicon oxide film 141 is formed by a chemical reaction of SiH 4 + O 2 gas with a substrate temperature of 400 ° C.

図8(c)のように、第2の応力制御膜138上にレジスト膜140が塗布され、第1の領域113nに開口部が形成される。続いて、RIE法によって第2の応力制御膜138を露出させる。なお、RIE法にはC+Ar+Oガスを用いた。続いて、RIE法によってSi基板111の表面、ゲート電極121およびゲート酸化膜120の側壁を露出させる。ここで、ゲート電極121は第1のゲート積層体123からゲート電極側壁絶縁膜122が取り除かれた状態を示す。なお、RIE法にはCHF+Ar+Oガスを用いた。 As shown in FIG. 8C, a resist film 140 is applied on the second stress control film 138, and an opening is formed in the first region 113n. Subsequently, the second stress control film 138 is exposed by the RIE method. Note that C 4 F 8 + Ar + O 2 gas was used for the RIE method. Subsequently, the surface of the Si substrate 111, the gate electrode 121, and the side walls of the gate oxide film 120 are exposed by RIE. Here, the gate electrode 121 shows a state in which the gate electrode sidewall insulating film 122 is removed from the first gate stacked body 123. Note that CHF 3 + Ar + O 2 gas was used for the RIE method.

次に、図8(c)のレジスト膜140を除去する。続いて、図8(d)のように、第1の領域113nのSi基板111の表面、ゲート電極121およびゲート酸化膜120の側壁と、第2の領域113pのシリコン酸化膜141を覆うように、熱CVD法によって膜厚60nmの引張応力を有するシリコン窒化膜(第1の応力制御膜)126を形成する。なお、第1の応力制御膜126は、SiH2Cl2+SiH4+Si24+Si26ガス(流量5〜50sccm)、NH3ガス(流量500〜10000sccm)およびN2+Arガス(流量500〜10000sccm)を供給し、基板温度が500℃〜700℃、圧力が0.1Torr〜400Torrの条件で化学反応させて形成される。この条件で形成された第1の応力制御膜126は、内部応力として1.4GPaの引張応力を有している。 Next, the resist film 140 in FIG. 8C is removed. Subsequently, as shown in FIG. 8D, the surface of the Si substrate 111 in the first region 113n, the side walls of the gate electrode 121 and the gate oxide film 120, and the silicon oxide film 141 in the second region 113p are covered. Then, a silicon nitride film (first stress control film) 126 having a tensile stress of 60 nm is formed by thermal CVD. The first stress control film 126 is formed of SiH 2 Cl 2 + SiH 4 + Si 2 H 4 + Si 2 H 6 gas (flow rate 5 to 50 sccm), NH 3 gas (flow rate 500 to 10,000 sccm), and N 2 + Ar gas (flow rate 500). 10000 sccm), a chemical reaction is performed under conditions of a substrate temperature of 500 ° C. to 700 ° C. and a pressure of 0.1 Torr to 400 Torr. The first stress control film 126 formed under these conditions has a tensile stress of 1.4 GPa as an internal stress.

図8(e)のように、第1の応力制御膜126上にレジスト膜150が形成され、第2の領域113pに開口部が形成される。続いて、RIE法によってシリコン酸化膜141を露出させる。なお、RIE法にはCHF+Ar+Oガスを用いた。 As shown in FIG. 8E, a resist film 150 is formed on the first stress control film 126, and an opening is formed in the second region 113p. Subsequently, the silicon oxide film 141 is exposed by the RIE method. Note that CHF 3 + Ar + O 2 gas was used for the RIE method.

次に、図8(e)の構造体からレジスト膜150を除去したのち、図8(f)のように、シリコン酸化膜からなる膜厚600nmの層間絶縁膜117を形成し、続いて、その表面を化学的機械的研磨(CMP)法により平坦化される。   Next, after removing the resist film 150 from the structure of FIG. 8E, an interlayer insulating film 117 made of a silicon oxide film having a thickness of 600 nm is formed as shown in FIG. The surface is planarized by a chemical mechanical polishing (CMP) method.

更に、図7に示すCMOSトランジスタにおけるコンタクト製造フローの概略図を図9(a)乃至(d)を用いて説明する。   Further, a schematic diagram of a contact manufacturing flow in the CMOS transistor shown in FIG. 7 will be described with reference to FIGS.

図9(a)のように、層間絶縁膜117の表面にレジスト膜143を形成され、第1の領域113nおよび第2の領域113pにそれぞれ開口部144−1、144−2が形成される。続いて、図9(b)のように、コンタクトホール116−1、116−2の形成は、まず、RIE法によって第1の応力制御膜126と第2の領域113pのシリコン酸化膜141まで貫通させる。なお、RIE法にはCF+Hガスを用いた。次いで、RIE法によって第1の領域113pのシリコン酸化膜141を取り除かれ、第2の応力制御膜138が露出する。なお、RIE法にはC+Ar+0ガスを用いた。次いで、図9(c)のように、RIE法によってシリサイド膜124、135まで貫通させて完成する。なお、第1の応力制御膜126と第2の応力制御膜138はエッチングレートが異なっているので、シリサイド膜124、135が受けるダメージを最小限にするガスを選択することが望ましい。ここでは、RIE法にはCHFガスを用いた。 As shown in FIG. 9A, a resist film 143 is formed on the surface of the interlayer insulating film 117, and openings 144-1 and 144-2 are formed in the first region 113n and the second region 113p, respectively. Subsequently, as shown in FIG. 9B, the contact holes 116-1 and 116-2 are formed first through the first stress control film 126 and the silicon oxide film 141 in the second region 113p by the RIE method. Let Note that CF 4 + H 2 gas was used for the RIE method. Next, the silicon oxide film 141 in the first region 113p is removed by the RIE method, and the second stress control film 138 is exposed. Note that the RIE method using a C 4 F 8 + Ar + 0 2 gas. Next, as shown in FIG. 9C, the silicide films 124 and 135 are penetrated by the RIE method to complete. Since the first stress control film 126 and the second stress control film 138 have different etching rates, it is desirable to select a gas that minimizes damage to the silicide films 124 and 135. Here, CHF 3 gas was used for the RIE method.

最後に、レジスト膜143を除去したのち、コンタクトホール116−1、116−2をTi膜/TiN膜の堆積層からなるバリアメタル膜(図示せず)とCu(銅)、W(タングステン)、Al(アルミニウム)等の導電材料で充填することで、図9(d)に示すコンタクト142が形成される。   Finally, after removing the resist film 143, the contact holes 116-1 and 116-2 are formed with a barrier metal film (not shown) made of a deposited layer of Ti film / TiN film, Cu (copper), W (tungsten), The contact 142 shown in FIG. 9D is formed by filling with a conductive material such as Al (aluminum).

以上のようにしてCMOSトランジスタの基本的な構造である第2具体例が完成する。   As described above, the second specific example which is the basic structure of the CMOS transistor is completed.

このように製作されたnチャネル型トランジスタは、第1のゲート積層体123からゲート電極側壁絶縁膜122が取り除かれ、かつSi基板111の表面およびゲート電極側壁絶縁膜122が取り除かれた第1のゲート積層体123の表面に引張応力を有する第1の応力制御膜126が形成されたことによって、チャネル部分のひずみ量が増大し、nチャネル型トランジスタのオン電流が増大する。前記実施例1と同様にプロセスシュミレータを用いて確認された。シミュレーションの条件は、以下のようになる。本発明は、引張応力を有する第1の応力制御膜126と圧縮応力を有する第2の応力制御膜138とのそれぞれ内部応力の大きさは1.4GPa、第1の応力制御膜126の膜厚は60nm、第2の応力制御膜138の膜厚は80nmとし、また、ゲート長を50nm、ゲート高さ(Si基板111の表面からゲート電極121、132のシリサイド膜121、136の表面までの高さ)を100nmとし、更に、pチャネル型トランジスタのゲート電極側壁絶縁膜122の幅を80nm、nチャネル型トランジスタのゲート電極側壁絶縁膜122の幅を零とした。本発明と比較するために従来技術に係るnチャネル型トランジスタのゲート電極側壁絶縁膜122の幅が80nmになる場合(nチャネル型トランジスタの他のパラメータおよびpチャネル型トランジスタのパラメータは本発明と同じ)も条件に加えた。   In the n-channel transistor manufactured as described above, the first gate laminate 123 is removed from the gate electrode sidewall insulating film 122, and the surface of the Si substrate 111 and the gate electrode sidewall insulating film 122 are removed. Since the first stress control film 126 having tensile stress is formed on the surface of the gate stacked body 123, the amount of strain in the channel portion increases and the on-current of the n-channel transistor increases. It was confirmed using a process simulator as in Example 1. The simulation conditions are as follows. In the present invention, the first stress control film 126 having a tensile stress and the second stress control film 138 having a compressive stress each have an internal stress of 1.4 GPa and the thickness of the first stress control film 126. The thickness of the second stress control film 138 is 80 nm, the gate length is 50 nm, and the gate height (the height from the surface of the Si substrate 111 to the surfaces of the silicide films 121 and 136 of the gate electrodes 121 and 132). The width of the gate electrode sidewall insulating film 122 of the p-channel transistor is 80 nm, and the width of the gate electrode sidewall insulating film 122 of the n-channel transistor is zero. For comparison with the present invention, when the width of the gate electrode sidewall insulating film 122 of the n-channel transistor according to the prior art is 80 nm (other parameters of the n-channel transistor and parameters of the p-channel transistor are the same as those of the present invention) ) Was also added to the conditions.

図10は、nチャネル型トランジスタにおけるゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係を示す図である。チャネル部分に応力が加わる前のチャネル長(x)に対するチャネル部分に応力が加わった時のチャネル長の変化量(Δx)の比(Δx/x)で表される。従来技術では、1.68×10−3であったのに対して、本発明は、3.42×10−3と、引張方向のひずみ量が約2倍になったことが分かる。引張方向のひずみ量の増加によって、nチャネル型トランジスタのオン電流は約20%増大させることができる。 FIG. 10 is a diagram showing the relationship between the width of the gate electrode sidewall insulating film and the amount of strain in the channel portion in an n-channel transistor. It is represented by a ratio (Δx / x) of the change amount (Δx) of the channel length when the stress is applied to the channel portion with respect to the channel length (x) before the stress is applied to the channel portion. In the prior art, it was 1.68 × 10 −3 , whereas in the present invention, it is 3.42 × 10 −3 , indicating that the strain amount in the tensile direction is approximately doubled. By increasing the amount of strain in the tensile direction, the on-current of the n-channel transistor can be increased by about 20%.

第2具体例の変形例に係る半導体装置を説明する。   A semiconductor device according to a modification of the second specific example will be described.

図11は第2具体例の変形例に係るCMOSトランジスタの基本的な構造を示している。図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。   FIG. 11 shows a basic structure of a CMOS transistor according to a modification of the second specific example. In the figure, portions corresponding to the portions described above are denoted by the same reference numerals, and description thereof is omitted.

第2具体例の変形例に係る半導体装置は、nチャネル型トランジスタのゲート電極側壁絶縁膜122の幅方向と高さ方向の寸法が小さくなって形成されている。他の部分については、図8乃至9に示す第2具体例の半導体装置と同様に構成されている。第2具体例の変形例に係る半導体装置の製造方法は、図8(c)で説明した第1の領域113nのSi基板111の表面および第1のゲート積層体123を覆う第1の応力制御膜126とゲート電極側壁絶縁膜122とをRIE法によって取り除く工程において、ゲート電極側壁絶縁膜122が全て取り除かれるのではなく、ゲート電極側壁絶縁膜122は一部が残るように形成される。そして、図8(d)で説明した第1の応力制御膜126の形成は、Si基板111の表面、ゲート積層体123(一部が残ったゲート電極側壁絶縁膜を含む)および第2の領域113pのシリコン酸化膜141の上に行われる。その他の工程は、先の図8(a)、(b)、(e)、(f)と図9の説明と同じになる。   The semiconductor device according to a modification of the second specific example is formed by reducing the width and height dimensions of the gate electrode sidewall insulating film 122 of the n-channel transistor. Other parts are configured in the same manner as the semiconductor device of the second specific example shown in FIGS. The manufacturing method of the semiconductor device according to the modified example of the second specific example includes the first stress control that covers the surface of the Si substrate 111 in the first region 113n and the first gate stacked body 123 described with reference to FIG. In the step of removing the film 126 and the gate electrode side wall insulating film 122 by the RIE method, the gate electrode side wall insulating film 122 is not completely removed, but the gate electrode side wall insulating film 122 is formed so as to partially remain. Then, the formation of the first stress control film 126 described with reference to FIG. 8D includes the surface of the Si substrate 111, the gate stacked body 123 (including the gate electrode side wall insulating film with a part remaining), and the second region. It is performed on the silicon oxide film 141 of 113p. The other steps are the same as those in FIGS. 8A, 8B, 8E, and 8F and FIG.

図12はnチャネル型トランジスタのゲート電極側壁絶縁膜122の幅とチャネル部分のひずみ量の関係を示している。図10と同様に、プロセスシュミレータを用いてチャネル部分のひずみ量が確認された。nチャネル型トランジスタのゲート電極側壁絶縁膜122の幅を従来技術の80nm、第2具体例の変形例としてnチャネル型トランジスタのゲート電極側壁絶縁膜122の幅が60nm、40nm、30nmおよび20nmの場合についてシミュレーションが行われた。シミュレーションの条件は、nチャネル型トランジスタのゲート電極側壁絶縁膜122の幅が異なる以外は、先に説明した条件と同じである。なお、nチャネル型トランジスタのゲート電極側壁絶縁膜122の高さについては、幅と同じ比率で小さくした。   FIG. 12 shows the relationship between the width of the gate electrode sidewall insulating film 122 of the n-channel transistor and the strain amount of the channel portion. Similar to FIG. 10, the amount of strain in the channel portion was confirmed using a process simulator. In the case where the width of the gate electrode sidewall insulating film 122 of the n-channel transistor is 80 nm of the prior art and the width of the gate electrode sidewall insulating film 122 of the n-channel transistor is 60 nm, 40 nm, 30 nm and 20 nm as a modification of the second specific example. A simulation was performed. The simulation conditions are the same as those described above, except that the width of the gate electrode sidewall insulating film 122 of the n-channel transistor is different. Note that the height of the gate electrode sidewall insulating film 122 of the n-channel transistor was reduced at the same ratio as the width.

チャネル部分のひずみ量は、従来技術では、1.70×10−3であったのに対して、第2具体例の変形例では、nチャネル型トランジスタのゲート電極側壁絶縁膜122の幅が60nm、40nm、30nmおよび20nmの場合、それぞれ2.00×10−3、2.44×10−3、2.70×10−3、2.94×10−3と、ゲート電極側壁絶縁膜122の幅の減少に比例してチャネル部分の引張方向のひずみ量が大きくなったことが分かる。すなわち、ゲート電極側壁絶縁膜122の幅を変えることで、nチャネル型トランジスタのオン電流の増加量を調整することができる。 The distortion amount of the channel portion is 1.70 × 10 −3 in the conventional technique, whereas in the modification of the second specific example, the width of the gate electrode sidewall insulating film 122 of the n-channel transistor is 60 nm. , 40 nm, 30 nm, and 20 nm, 2.00 × 10 −3 , 2.44 × 10 −3 , 2.70 × 10 −3 , 2.94 × 10 −3 , and gate electrode sidewall insulating film 122, respectively. It can be seen that the amount of strain in the tensile direction of the channel portion increased in proportion to the decrease in width. That is, by increasing the width of the gate electrode sidewall insulating film 122, the amount of increase in on-current of the n-channel transistor can be adjusted.

図13は本発明の実施形態の第3具体例に係るCMOSトランジスタの基本的な構造を示している。   FIG. 13 shows a basic structure of a CMOS transistor according to a third specific example of the embodiment of the present invention.

その図13に示すCMOSトランジスタの製造フローの概略図を図14(a)乃至(f)を用いて説明する。   A schematic diagram of the manufacturing flow of the CMOS transistor shown in FIG. 13 will be described with reference to FIGS.

まず、図14(a)のようにSi基板211にSTI法により素子分離領域212を形成し、nチャネル型トランジスタが形成される第1の領域213nにp型の導電型の不純物を注入しp型ウェル領域218を形成し、pチャネル型トランジスタが形成される第2の領域213pにn型の導電型の不純物を注入しn型ウェル領域228を形成する。   First, as shown in FIG. 14A, an element isolation region 212 is formed on an Si substrate 211 by an STI method, and p-type conductivity type impurities are implanted into a first region 213n where an n-channel transistor is formed. An n-type well region 218 is formed, and an n-type conductivity region is implanted into the second region 213p where the p-channel transistor is formed, thereby forming an n-type well region 228.

次に、Si基板211の表面にゲート酸化膜およびポリシリコン膜を成膜したのちエッチング法によりゲート酸化膜220、231、およびポリシリコン膜からなるゲート電極221、232を形成し、ゲート電極221、232をマスクとして第1の領域213nにはn型の導電型の不純物を注入し、第2の領域213pにはp型の導電型の不純物を注入し、それぞれ浅い接合領域219a、230aを形成する。続いて、Si基板211の表面とゲート電極221、232を覆うようにシリコン酸化膜からなる絶縁膜を形成し、絶縁膜をエッチバックしてゲート電極側壁絶縁膜222、233を形成する。こうのようにして、第1のゲート積層体223、第2のゲート積層体234が形成される。   Next, after forming a gate oxide film and a polysilicon film on the surface of the Si substrate 211, gate oxide films 220 and 231 and gate electrodes 221 and 232 made of a polysilicon film are formed by an etching method. 232 is used as a mask, n-type conductivity type impurities are implanted into the first region 213n, and p-type conductivity type impurities are implanted into the second region 213p to form shallow junction regions 219a and 230a, respectively. . Subsequently, an insulating film made of a silicon oxide film is formed so as to cover the surface of the Si substrate 211 and the gate electrodes 221 and 232, and the insulating film is etched back to form gate electrode side wall insulating films 222 and 233. In this way, the first gate stacked body 223 and the second gate stacked body 234 are formed.

次に、ゲート電極221、232およびゲート電極側壁絶縁膜222、233をマスクとして第1の領域213nにはn型の導電型の不純物を注入し、第2の領域213pにはp型の導電型の不純物を注入し、深い接合領域219b、230bを形成する。続いて、熱処理により注入された不純物を活性化させてソース・ドレイン領域219、230が形成される。   Next, using the gate electrodes 221 and 232 and the gate electrode sidewall insulating films 222 and 233 as masks, an n-type conductivity type impurity is implanted into the first region 213n, and a p-type conductivity type is implanted into the second region 213p. Then, deep junction regions 219b and 230b are formed. Subsequently, the impurity implanted by the heat treatment is activated to form source / drain regions 219 and 230.

次に、Si基板211の表面と第1のゲート積層体223、第2のゲート積層体234を覆うNi膜を形成し(図示せず)、続いて、450℃程度の加熱処理によって、ソース・ドレイン領域219、230およびゲート電極221、232にNiSiのシリサイド膜224、225、235、236を形成し、続いて、未反応のNi膜が除去される。 Next, a Ni film is formed to cover the surface of the Si substrate 211, the first gate stacked body 223, and the second gate stacked body 234 (not shown), and then heat treatment at about 450 ° C. is performed. NiSi 2 silicide films 224, 225, 235, 236 are formed on the drain regions 219, 230 and the gate electrodes 221, 232, and then the unreacted Ni film is removed.

次に、図14(b)のようにRIE法によってSi基板211の表面、ゲート電極221、232およびゲート酸化膜210、231の側壁を露出させる(ゲート電極側壁絶縁膜222、233が取り除かれる)。なお、RIE法にはC+Ar+Oガスを用いた。 Next, as shown in FIG. 14B, the surface of the Si substrate 211, the side walls of the gate electrodes 221 and 232, and the gate oxide films 210 and 231 are exposed by the RIE method (the gate electrode side wall insulating films 222 and 233 are removed). . Note that C 4 F 8 + Ar + O 2 gas was used for the RIE method.

次に、シリコン基板211、ゲート電極221、232およびゲート酸化膜210、231の側壁を覆うように、熱CVD法によって膜厚60nmの引張応力を有するシリコン窒化膜(第1の応力制御膜)226を形成する。なお、第1の応力制御膜226は、SiHCl+SiH+Si+Siガス(流量5〜50sccm)、NHガス(流量500〜10000sccm)およびN+Arガス(流量500〜10000sccm)を供給し、基板温度が500℃〜700℃、圧力が0.1Torr〜400Torrの条件で化学反応させて形成される。この条件で形成された第1の応力制御膜226は、内部応力として1.4GPaの引張応力を有している。なお、内部応力は、以下の方法で測定された。直径200mm、厚さ0.6mmのSi基板の表面に上記形成方法にて膜厚100nmの第2の応力制御膜を形成する。続いて、Si基板の曲がり量(曲率半径)がニュートン環を用いた測定法により測定され、内部応力は、Si基板の縦弾性係数、Si基板の膜厚、Si基板のポアソン比、Si基板の曲率半径および第2の応力制御膜の膜厚の関係から算出される。 Next, a silicon nitride film (first stress control film) 226 having a tensile stress of 60 nm thickness is formed by thermal CVD so as to cover the sidewalls of the silicon substrate 211, the gate electrodes 221, 232, and the gate oxide films 210, 231. Form. Note that the first stress control film 226 includes SiH 2 Cl 2 + SiH 4 + Si 2 H 4 + Si 2 H 6 gas (flow rate 5 to 50 sccm), NH 3 gas (flow rate 500 to 10,000 sccm), and N 2 + Ar gas (flow rate 500). 10000 sccm), a chemical reaction is performed under conditions of a substrate temperature of 500 ° C. to 700 ° C. and a pressure of 0.1 Torr to 400 Torr. The first stress control film 226 formed under these conditions has a tensile stress of 1.4 GPa as an internal stress. The internal stress was measured by the following method. A second stress control film having a thickness of 100 nm is formed on the surface of a Si substrate having a diameter of 200 mm and a thickness of 0.6 mm by the above-described formation method. Subsequently, the amount of curvature (curvature radius) of the Si substrate is measured by a measurement method using a Newton ring, and the internal stress is the longitudinal elastic modulus of the Si substrate, the thickness of the Si substrate, the Poisson's ratio of the Si substrate, It is calculated from the relationship between the radius of curvature and the thickness of the second stress control film.

次に、プラズマCVD法によりエッチングストッパー膜となるシリコン酸化膜241が形成される。なお、シリコン酸化膜241は、基板温度が400℃、SiH+Oガスを化学反応させて形成される。 Next, a silicon oxide film 241 serving as an etching stopper film is formed by plasma CVD. The silicon oxide film 241 is formed by chemically reacting a SiH 4 + O 2 gas with a substrate temperature of 400 ° C.

図14(c)のように、第1の応力制御膜226上にレジスト膜240が塗布され、第2の領域213pに開口部が形成される。続いて、RIE法によって第1の応力制御膜226を露出させる。なお、RIE法にはC+Ar+Oガスを用いた。続いて、RIE法によってSi基板211の表面、ゲート電極232およびゲート酸化膜231の側壁を露出させる。なお、RIE法にはCHF+Ar+Oガスを用いた。 As shown in FIG. 14C, a resist film 240 is applied on the first stress control film 226, and an opening is formed in the second region 213p. Subsequently, the first stress control film 226 is exposed by the RIE method. Note that C 4 F 8 + Ar + O 2 gas was used for the RIE method. Subsequently, the surface of the Si substrate 211, the gate electrode 232, and the side walls of the gate oxide film 231 are exposed by RIE. Note that CHF 3 + Ar + O 2 gas was used for the RIE method.

次に、図14(c)のレジスト膜240を除去する。続いて、図14(d)のように、第2の領域213pのSi基板211の表面、ゲート電極232およびゲート酸化膜231の側壁と、第1の領域213nのシリコン酸化膜241を覆うように、プラズマCVDによって膜厚80nmの圧縮応力を有するシリコン窒化膜(第2の応力制御膜)238を形成する。なお、第2の応力制御膜238は、SiHガス(流量100〜1000sccm)、NHガス(流量500〜10000sccm)およびN+Arガス(流量500〜10000sccm)を供給し、基板温度が400℃〜700℃、圧力が0.1Torr〜400Torr、RFパワーが100W〜1000Wの条件で化学反応させて形成される。この条件で形成された第2の応力制御膜238は、内部応力として1.4GPaの圧縮応力を有している。 Next, the resist film 240 in FIG. 14C is removed. Subsequently, as shown in FIG. 14D, the surface of the Si substrate 211 in the second region 213p, the side walls of the gate electrode 232 and the gate oxide film 231 and the silicon oxide film 241 in the first region 213n are covered. Then, a silicon nitride film (second stress control film) 238 having a compressive stress with a film thickness of 80 nm is formed by plasma CVD. The second stress control film 238 supplies SiH 4 gas (flow rate 100 to 1000 sccm), NH 3 gas (flow rate 500 to 10,000 sccm), and N 2 + Ar gas (flow rate 500 to 10,000 sccm), and the substrate temperature is 400 ° C. It is formed by chemical reaction under conditions of ˜700 ° C., pressure of 0.1 Torr to 400 Torr, and RF power of 100 W to 1000 W. The second stress control film 238 formed under these conditions has a compressive stress of 1.4 GPa as an internal stress.

図14(e)のように、第2の応力制御膜238上にレジスト膜250が形成され、第1の領域213nに開口部が形成される。続いて、RIE法によってシリコン酸化膜241を露出させる。なお、RIE法にはCHF+Ar+Oガスを用いた。 As shown in FIG. 14E, a resist film 250 is formed on the second stress control film 238, and an opening is formed in the first region 213n. Subsequently, the silicon oxide film 241 is exposed by RIE. Note that CHF 3 + Ar + O 2 gas was used for the RIE method.

次に、図14(e)の構造体からレジスト膜250を除去したのち、図14(f)のように、シリコン酸化膜からなる膜厚600nmの層間絶縁膜217を形成し、続いて、その表面を化学的機械的研磨(CMP)法により平坦化される。   Next, after removing the resist film 250 from the structure of FIG. 14E, an interlayer insulating film 217 having a thickness of 600 nm made of a silicon oxide film is formed as shown in FIG. The surface is planarized by a chemical mechanical polishing (CMP) method.

更に、図13に示すCMOSトランジスタにおけるコンタクト製造フローの概略図を図15(a)乃至(d)を用いて説明する。   Further, a schematic diagram of a contact manufacturing flow in the CMOS transistor shown in FIG. 13 will be described with reference to FIGS.

図15(a)のように、層間絶縁膜217の表面にレジスト膜243が形成され、第1の領域213nおよび第2の領域213pにそれぞれ開口部244−1、244−2が形成される。続いて、図15(b)のように、コンタクトホール216−1、216−2の形成は、まず、RIE法によって第1の領域213nのシリコン酸化膜241と第2の応力制御膜238まで貫通させる。なお、RIE法にはCF+Hガスを用いた。次いで、RIE法によって第1の領域213nのシリコン酸化膜241を取り除かれ、第1の応力制御膜226が露出する。なお、RIE法にはC+Ar+0ガスを用いた。次いで、図15(c)のように、RIE法によってシリサイド膜224、235まで貫通させて完成する。なお、第1の応力制御膜226と第2の応力制御膜238はエッチングレートが異なっているので、シリサイド膜224、235が受けるダメージを最小限にするガスを選択することが望ましい。ここでは、RIE法にはCHFガスを用いた。 As shown in FIG. 15A, a resist film 243 is formed on the surface of the interlayer insulating film 217, and openings 244-1 and 244-2 are formed in the first region 213n and the second region 213p, respectively. Subsequently, as shown in FIG. 15B, the contact holes 216-1 and 216-2 are formed first through the silicon oxide film 241 and the second stress control film 238 in the first region 213n by the RIE method. Let Note that CF 4 + H 2 gas was used for the RIE method. Next, the silicon oxide film 241 in the first region 213n is removed by RIE, and the first stress control film 226 is exposed. Note that the RIE method using a C 4 F 8 + Ar + 0 2 gas. Next, as shown in FIG. 15C, the silicide films 224 and 235 are penetrated by the RIE method to complete. Since the first stress control film 226 and the second stress control film 238 have different etching rates, it is desirable to select a gas that minimizes damage to the silicide films 224 and 235. Here, CHF 3 gas was used for the RIE method.

最後に、レジスト膜243を除去したのち、コンタクトホール216−1、216−2をTi膜/TiN膜の堆積層からなるバリアメタル膜(図示せず)とCu(銅)、W(タングステン)、Al(アルミニウム)等の導電材料で充填することで、図15(d)に示すコンタクト242が形成される。   Finally, after removing the resist film 243, the contact holes 216-1 and 216-2 are formed with a barrier metal film (not shown) made of a deposited layer of Ti film / TiN film, Cu (copper), W (tungsten), The contact 242 shown in FIG. 15D is formed by filling with a conductive material such as Al (aluminum).

以上のようにしてCMOSトランジスタの基本的な構造である第3具体例が完成する。   As described above, the third specific example which is the basic structure of the CMOS transistor is completed.

このように製作されたCMOSトランジスタは、nチャネル型トランジスタとpチャネル型トランジスタの両方でゲート電極側壁絶縁膜222、233が取り除かれ、かつSi基板211の表面およびゲート電極側壁絶縁膜222、233が取り除かれたゲート積層体213、234の表面にそれぞれ引張応力を有する第1の応力制御膜226、圧縮応力を有する第2の応力制御膜238が形成たことによって、各チャネル部分のひずみ量が増加して各トランジスタのオン電流が増大する。確認は、前記実施例1と前記実施例2と同様にプロセスシュミレータを用いて行われた。シミュレーションの条件は、以下のようになる。本発明は、引張応力を有する第1の応力制御膜226と圧縮応力を有する第2の応力制御膜238とのそれぞれ内部応力の大きさは1.4GPa、第1の応力制御膜226の膜厚は60nm、第2の応力制御膜238の膜厚は80nmとし、また、ゲート長を50nm、ゲート高さ(Si基板211の表面からゲート電極221、232のシリサイド膜221、236の表面までの高さ)を100nmとし、更に、nチャネル型トランジスタとpチャネル型トランジスタのゲート電極側壁絶縁膜222の幅を零とした。本発明と比較するための従来技術は、nチャネル型トランジスタとpチャネル型トランジスタのゲート電極側壁絶縁膜222の幅を80nm(nチャネル型トランジスタおよびpチャネル型トランジスタの他のパラメータは本発明と同じ)とした。   In the CMOS transistor thus manufactured, the gate electrode sidewall insulating films 222 and 233 are removed from both the n-channel transistor and the p-channel transistor, and the surface of the Si substrate 211 and the gate electrode sidewall insulating films 222 and 233 are removed. By forming the first stress control film 226 having a tensile stress and the second stress control film 238 having a compressive stress on the surface of the removed gate stacks 213 and 234, the strain amount of each channel portion is increased. As a result, the on-current of each transistor increases. The confirmation was performed using a process simulator as in Example 1 and Example 2. The simulation conditions are as follows. In the present invention, the first stress control film 226 having tensile stress and the second stress control film 238 having compressive stress each have an internal stress of 1.4 GPa, and the thickness of the first stress control film 226. The thickness of the second stress control film 238 is 80 nm, the gate length is 50 nm, the gate height (the height from the surface of the Si substrate 211 to the surfaces of the silicide films 221 and 236 of the gate electrodes 221 and 232). The width of the gate electrode sidewall insulating film 222 of the n-channel transistor and the p-channel transistor was set to zero. The conventional technique for comparison with the present invention is that the width of the gate electrode sidewall insulating film 222 of the n-channel transistor and the p-channel transistor is 80 nm (other parameters of the n-channel transistor and the p-channel transistor are the same as those of the present invention). ).

各トランジスタのゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係は、次のようになる。nチャネル型トランジスタは図4、pチャネル型トランジスタは図10に示す関係と同じになる。本発明の両トランジスタのチャネル部分のひずみ量は、従来技術に対して約2倍になり、両トランジスタのオン電流は約20%増大させることができる。   The relationship between the width of the gate electrode sidewall insulating film of each transistor and the strain amount of the channel portion is as follows. The relationship shown in FIG. 4 is the same for the n-channel transistor and that shown in FIG. The amount of distortion in the channel portions of both transistors of the present invention is about twice that of the prior art, and the on-current of both transistors can be increased by about 20%.

第3具体例の変形例に係る半導体装置を説明する。   A semiconductor device according to a modification of the third specific example will be described.

図16は第3具体例の変形例に係るCMOSトランジスタの基本的な構造を示している。図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。   FIG. 16 shows a basic structure of a CMOS transistor according to a modification of the third specific example. In the figure, portions corresponding to the portions described above are denoted by the same reference numerals, and description thereof is omitted.

第3具体例の変形例に係る半導体装置は、nチャネル型トランジスタとpチャネル型トランジスタのゲート電極側壁絶縁膜222、233の幅方向と高さ方向の寸法が小さくなって形成されている。他の部分については、図14乃至15に示す第3具体例の半導体装置と同様に構成されている。第3具体例の変形例に係る半導体装置の製造方法は、図14(b)で説明した第1のゲート積層体223および第2のゲート積層体234のゲート電極側壁絶縁膜222、233をRIE法によって取り除く工程において、ゲート電極側壁絶縁膜222が全て取り除かれるのではなく、ゲート電極側壁絶縁膜222、233の一部が残るように形成される。その他の工程は、ゲート酸化膜220、231、ゲート電極221、232およびRIE法によって一部が残るゲート電極側壁絶縁膜222、233を有する第1のゲート積層体223ならびに第2のゲート積層体234の構成が異なるだけで、Si基板211の表面、第1のゲート積層体223および第2のゲート積層体234に、第1の応力制御膜226、第2の応力制御膜238、シリコン酸化膜241、層間絶縁膜217およびコンタクトホール216−1、216−2を形成する工程は、先の図14(a)、(c)乃至(f)と図15の説明と同じになる。   A semiconductor device according to a modification of the third specific example is formed by reducing the width and height dimensions of the gate electrode sidewall insulating films 222 and 233 of the n-channel transistor and the p-channel transistor. Other parts are configured in the same manner as the semiconductor device of the third specific example shown in FIGS. In the semiconductor device manufacturing method according to the modification of the third specific example, the gate electrode sidewall insulating films 222 and 233 of the first gate stacked body 223 and the second gate stacked body 234 described with reference to FIG. In the step of removing by the method, the gate electrode sidewall insulating film 222 is not completely removed, but is formed so that part of the gate electrode sidewall insulating films 222 and 233 remains. In other steps, the first gate stacked body 223 and the second gate stacked body 234 having the gate oxide films 220 and 231, the gate electrodes 221 and 232, and the gate electrode side wall insulating films 222 and 233 that are partially left by the RIE method. The first stress control film 226, the second stress control film 238, and the silicon oxide film 241 are formed on the surface of the Si substrate 211, the first gate stacked body 223, and the second gate stacked body 234. The steps of forming the interlayer insulating film 217 and the contact holes 216-1 and 216-2 are the same as those in FIGS. 14A and 14C and FIG.

各トランジスタのゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係は、nチャネル型トランジスタは図12、pチャネル型トランジスタは図6に示す関係と同じになる。第3具体例と同様にプロセスシュミレータを用いて行われ、ゲート電極側壁絶縁膜222、233の幅の減少に比例して、nチャネル型トランジスタのチャネル部分は引張方向のひずみ量が大きくなり、pチャネル型トランジスタのチャネル部分は圧縮方向のひずみ量が大きくなる。つまり、nチャネル型トランジスタおよびのpチャネル型トランジスタのゲート電極側壁絶縁膜222、233の幅を任意に変えることで、前記実施例1および実施例2より更に各トランジスタのオン電流の増加量を細かく調整することができる。   The relationship between the width of the gate electrode sidewall insulating film of each transistor and the distortion amount of the channel portion is the same as that shown in FIG. 12 for the n-channel transistor and FIG. 6 for the p-channel transistor. Similar to the third specific example, the process is performed using a process simulator. In proportion to the reduction in the width of the gate electrode sidewall insulating films 222 and 233, the channel portion of the n-channel transistor has a large strain in the tensile direction. The channel portion of the channel transistor has a large amount of strain in the compression direction. That is, by arbitrarily changing the widths of the gate electrode sidewall insulating films 222 and 233 of the n-channel transistor and the p-channel transistor, the amount of increase in the on-current of each transistor can be made finer than in the first and second embodiments. Can be adjusted.

なお、本発明は前記各実施例に記載されたゲート積層体の構成、応力制御膜、エッチングストッパー膜のために形成されたシリコン酸化膜、層間絶縁膜およびコンタクト等については、一般的な構成、処理方法および条件の一例にしか過ぎない。例えば、シリコン酸化膜は、応力制御膜に対してエッチングの選択性を有する材料であればシリコン酸化膜に限定されない。また、ゲート電極側壁絶縁膜はシリコン窒化膜としたがシリコン酸化膜でも構わない。   In the present invention, the gate stack structure described in each of the above embodiments, the stress control film, the silicon oxide film formed for the etching stopper film, the interlayer insulating film, the contact, etc. It is only an example of processing methods and conditions. For example, the silicon oxide film is not limited to the silicon oxide film as long as it is a material having etching selectivity with respect to the stress control film. The gate electrode sidewall insulating film is a silicon nitride film, but may be a silicon oxide film.

また、本発明は前記各実施例の第1の領域と第2の領域の境界において、第1の応力制御膜または第2の応力制御膜に段差が見られる。この段差は、微細化が進み各トランジスタの間隔が狭くなるような状況では段差が生じないように形成することが好ましい。しかし、段差はあっても構わない。   In the present invention, a step is observed in the first stress control film or the second stress control film at the boundary between the first region and the second region in each of the above embodiments. This step is preferably formed so that no step occurs in a situation where the miniaturization advances and the interval between the transistors becomes narrow. However, there may be a step.

更に、本発明の前記各実施例は、ゲート積層体にゲート電極側壁絶縁膜を有していなかった当初のMOSトランジスタとは異なり、トランジスタ動作時に生じるチャネル内の電界強度を緩和するために、ゲート積層体にゲート電極側壁絶縁膜が形成され、ソース・ドレインの不純物注入が2度に分けて形成させている。また、CMOSトランジスタの微細化に伴い、ゲート電極およびソース・ドレインの抵抗を低減するためにシリサイド膜が形成されている。   Further, each of the embodiments of the present invention is different from the original MOS transistor in which the gate stack does not have the gate electrode side wall insulating film in order to reduce the electric field strength in the channel generated during the transistor operation. A gate electrode sidewall insulating film is formed in the stacked body, and source / drain impurity implantation is formed in two portions. Along with the miniaturization of CMOS transistors, silicide films are formed to reduce the resistance of the gate electrode and the source / drain.

以上のように、本発明は、引張応力もしくは圧縮応力を有する応力制御膜の応力がトランジスタのチャネル部分に引張もしくは圧縮のひずみを与えることで、トランジスタのオン電流を増大させるCMOSトランジスタにおいて、応力制御膜とチャネルの間に介在するゲート電極側壁絶縁膜を取り除くことで、トランジスタのオン電流を更に増大させている。更には、応力制御膜とチャネルの間に介在するゲート電極側壁絶縁膜を後退させることで、トランジスタのオン電流の増加量を調整することができる。   As described above, the present invention provides stress control in a CMOS transistor that increases the on-current of a transistor by applying tensile or compressive strain to the channel portion of the transistor due to the stress of the stress control film having tensile or compressive stress. By removing the gate electrode sidewall insulating film interposed between the film and the channel, the on-current of the transistor is further increased. Furthermore, the amount of increase in the on-state current of the transistor can be adjusted by retracting the gate electrode sidewall insulating film interposed between the stress control film and the channel.

よって、少なくとも応力制御膜、ゲート電極およびゲート電極側壁絶縁膜を有するCMOSトランジスタにおいて、トランジスタのオン電流を増大させるのに必須の技術であり、最小フォトエッチ寸法が100nm以下と微細化されるCMOSトランジスタおよびその製造方法においても非常に有効である。   Therefore, in a CMOS transistor having at least a stress control film, a gate electrode, and a gate electrode side wall insulating film, it is an essential technique for increasing the on-current of the transistor, and the minimum photoetch dimension is reduced to 100 nm or less. It is also very effective in the manufacturing method.

以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、種々の変更、改良、組合せが可能なことは当業者に自明であろう。   Although the present invention has been described with reference to the embodiments, the present invention is not limited thereto. It will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like can be made.

以下、本発明の特徴を付記する。   The features of the present invention will be described below.

(付記1)半導体基板と、前記半導体基板の第1の活性領域上に、第1のゲート酸化膜を介して形成された第1のゲート電極と、前記第1のゲート電極に位置合わせして形成された第1の拡散層と、前記第1の活性領域を覆う第1の応力制御膜とを有し、前記第1の応力制御膜が前記第1の拡散層と接していることを特徴とする半導体装置。   (Appendix 1) A semiconductor substrate, a first gate electrode formed on a first active region of the semiconductor substrate via a first gate oxide film, and alignment with the first gate electrode It has a formed first diffusion layer and a first stress control film covering the first active region, the first stress control film is in contact with the first diffusion layer A semiconductor device.

(付記2)前記半導体基板の第2の活性領域上に、第2のゲート酸化膜を介して形成された第2のゲート電極と、前記第2のゲート電極に位置合わせして形成された第2の拡散層と、前記第2の領域を覆う第2の応力制御膜とを有していることを特徴とする付記1記載の半導体装置。   (Supplementary Note 2) A second gate electrode formed on the second active region of the semiconductor substrate via a second gate oxide film, and a second gate electrode formed in alignment with the second gate electrode 2. The semiconductor device according to claim 1, comprising two diffusion layers and a second stress control film covering the second region.

(付記3)前記第2の応力制御膜が前記第2の拡散層と接していることを特徴とする付記2記載の半導体装置。   (Supplementary note 3) The semiconductor device according to supplementary note 2, wherein the second stress control film is in contact with the second diffusion layer.

(付記4)前記第1の応力制御膜は、圧縮応力を有していることを特徴とする付記1記載の半導体装置。   (Additional remark 4) The said 1st stress control film | membrane has a compressive stress, The semiconductor device of Additional remark 1 characterized by the above-mentioned.

(付記5)前記第2の応力制御膜は、引張応力を有していることを特徴とする付記2記載の半導体装置。   (Supplementary note 5) The semiconductor device according to supplementary note 2, wherein the second stress control film has a tensile stress.

(付記6)前記第1の活性領域が、n型であることを特徴とする付記1記載の半導体装置。   (Supplementary note 6) The semiconductor device according to supplementary note 1, wherein the first active region is n-type.

(付記7)前記第2の活性領域が、p型であることを特徴とする付記2記載の半導体装置。   (Supplementary note 7) The semiconductor device according to supplementary note 2, wherein the second active region is p-type.

(付記8)前記第2の応力制御膜上に延在してシリコン酸化膜を有していることを特徴とする付記2記載の半導体装置。   (Supplementary note 8) The semiconductor device according to supplementary note 2, wherein the semiconductor device has a silicon oxide film extending on the second stress control film.

(付記9)半導体基板と、前記半導体基板の第1の活性領域上に、第1のゲート酸化膜を介して形成された第1のゲート電極と、前記第1のゲート電極に位置合わせして形成された第1の拡散層と、前記第1のゲート酸化膜の側壁を覆う第1のゲート電極側壁絶縁膜と、前記第1の活性領域を覆う第1の応力制御膜と、前記半導体基板の第2の活性領域上に第2のゲート酸化膜を介して形成された第2のゲート電極と、前記第2のゲート電極に位置合わせして形成された第2の拡散層と、前記第2の領域を覆う第2の応力制御膜とを有し、前記第2の応力制御膜が前記第2の拡散層に接していることを特徴とする半導体装置。   (Supplementary Note 9) A semiconductor substrate, a first gate electrode formed on the first active region of the semiconductor substrate via a first gate oxide film, and alignment with the first gate electrode A first diffusion layer formed; a first gate electrode sidewall insulating film covering a sidewall of the first gate oxide film; a first stress control film covering the first active region; and the semiconductor substrate. A second gate electrode formed on the second active region via a second gate oxide film, a second diffusion layer formed in alignment with the second gate electrode, and the second And a second stress control film covering the second region, wherein the second stress control film is in contact with the second diffusion layer.

(付記10)前記第1の応力制御膜は、圧縮応力を有していることを特徴とする付記9記載の半導体装置。   (Supplementary note 10) The semiconductor device according to supplementary note 9, wherein the first stress control film has a compressive stress.

(付記11)前記第2の応力制御膜は、引張応力を有していることを特徴とする付記9記載の半導体装置。   (Supplementary note 11) The semiconductor device according to supplementary note 9, wherein the second stress control film has a tensile stress.

(付記12)前記第1の活性領域が、n型であり、前記第2の活性領域が、p型であることを特徴とする付記9記載の半導体装置。   (Supplementary note 12) The semiconductor device according to supplementary note 9, wherein the first active region is n-type, and the second active region is p-type.

(付記13)前記第1の応力制御膜上に延在してシリコン酸化膜を有していることを特徴とする付記9記載の半導体装置。   (Additional remark 13) The semiconductor device according to additional remark 9, characterized by having a silicon oxide film extending on the first stress control film.

(付記14)半導体基板に、第1の活性領域を形成する工程と、前記第1の活性領域上に第1のゲート酸化膜を形成する工程と、前記第1のゲート絶縁膜を介して第1のゲート電極を形成する工程と、前記第1のゲート電極に位置合わせして第1の拡散層を形成する工程と、前記第1のゲート電極の側壁に第1のゲート電極側壁絶縁膜を形成する工程と、前記第1のゲート電極側壁絶縁膜をエッチングする工程と、前記第1の活性領域を覆う第1の応力制御膜を形成する工程とを有する半導体装置の製造方法。   (Supplementary Note 14) A step of forming a first active region on a semiconductor substrate, a step of forming a first gate oxide film on the first active region, and a first gate insulating film through the first gate insulating film Forming a first gate electrode; forming a first diffusion layer in alignment with the first gate electrode; and forming a first gate electrode sidewall insulating film on the sidewall of the first gate electrode. A method of manufacturing a semiconductor device, comprising: a step of forming; a step of etching the first gate electrode sidewall insulating film; and a step of forming a first stress control film covering the first active region.

(付記15)前記半導体基板上に第2の活性領域を形成する工程と、前記第2の活性領域上に第2のゲート酸化膜形成する工程と、前記第2のゲート酸化膜を介して第2のゲート電極を形成する工程と、前記第2のゲート電極に位置合わせして第2の拡散層を形成する工程と、前記第2のゲート電極の側壁に第2のゲート電極側壁絶縁膜を形成する工程と、前記第1の領域および第2の領域を覆う第2の応力制御膜を形成する工程と、前記第1の領域における前記第2の応力制御膜をエッチングする工程とをさらに有する付記14記載の半導体装置の製造方法。   (Supplementary Note 15) A step of forming a second active region on the semiconductor substrate, a step of forming a second gate oxide film on the second active region, and a second step through the second gate oxide film Forming a second gate electrode, forming a second diffusion layer in alignment with the second gate electrode, and forming a second gate electrode sidewall insulating film on the sidewall of the second gate electrode A step of forming, a step of forming a second stress control film covering the first region and the second region, and a step of etching the second stress control film in the first region. 15. A method for manufacturing a semiconductor device according to appendix 14.

(付記16)前記第2のゲート電極側壁絶縁膜をエッチングする工程をさらに有する付記15記載の半導体装置の製造方法。   (Supplementary note 16) The method for manufacturing a semiconductor device according to supplementary note 15, further comprising a step of etching the second gate electrode sidewall insulating film.

(付記17)前記第1のゲート電極側壁絶縁膜および前記第2のゲート電極側壁絶縁膜は同時にエッチングされることを特徴とする付記15記載の半導体装置の製造方法。   (Supplementary note 17) The method of manufacturing a semiconductor device according to supplementary note 15, wherein the first gate electrode sidewall insulating film and the second gate electrode sidewall insulating film are etched simultaneously.

(付記18)前記第2の応力制御膜上に延在してシリコン酸化膜を形成する工程をさらに有する付記15記載の半導体装置の製造方法。   (Supplementary note 18) The method for manufacturing a semiconductor device according to supplementary note 15, further comprising a step of forming a silicon oxide film extending on the second stress control film.

(付記19)半導体基板に、第1の活性領域と第2の活性領域とを形成する工程と、前記第1の活性領域上に第1のゲート酸化膜を形成する工程と、前記第1のゲート酸化膜を介して第1のゲート電極を形成する工程と、前記第1のゲート電極に位置合わせして第1の拡散層を形成する工程と、前記第1のゲート電極側壁に第1のゲート電極側壁絶縁膜を形成する工程と、前記第2の活性領域上に第2のゲート酸化膜を形成する工程と、前記第2のゲート酸化膜を介して第2のゲート電極を形成する工程と、前記第2のゲート電極に位置合わせして第2の拡散層を形成する工程と、前記第2のゲート電極の側壁に第2のゲート電極側壁絶縁膜を形成する工程と、前記第1の領域および第2の領域を覆う第1の応力制御膜を形成する工程と、前記第2の領域における前記第1の応力制御膜を除去する工程と、前記第2のゲート電極側壁絶縁膜をエッチングする工程と、前記第2の領域を覆う第2の応力制御膜を形成する工程とを有する半導体装置の製造方法。   (Supplementary Note 19) A step of forming a first active region and a second active region on a semiconductor substrate, a step of forming a first gate oxide film on the first active region, Forming a first gate electrode through a gate oxide film; forming a first diffusion layer in alignment with the first gate electrode; and a first gate electrode sidewall on the first gate electrode Forming a gate electrode sidewall insulating film; forming a second gate oxide film on the second active region; and forming a second gate electrode through the second gate oxide film. Forming a second diffusion layer in alignment with the second gate electrode; forming a second gate electrode sidewall insulating film on a sidewall of the second gate electrode; and Forming a first stress control film covering the first region and the second region; and Removing the first stress control film in the region, etching the second gate electrode sidewall insulating film, and forming a second stress control film covering the second region. A method for manufacturing a semiconductor device.

(付記20)前記第1のゲート電極側壁絶縁膜をエッチングする工程をさらに有する付記19記載の半導体装置の製造方法。   (Supplementary note 20) The method of manufacturing a semiconductor device according to supplementary note 19, further comprising a step of etching the first gate electrode sidewall insulating film.

(付記21)前記第1のゲート電極側壁絶縁膜および前記第2のゲート電極側壁絶縁膜は同時にエッチングされることを特徴とする付記19記載の半導体装置の製造方法。   (Supplementary note 21) The method for manufacturing a semiconductor device according to supplementary note 19, wherein the first gate electrode sidewall insulating film and the second gate electrode sidewall insulating film are etched simultaneously.

(付記22)前記第1の応力制御膜上に延在してシリコン酸化膜を形成する工程をさらに有することを特徴とする付記19記載の半導体装置の製造方法。   (Supplementary note 22) The method of manufacturing a semiconductor device according to supplementary note 19, further comprising a step of forming a silicon oxide film extending on the first stress control film.

本発明の実施形態の第1具体例に係るCMOSトランジスタの基本的な構造を示す図である。It is a figure which shows the basic structure of the CMOS transistor which concerns on the 1st specific example of embodiment of this invention. 本発明の実施形態の第1具体例に係るCMOSトランジスタの製造フローの概略図である。It is the schematic of the manufacturing flow of the CMOS transistor which concerns on the 1st specific example of embodiment of this invention. 本発明の実施形態の第1具体例に係るCMOSトランジスタのコンタクトの製造フローの概略図である。It is the schematic of the manufacturing flow of the contact of the CMOS transistor which concerns on the 1st specific example of embodiment of this invention. 本発明の第1具体例と従来技術に係るpチャネル型トランジスタにおけるゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係を示す図である。It is a figure which shows the relationship between the width | variety of the gate electrode side wall insulating film, and the distortion amount of a channel part in the 1st example of this invention, and the p channel type transistor which concerns on a prior art. 本発明の第1具体例の変形例に係るCMOSトランジスタの基本的な構造を示している。2 shows a basic structure of a CMOS transistor according to a modification of the first specific example of the present invention. 本発明の実施形態の第1具体例の変形例に係るpチャネル型トランジスタにおけるゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係を示す図である。It is a figure which shows the relationship between the width | variety of the gate electrode side wall insulating film, and the distortion amount of a channel part in the p-channel type transistor which concerns on the modification of the 1st specific example of embodiment of this invention. 本発明の実施形態の第2具体例に係るCMOSトランジスタの基本的な構造を示す図である。It is a figure which shows the basic structure of the CMOS transistor which concerns on the 2nd example of embodiment of this invention. 本発明の実施形態の第2具体例に係るCMOSトランジスタの製造フローの概略図である。It is the schematic of the manufacturing flow of the CMOS transistor which concerns on the 2nd specific example of embodiment of this invention. 本発明の実施形態の第2具体例に係るCMOSトランジスタのコンタクトの製造フローの概略図である。It is the schematic of the manufacturing flow of the contact of the CMOS transistor which concerns on the 2nd specific example of embodiment of this invention. 本発明の実施形態の第2具体例と従来技術に係るnチャネル型トランジスタにおけるゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係を示す図である。It is a figure which shows the relationship between the 2nd example of embodiment of this invention, and the width | variety of the gate electrode side wall insulating film in the n-channel transistor which concerns on a prior art, and the distortion amount of a channel part. 本発明の第2具体例の変形例に係るCMOSトランジスタの基本的な構造を示す図である。It is a figure which shows the basic structure of the CMOS transistor which concerns on the modification of the 2nd specific example of this invention. 本発明の第2具体例の変形例に係るpチャネル型トランジスタのゲート電極側壁絶縁膜の幅とチャネル部分のひずみ量の関係を示す図である。It is a figure which shows the relationship between the width | variety of the gate electrode side wall insulating film of the p channel type transistor which concerns on the modification of the 2nd specific example of this invention, and the distortion amount of a channel part. 本発明の実施形態の第3具体例に係るCMOSトランジスタの基本的な構造を示す図である。It is a figure which shows the basic structure of the CMOS transistor which concerns on the 3rd specific example of embodiment of this invention. 本発明の実施形態の第3具体例に係るCMOSトランジスタの製造フローの概略図である。It is the schematic of the manufacturing flow of the CMOS transistor which concerns on the 3rd specific example of embodiment of this invention. 本発明の実施形態の第3具体例に係るCMOSトランジスタのコンタクトの製造フローの概略図である。It is the schematic of the manufacturing flow of the contact of the CMOS transistor which concerns on the 3rd specific example of embodiment of this invention. 本発明の第3具体例の変形例に係るCMOSトランジスタの基本的な構造を示す図である。It is a figure which shows the basic structure of the CMOS transistor which concerns on the modification of the 3rd example of this invention. 従来技術のCMOSトランジスタの基本的な構造を示す図である。It is a figure which shows the basic structure of a CMOS transistor of a prior art.

符号の説明Explanation of symbols

11、111、211 Si基板、
12、112、212、68 素子分離領域、
13n、113n、213n 第1の領域、
13p、113p、213p 第2の領域、
16−1、116−1、216−1 第1の領域のコンタクトホール、
16−2、116−2、216−2 第1の領域のコンタクトホール、
17、117、217 層間絶縁膜、
18、118、218 p型ウェル領域、
19、119、219 第1の領域のソース・ドレイン、
19a、119a、219a 第1の領域のソース・ドレインの浅い接合領域、
19b、119b、219b 第1の領域のソース・ドレインの深い接合領域、
20、120、220 第1の領域のゲート絶縁膜、
21、121、221 第1の領域のゲート電極、
22、122、222 第1の領域および第2の領域のゲート電極側壁絶縁膜、
23、123、223 第1の領域のゲート積層体、
24、124、224 第1の領域のシリサイド膜(ソース・ドレイン領域)、
25、125、225 第1の領域のシリサイド膜(ゲート電極)、
26、126、226 第1の応力制御膜、
28、128、228 n型ウェル領域、
30、130、230 第2の領域のソース・ドレイン、
30a、130a、230a 第2の領域のソース・ドレインの浅い接合領域、
30b、130b、230b 第2の領域のソース・ドレインの深い接合領域、
31、131、231 第2の領域のゲート絶縁膜、
32、132、232 第2の領域のゲート電極、
34、134、234 第2の領域のゲート積層体、
35、135、235 第2の領域のシリサイド膜(ソース・ドレイン領域)、
36、136、236 第2の領域のシリサイド膜(ゲート電極)、
38、138、238 第2の応力制御膜、
40、43、50、140、143、150、240、243、250 レジスト膜、
41 シリコン酸化膜、
44−1、144−1、244−1 第1の領域の開口部、
44−2、144−2、244−2 第1の領域の開口部、
60a nチャネル型トランジスタ、
60b pチャネル型トランジスタ、
61a、61b シリコン窒化膜、
62a、62b ゲート酸化膜、
63a、63b シリサイド膜、
64a、64b ゲート電極、
65a、65b ゲート電極側壁絶縁膜、
66a、66b ソース・ドレイン、
66c、66e ソース・ドレインの浅い接合領域、
66d、66f ソース・ドレインの深い接合領域、
67 層間絶縁膜、
68a p型ウェル領域、
68b n型ウェル領域、
69 素子分離領域、
70a、70b ゲート積層体、
71 Si基板、
11, 111, 211 Si substrate,
12, 112, 212, 68 element isolation region,
13n, 113n, 213n first region,
13p, 113p, 213p second region,
16-1, 116-1, 216-1 contact holes in the first region,
16-2, 116-2, 216-2 contact holes in the first region,
17, 117, 217 interlayer insulation film,
18, 118, 218 p-type well region,
19, 119, 219 First region source / drain,
19a, 119a, 219a Shallow junction region of the source / drain of the first region,
19b, 119b, 219b A deep junction region between the source and drain of the first region,
20, 120, 220 gate insulating film of the first region,
21, 121, 221 gate electrode of the first region,
22, 122, 222 Gate electrode side wall insulating films of the first region and the second region,
23, 123, 223 first region gate stack,
24, 124, 224 first region silicide films (source / drain regions),
25, 125, 225 first region silicide film (gate electrode),
26, 126, 226 First stress control film,
28, 128, 228 n-type well region,
30, 130, 230 Source / drain of the second region,
30a, 130a, 230a Shallow junction region of the source / drain of the second region,
30b, 130b, 230b Deep junction region of the source / drain of the second region,
31, 131, 231 second region gate insulating film,
32, 132, 232 second region gate electrodes,
34, 134, 234 second region gate stack,
35, 135, 235 Second region silicide film (source / drain region),
36, 136, 236 second region silicide film (gate electrode),
38, 138, 238 second stress control film,
40, 43, 50, 140, 143, 150, 240, 243, 250 resist film,
41 silicon oxide film,
44-1, 144-1, 244-1 Openings in the first region,
44-2, 144-2, 244-2 Openings in the first region,
60a n-channel transistor,
60b p-channel transistor,
61a, 61b silicon nitride film,
62a, 62b gate oxide film,
63a, 63b silicide film,
64a, 64b gate electrodes,
65a, 65b gate electrode side wall insulating film,
66a, 66b source / drain,
66c, 66e Shallow junction region of source / drain,
66d, 66f Deep junction region between source and drain,
67 interlayer insulation film,
68a p-type well region,
68b n-type well region,
69 element isolation region,
70a, 70b gate stack,
71 Si substrate,

Claims (1)

半導体基板に、第1の活性領域と第2の活性領域とを形成する工程と、
前記第1の活性領域上に第1のゲート酸化膜を形成する工程と、
前記第1のゲート酸化膜上に第1のゲート電極を形成する工程と、
前記第1のゲート電極をマスクとして前記第1の活性領域に第1不純物の注入を行い、第1の拡散層を形成する工程と、
前記第1のゲート電極側壁に第1のゲート電極側壁絶縁膜を形成する工程と、
前記第1のゲート電極及び前記第1のゲート電極側壁絶縁膜をマスクとして、前記第1の活性領域に第2不純物の注入を行い、前記第1の拡散層よりも深い第2の拡散層を形成する工程と、
前記第2の活性領域上に第2のゲート酸化膜を形成する工程と、
前記第2のゲート酸化膜上に第2のゲート電極を形成する工程と、
前記第2のゲート電極をマスクとして、前記第2の活性領域に第3不純物の注入を行い、第3の拡散層を形成する工程と、
前記第2のゲート電極の側壁に第2のゲート電極側壁絶縁膜を形成する工程と、
前記第2のゲート電極及び前記第2のゲート電極側壁絶縁膜をマスクとして、前記第2の活性領域に第4不純物の注入を行い、前記第3の拡散層よりも深い第4の拡散層を形成する工程と、
前記第1の活性領域および第2の活性領域を覆う第1の応力制御膜を形成する工程と、
前記第2の活性領域を覆うマスク層を形成し、前記マスク層をマスクとして前記第1の活性領域における前記第1の応力制御膜を除去する工程と、
前記第1のゲート電極側壁絶縁膜をエッチングして除去する工程と、
前記第1の活性領域を覆う第2の応力制御膜を前記第1のゲート電極の側壁に接するように形成する工程と、
を有することを特徴とする半導体装置の製造方法。
Forming a first active region and a second active region on a semiconductor substrate;
Forming a first gate oxide film on the first active region;
Forming a first gate electrode on the first gate oxide film;
Forming a first diffusion layer by implanting a first impurity into the first active region using the first gate electrode as a mask;
Forming a first gate electrode sidewall insulating film on the first gate electrode sidewall;
Using the first gate electrode and the first gate electrode sidewall insulating film as a mask, a second impurity is implanted into the first active region, and a second diffusion layer deeper than the first diffusion layer is formed. Forming, and
Forming a second gate oxide film on the second active region;
Forming a second gate electrode on the second gate oxide film;
Using the second gate electrode as a mask, implanting a third impurity into the second active region to form a third diffusion layer;
Forming a second gate electrode sidewall insulating film on the sidewall of the second gate electrode;
Using the second gate electrode and the second gate electrode sidewall insulating film as a mask, a fourth impurity is implanted into the second active region, and a fourth diffusion layer deeper than the third diffusion layer is formed. Forming, and
Forming a first stress control film covering the first active region and the second active region;
Forming a mask layer covering the second active region, and removing the first stress control film in the first active region using the mask layer as a mask;
Etching and removing the first gate electrode sidewall insulating film ;
Forming a second stress control film covering the first active region so as to be in contact with a side wall of the first gate electrode ;
A method for manufacturing a semiconductor device, comprising:
JP2004365581A 2004-12-17 2004-12-17 Manufacturing method of semiconductor device Expired - Fee Related JP5002891B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004365581A JP5002891B2 (en) 2004-12-17 2004-12-17 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004365581A JP5002891B2 (en) 2004-12-17 2004-12-17 Manufacturing method of semiconductor device

Publications (3)

Publication Number Publication Date
JP2006173432A JP2006173432A (en) 2006-06-29
JP2006173432A5 JP2006173432A5 (en) 2008-01-17
JP5002891B2 true JP5002891B2 (en) 2012-08-15

Family

ID=36673833

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004365581A Expired - Fee Related JP5002891B2 (en) 2004-12-17 2004-12-17 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP5002891B2 (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101744B1 (en) * 2005-03-01 2006-09-05 International Business Machines Corporation Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
JP4899085B2 (en) 2006-03-03 2012-03-21 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7585720B2 (en) 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
JP5114892B2 (en) * 2006-08-25 2013-01-09 ソニー株式会社 Semiconductor device
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
JP2008071851A (en) * 2006-09-13 2008-03-27 Sony Corp Semiconductor device and manufacturing method thereof
KR100809335B1 (en) 2006-09-28 2008-03-05 삼성전자주식회사 Semiconductor device and method of fabricating the same
JP2008091536A (en) 2006-09-29 2008-04-17 Toshiba Corp Semiconductor apparatus, and manufacturing method thereof
JP2008103607A (en) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US7585773B2 (en) * 2006-11-03 2009-09-08 International Business Machines Corporation Non-conformal stress liner for enhanced MOSFET performance
US7476610B2 (en) * 2006-11-10 2009-01-13 Lam Research Corporation Removable spacer
US20080116521A1 (en) 2006-11-16 2008-05-22 Samsung Electronics Co., Ltd CMOS Integrated Circuits that Utilize Insulating Layers with High Stress Characteristics to Improve NMOS and PMOS Transistor Carrier Mobilities and Methods of Forming Same
JP5132943B2 (en) * 2007-01-24 2013-01-30 パナソニック株式会社 Semiconductor device
JP4504392B2 (en) 2007-03-15 2010-07-14 株式会社東芝 Semiconductor device
US7534678B2 (en) 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
CN101641778B (en) 2007-03-30 2014-12-17 富士通半导体股份有限公司 Semiconductor integrated circuit device
US7902082B2 (en) 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
JP2009130009A (en) 2007-11-21 2009-06-11 Renesas Technology Corp Semiconductor device and manufacturing method of the same
JP2010212388A (en) 2009-03-10 2010-09-24 Renesas Electronics Corp Semiconductor device, and method of manufacturing the same
CN103178011A (en) * 2011-12-22 2013-06-26 中芯国际集成电路制造(上海)有限公司 Complementary metal oxide semiconductor (CMOS) and forming method thereof
JP5712984B2 (en) * 2012-08-27 2015-05-07 ソニー株式会社 Semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4173672B2 (en) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP4406200B2 (en) * 2002-12-06 2010-01-27 株式会社東芝 Semiconductor device

Also Published As

Publication number Publication date
JP2006173432A (en) 2006-06-29

Similar Documents

Publication Publication Date Title
JP5002891B2 (en) Manufacturing method of semiconductor device
CN108933102B (en) Spacer for nanowire-based integrated circuit devices and method of fabricating the same
US7262472B2 (en) Semiconductor device having stress and its manufacture method
US8994116B2 (en) Hybrid gate process for fabricating FinFET device
JP4982958B2 (en) Semiconductor device and manufacturing method thereof
JP4384988B2 (en) Strained FinFET CMOS device structure
JP4932795B2 (en) Semiconductor device and manufacturing method thereof
TWI437707B (en) Semiconductor device and method of manufacturing the same
TW201711198A (en) Semiconductor device and method for fabricating Fin FET device
JP2008193060A (en) Semiconductor device and manufacturing method of semiconductor device
TWI827712B (en) Semiconductor device and method of manufacturing the same
JP2009088069A (en) Semiconductor device and manufacturing method thereof
JP2009026997A (en) Semiconductor device, and manufacturing method thereof
JP2007165558A (en) Semiconductor device and method of manufacturing same
KR20210098832A (en) Novel gate structures for tuning threshold voltage
JP2009033173A (en) Semiconductor device and method of manufacturing the same
TWI729789B (en) Semiconductor structure and forming method thereof
KR20220050019A (en) Contact plug structure of semiconductor device and method of forming same
TWI805260B (en) Semiconductor device and method for manufacturing the same
JP3518059B2 (en) Method of manufacturing MIS transistor
TWI442511B (en) Separate layer formation in a semiconductor device
TWI802217B (en) Semiconductor devices and methods of manufacture
TWI789779B (en) Transistor and methods of forming source/drain regions
US12021116B2 (en) Semiconductor gates and methods of forming the same
US20220392998A1 (en) Semiconductor gates and methods of forming the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071122

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100421

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110719

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120316

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120424

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120507

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150601

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5002891

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees