JP4743452B2 - Polymer compound, resist protective film material, and pattern forming method - Google Patents

Polymer compound, resist protective film material, and pattern forming method Download PDF

Info

Publication number
JP4743452B2
JP4743452B2 JP2008279224A JP2008279224A JP4743452B2 JP 4743452 B2 JP4743452 B2 JP 4743452B2 JP 2008279224 A JP2008279224 A JP 2008279224A JP 2008279224 A JP2008279224 A JP 2008279224A JP 4743452 B2 JP4743452 B2 JP 4743452B2
Authority
JP
Japan
Prior art keywords
group
carbon atoms
atom
hydrogen atom
branched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008279224A
Other languages
Japanese (ja)
Other versions
JP2010106139A (en
Inventor
裕次 原田
畠山  潤
幸士 長谷川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2008279224A priority Critical patent/JP4743452B2/en
Priority to US12/608,556 priority patent/US8431323B2/en
Priority to KR1020090103378A priority patent/KR101438844B1/en
Priority to TW098136701A priority patent/TWI424994B/en
Publication of JP2010106139A publication Critical patent/JP2010106139A/en
Application granted granted Critical
Publication of JP4743452B2 publication Critical patent/JP4743452B2/en
Priority to US13/847,667 priority patent/US8933251B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

本発明は半導体素子等の製造工程における微細加工のためのフォトリソグラフィー、例えば波長193nmのArFエキシマレーザーを光源とし、投影レンズと基板との間に液体(例えば水)を挿入して露光を行う液浸フォトリソグラフィーにおいて、使用するレジスト材料を保護すべくレジスト膜上に保護膜を形成するためのレジスト保護膜材料及びそのベースポリマー、そしてこれらの材料を用いたパターン形成方法に関するものである。   The present invention is a photolithography for fine processing in a manufacturing process of a semiconductor device or the like, for example, an exposure liquid by using a ArF excimer laser with a wavelength of 193 nm as a light source and inserting a liquid (for example, water) between a projection lens and a substrate. The present invention relates to a resist protective film material for forming a protective film on a resist film in order to protect the resist material to be used in immersion photolithography, a base polymer thereof, and a pattern forming method using these materials.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が急速に進んでいる。その背景には露光光源の短波長化があり、例えば水銀灯のi線(365nm)からKrFエキシマレーザー(248nm)への短波長化により64Mビット(加工寸法が0.25μm以下)のDRAM(ダイナミック・ランダム・アクセス・メモリー)の量産が可能になった。更に集積度256M及び1G以上のDRAM製造を実現するため、ArFエキシマレーザー(193nm)を用いたリソグラフィーが本格的に検討されており、高NAのレンズ(NA≧0.9)と組み合わせることにより65nmノードのデバイスの検討が行われている。その次の45nmノードのデバイス製作には波長157nmのF2レーザーの利用が候補に挙げられたが、コスト面でのデメリットに加え、レジストの性能不足等に代表される多くの問題により適用が先送りされた。そして、F2リソグラフィーの代替として提案されたのがArF液浸リソグラフィーであり、現在その早期導入に向けて開発が進められている(非特許文献1:Proc.SPIE.Vol.4690、xxix(2002)参照)。 In recent years, along with higher integration and higher speed of LSI, pattern rule miniaturization is progressing rapidly. The background of this is the shortening of the wavelength of the exposure light source. For example, a 64 Mbit DRAM (dynamic size of 0.25 μm or less) DRAM (dynamic Random access memory) is now available for mass production. Furthermore, in order to realize DRAM manufacturing with an integration degree of 256M and 1G or more, lithography using an ArF excimer laser (193 nm) has been studied in earnest, and 65 nm by combining with a high NA lens (NA ≧ 0.9). Node devices are being studied. Postponed its Although the use of F 2 laser having a wavelength of 157nm to device fabrication of the next 45nm node is a candidate. In addition to the disadvantage in cost, is applied by a number of the problems typified by poor performance of the resist It was done. Then, ArF immersion lithography has been proposed as an alternative to F 2 lithography, and is currently being developed for its early introduction (Non-patent Document 1: Proc. SPIE. Vol. 4690, xxix (2002). )reference).

ArF液浸リソグラフィーでは投影レンズとウエハーの間に水を含浸させ、水を介してArFエキシマレーザーを照射する。193nmにおける水の屈折率は1.44であるため、NAが1.0以上のレンズを使用したパターン形成が可能になり、理論上はNAを1.44にまで上げることができる。NAの向上分だけ解像力が向上し、NAが1.2以上のレンズと強い超解像技術の組み合わせで45nmノードの可能性が示唆されている(非特許文献2:Proc.SPIE.Vol.5040、p724(2003)参照)。   In ArF immersion lithography, water is impregnated between a projection lens and a wafer, and ArF excimer laser is irradiated through the water. Since the refractive index of water at 193 nm is 1.44, it is possible to form a pattern using a lens having an NA of 1.0 or more, and theoretically the NA can be increased to 1.44. The resolution is improved by the improvement of NA, and the possibility of a 45 nm node is suggested by a combination of a lens having an NA of 1.2 or more and a strong super-resolution technique (Non-Patent Document 2: Proc. SPIE. Vol. 5040). P724 (2003)).

しかし、レジスト膜上に水が存在した状態で露光を行うと、レジスト膜内で発生した酸やレジスト材料に添加されている塩基性化合物の一部が水層に溶出し(リーチング)、その結果としてパターンの形状変化やパターン倒れが発生する可能性がある。また、レジスト膜上に残った微量の水滴がレジスト膜中に染み込むことにより欠陥が発生する可能性も指摘されている。   However, when exposure is performed in the presence of water on the resist film, the acid generated in the resist film and some of the basic compounds added to the resist material are eluted into the aqueous layer (leaching). As a result, there is a possibility that a pattern change or pattern collapse occurs. It has also been pointed out that defects may occur when a small amount of water droplets remaining on the resist film penetrates into the resist film.

これらの欠点を改善するため、ArF液浸リソグラフィーではレジスト膜と水の間に保護膜を設け、レジスト成分の溶出とレジスト膜内への水の浸透を抑える方法が提案されている(非特許文献3:2nd Immersion Work Shop:Resist and Cover Material Investigation for Immersion Lithography(2003)参照)。   In order to improve these drawbacks, ArF immersion lithography has been proposed in which a protective film is provided between the resist film and water to suppress elution of the resist components and water permeation into the resist film (non-patent document). 3: 2nd Immersion Work Shop: See Resist and Cover Material Investigation for Immersion Lithography (2003)).

フォトレジスト膜の保護膜材料としてはARCOR法(antireflective coating on resist;例えば、特許文献1〜3:特開昭62−62520号公報、特開昭62−62521号公報、特開昭60−38821号公報参照)に代表されるパーフルオロアルキルポリエーテルやパーフルオロアルキルアミン等の低屈折率材料が知られている。しかし、これらの含フッ素化合物は有機物との相溶性が低く、保護膜の塗布・剥離にフロン系の溶剤を用いるため、環境面及びコスト面でのデメリットが大きい。   As a protective film material for a photoresist film, an ARCOR method (antireflective coating on resist; for example, Patent Documents 1 to 3: Japanese Patent Laid-Open Nos. 62-62520, 62-62521, and 60-38821). Low-refractive-index materials such as perfluoroalkyl polyethers and perfluoroalkylamines typified by the publication are known. However, these fluorine-containing compounds have low compatibility with organic substances, and use of a chlorofluorocarbon-based solvent for coating / peeling of the protective film has great disadvantages in terms of environment and cost.

それ以外のレジスト保護膜材料としては、水溶性又はアルカリ溶解性の材料の適用が検討されている(特許文献4,5:特開平6−273926号公報、特許第2803549号公報、非特許文献4:J.Photopolymer.Sci. and Technol.Vol.18、No.5、p615(2005)参照)。このうち、アルカリ可溶なレジスト保護膜材料はアルカリ現像液で剥離できるため、剥離装置の増設が不要であり、コスト面でのメリットが大きい。そのため、例えば側鎖に含フッ素アルコール、カルボキシル基、スルホ基等のアルカリ溶解性基を有する樹脂を用いた非水溶性レジスト保護膜材料の開発が進められている(特許文献6〜10:国際公開第2005/42453号パンフレット、国際公開第2005/69676号パンフレット、特開2005−264131号公報、特開2006−133716号公報、特開2006−91798号公報参照)。   As other resist protective film materials, application of water-soluble or alkali-soluble materials has been studied (Patent Documents 4 and 5: JP-A-6-273926, Patent 2803549, Non-Patent Document 4). : J. Photopolymer.Sci. And Technol.Vol.18, No. 5, p615 (2005)). Among these, since the alkali-soluble resist protective film material can be peeled off with an alkali developer, it is not necessary to add a peeling device, and the cost advantage is great. Therefore, for example, water-insoluble resist protective film materials using a resin having an alkali-soluble group such as a fluorine-containing alcohol, a carboxyl group, or a sulfo group in the side chain are being developed (Patent Documents 6 to 10: International publication). No. 2005/42453 pamphlet, WO 2005/69676 pamphlet, JP 2005-264131 A, JP 2006-133716 A, JP 2006-91798 A).

レジスト保護膜材料に求められる性能としては、フォトレジスト膜中の発生酸や塩基性化合物の水への溶出防止能やレジスト膜内への水の浸透防止能だけでなく、撥水性や滑水性等の性能も求められる。このうち、撥水性の向上には樹脂中へのフッ素の導入が、また滑水性の向上には異種の撥水性基の組み合わせによるミクロドメイン構造の形成が効果的であることが報告されている(例えば、非特許文献5,6:XXIV FATIPEC Congress Book、Vol.B、p15(1997)、Progress in Organic Coatings、31、p97(1997)参照)。   The performance required for resist protective film materials includes water repellency and water slidability as well as the ability to prevent the acid and basic compounds generated in the photoresist film from eluting into water and the ability to prevent water from penetrating into the resist film. Performance is also required. Among these, it has been reported that introduction of fluorine into the resin is effective for improving water repellency, and formation of a microdomain structure by a combination of different water repellant groups is effective for improving water slidability ( For example, see Non-Patent Documents 5 and 6: XXIV FATIPEC Congress Book, Vol. B, p15 (1997), Progress in Organic Coatings, 31, p97 (1997)).

高滑水性及び高撥水性を示すポリマーの一例としては、側鎖にヘキサフルオロアルコール基を有する含フッ素閉環重合ポリマーが挙げられるが、このポリマーは側鎖の水酸基を酸不安定基で保護すると滑水性能が更に向上することが報告されている(非特許文献7:Proc.SPIE.Vol.6519、p651905(2007)参照)。   An example of a polymer exhibiting high water slidability and water repellency is a fluorine-containing ring-closing polymer having a hexafluoroalcohol group in the side chain. This polymer can be protected by protecting the hydroxyl group of the side chain with an acid labile group. It has been reported that the water performance is further improved (see Non-Patent Document 7: Proc. SPIE. Vol. 6519, p651905 (2007)).

樹脂中へのフッ素の導入は樹脂の撥水性を上げるだけでなく、転落角や後退接触角等の滑水性能の向上にも有効であるが、過度にフッ素を導入するとアルカリ現像後の表面接触角が高くなってしまう。ここ最近、現像後のレジスト膜表面(特に未露光部分)に発生するブロッブとよばれる欠陥が問題視されているが、この欠陥は高撥水性のレジスト膜ほど多く発生する傾向にあることがわかっている。従って、樹脂の撥水性及び滑水性を高めるべく過度にフッ素を導入すると、ブロッブ欠陥が発生し易くなる。   The introduction of fluorine into the resin not only increases the water repellency of the resin, but is also effective in improving the water sliding performance such as the falling angle and receding contact angle. However, if fluorine is introduced excessively, surface contact after alkali development The corner becomes high. Recently, a defect called blob that occurs on the surface of the resist film after development (especially in the unexposed part) has been regarded as a problem, but it has been found that this defect tends to occur more frequently with a highly water-repellent resist film. ing. Therefore, if fluorine is introduced excessively to increase the water repellency and water slidability of the resin, blob defects are likely to occur.

ブロッブ欠陥の原因は現像後にレジスト膜表面に残る水滴と考えられている。レジスト膜上の水滴の内部エネルギーはスピンドライにより乾燥を行う過程で上昇し、水滴が表面から完全に離れる際にそのエネルギーは極大になる。そして、水滴がレジスト膜表面から離れると同時に、そのエネルギーによりレジスト膜表面がダメージを受け、これがブロッブ欠陥として観察される。   The cause of blob defects is thought to be water droplets remaining on the resist film surface after development. The internal energy of the water droplet on the resist film rises in the course of drying by spin drying, and the energy becomes maximum when the water droplet completely leaves the surface. Then, at the same time as the water droplet leaves the resist film surface, the resist film surface is damaged by the energy, and this is observed as a blob defect.

レジスト膜上の水滴の内部エネルギーは高撥水性の表面ほど高いため、撥水性の高い保護膜を適用するとレジスト膜−保護膜間のインターミキシングによりレジスト表面の接触角が高くなり、ブロッブ欠陥が発生し易くなる。それゆえにブロッブ欠陥の発生を抑えるためには、水滴の内部エネルギーを下げるべく現像後の表面接触角を下げる必要がある。   Since the internal energy of water droplets on the resist film is higher at higher water-repellent surfaces, application of a highly water-repellent protective film increases the contact angle between the resist film and the protective film, resulting in blob defects. It becomes easy to do. Therefore, in order to suppress the occurrence of blob defects, it is necessary to reduce the surface contact angle after development in order to reduce the internal energy of the water droplets.

現像後の表面接触角を下げるためには親水性の高いレジスト保護膜の適用が考えられるが、そのような保護膜では後退接触角が低下し、高速スキャンができなくなるため、スキャン後の水滴残りによるウォーターマーク欠陥が発生する。また、カルボキシル基やスルホ基を有するレジスト保護膜が提案されているが(特許文献10:特開2006−91798号公報参照)、カルボキシル基やスルホ基も親水性が高いため、撥水性や滑水性が低下してしまう。   In order to reduce the surface contact angle after development, it is conceivable to apply a highly hydrophilic resist protective film. However, with such a protective film, the receding contact angle decreases and high-speed scanning becomes impossible. Due to the watermark defect. Further, a resist protective film having a carboxyl group or a sulfo group has been proposed (see Patent Document 10: Japanese Patent Application Laid-Open No. 2006-91798). However, since the carboxyl group and the sulfo group are also highly hydrophilic, they have water repellency and water slidability. Will fall.

そこで、スルホ基を有するポリマーを撥水性の高いヘキサフルオロアルコール基を有するポリマーとブレンドさせ、保護膜表面にヘキサフルオロアルコール基を有するポリマー、レジスト面にスルホ基を有するポリマーを配向させる保護膜が提案されている(非特許文献8:4th Immersion Symposium RE−04 New Materials for surface energy control of 193nm photoresists, Dan sander et. al.参照)。このような保護膜を使えばブロッブ欠陥は低減できるが、スルホ基がレジスト中のアミン成分と結合し、レジスト表面付近のアミン成分が欠乏してしまうため、現像後にレジストパターンの膜減りが生じる。そのため、矩形なパターンを得るために膜減りを抑え、しかもブロッブ欠陥を防ぐために現像後のレジスト表面の親水性を上げる保護膜の開発が望まれている。   Therefore, a protective film is proposed in which a polymer having a sulfo group is blended with a polymer having a highly water-repellent hexafluoroalcohol group to align the polymer having a hexafluoroalcohol group on the surface of the protective film and the polymer having a sulfo group on the resist surface. (See Non-Patent Document 8: 4th Immersion Symposium RE-04 New Materials for surface energy control of 193 nm photoetists, Dan sander et al.). When such a protective film is used, blob defects can be reduced, but the sulfo group is bonded to the amine component in the resist and the amine component near the resist surface is deficient, resulting in a reduction in the resist pattern film thickness after development. Therefore, it is desired to develop a protective film that suppresses film loss in order to obtain a rectangular pattern and increases the hydrophilicity of the resist surface after development in order to prevent blob defects.

以上で述べた材料は単にArF液浸リソグラフィーにとどまらず、電子線リソグラフィーでも必要とされている。マスクの描画等で電子線露光を行う場合、描画中に発生した酸やアセタール保護基の脱保護で生成したビニルエーテルが蒸発することにより、レジストの感度が変動する問題が指摘されている(例えば、特許文献11:特開2002−99090号公報参照)。そのためレジスト保護膜材料を適用することにより、レジスト上層にバリアー膜を作り、感度変動を抑える方法が提案されている。   The materials described above are required not only for ArF immersion lithography but also for electron beam lithography. When performing electron beam exposure for mask drawing or the like, it has been pointed out that the sensitivity of the resist fluctuates due to evaporation of vinyl ether generated by deprotection of the acid and acetal protecting group generated during drawing (for example, Patent Document 11: see JP-A-2002-99090). Therefore, a method has been proposed in which a resist protective film material is applied to form a barrier film on the resist upper layer to suppress sensitivity fluctuation.

Proc.SPIE.Vol.4690、xxix(2002)Proc. SPIE. Vol. 4690, xxix (2002) Proc.SPIE.Vol.5040、p724(2003)Proc. SPIE. Vol. 5040, p724 (2003) 2nd Immersion Work Shop:Resist and Cover Material Investigation for Immersion Lithography(2003)2nd Immersion Work Shop: Resist and Cover Material Investigation for Immersion Lithography (2003) J.Photopolymer.Sci. and Technol.Vol.18、No.5、p615(2005)J. et al. Photopolymer. Sci. and Technol. Vol. 18, no. 5, p615 (2005) XXIV FATIPEC Congress Book、Vol.B、p15(1997)XXIV FATIPEC Congress Book, Vol. B, p15 (1997) Progress in Organic Coatings、31、p97(1997)Progress in Organic Coatings, 31, p97 (1997) Proc.SPIE.Vol.6519、p651905(2007)Proc. SPIE. Vol. 6519, p651905 (2007) 4th Immersion Symposium RE−04 New Materials for surface energy control of 193nm photoresists, Dan sander et. al.4th Immersion Symposium RE-04 New Materials for surface energy control of 193 nm photosorists, Dan sander et. al. 特開昭62−62520号公報JP-A-62-62520 特開昭62−62521号公報JP-A-62-62521 特開昭60−38821号公報JP 60-38821 A 特開平6−273926号公報JP-A-6-273926 特許第2803549号公報Japanese Patent No. 2803549 国際公開第2005/42453号パンフレットInternational Publication No. 2005/42453 Pamphlet 国際公開第2005/69676号パンフレットInternational Publication No. 2005/69676 Pamphlet 特開2005−264131号公報JP 2005-264131 A 特開2006−133716号公報JP 2006-133716 A 特開2006−91798号公報JP 2006-91798 A 特開2002−99090号公報JP 2002-99090 A

本発明は上記のような問題点に鑑みてなされたものであり、撥水性と滑水性に優れ、現像欠陥が少なく、現像後のレジストパターン形状が良好な液浸リソグラフィー用として有効なレジスト保護膜材料及びそのベースポリマーとなる高分子化合物、更にはこの材料を用いたパターン形成方法を提供することを目的とする。   The present invention has been made in view of the above problems, and is a resist protective film that is effective for immersion lithography with excellent water repellency and water slidability, less development defects, and good resist pattern shape after development. It is an object of the present invention to provide a material, a polymer compound serving as a base polymer thereof, and a pattern forming method using the material.

本発明者らは、上記目的を達成するため、鋭意検討を重ねた結果、後述の方法により、下記一般式(1)〜(3)で示される環状アセタール構造を有する新規な高分子化合物がレジスト保護膜材料用のベースポリマーとして優れた撥水性と滑水性を有すると共に、構造の選択により性能の調整が可能であることを見出した。また、上述の新規高分子化合物を、繰り返し単位内にスルホン酸アミン塩を含むポリマーとブレンドすることにより、現像欠陥が少なく、パターン形状に優れるレジスト保護膜材料が得られることを見出し、本発明を完成させたものである。本発明で用いるベースポリマーは波長200nm以下の放射線に対して優れた透明性を有し、樹脂の構造の選択により撥水性、滑水性、脂溶性、酸分解性、加水分解性など各種性能の調整が可能であり、かつ入手及び取り扱いが容易な原料からの製造が可能である。   As a result of intensive studies to achieve the above object, the present inventors have developed a novel polymer compound having a cyclic acetal structure represented by the following general formulas (1) to (3) by a method described later. It has been found that it has excellent water repellency and water slidability as a base polymer for a protective film material, and the performance can be adjusted by selecting a structure. In addition, the present inventors have found that a resist protective film material with few development defects and excellent pattern shape can be obtained by blending the above-described novel polymer compound with a polymer containing a sulfonic acid amine salt in a repeating unit. It has been completed. The base polymer used in the present invention has excellent transparency with respect to radiation having a wavelength of 200 nm or less, and adjustment of various performances such as water repellency, water slidability, fat solubility, acid decomposability, and hydrolyzability by selection of the resin structure. Can be produced from raw materials that are easy to obtain and handle.

即ち、本発明は下記の高分子化合物、レジスト保護膜材料、及びパターン形成方法を提供する。
請求項1:
下記一般式(1)で表される繰り返し単位を有することを特徴とする、重量平均分子量が1,000〜500,000の範囲である高分子化合物。

Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Xは一般式(X−1)〜(X−3)で表されるいずれかの構造を表す。一般式(X−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。R4は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、メチレン基の一部が酸素原子又はカルボニル基で置換されていてもよい。一般式(X−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(1)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
請求項2:
下記一般式(2)で表される繰り返し単位を有することを特徴とする、重量平均分子量が1,000〜500,000の範囲である高分子化合物。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Yは一般式(Y−1)〜(Y−3)で表されるいずれかの構造を表す。一般式(Y−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。R10は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(2)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
請求項3:
下記一般式(3)で表される繰り返し単位を有することを特徴とする、重量平均分子量が1,000〜500,000の範囲である高分子化合物。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Zは一般式(Z−1)〜(Z−3)で表されるいずれかの構造を表す。一般式(Z−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。R11は水素原子又は炭素数1〜18の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R12は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R11とR12は結合してこれらが結合する炭素原子及び酸素原子と共に環状構造を形成してもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(3)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
請求項4:
更に、下記一般式(4a)〜(4f)で表される繰り返し単位のうちの1つ又は2つ以上を含むことを特徴とする請求項1乃至3のいずれか1項記載の高分子化合物。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。R13a及びR13bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R13aとR13bは互いに結合してこれらが結合する炭素原子と共に環を形成することもできる。R14は水素原子、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基又はフッ素化アルキル基、又は酸不安定基である。R15は炭素数1〜15の直鎖状、分岐状又は環状のフッ素化アルキル基である。R16は酸不安定基である。)
請求項5:
下記一般式(1)で表される繰り返し単位を有する高分子化合物を含むことを特徴とするレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Xは一般式(X−1)〜(X−3)で表されるいずれかの構造を表す。一般式(X−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。R4は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、メチレン基の一部が酸素原子又はカルボニル基で置換されていてもよい。一般式(X−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(1)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
請求項6:
下記一般式(2)で表される繰り返し単位を有する高分子化合物を含むことを特徴とするレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Yは一般式(Y−1)〜(Y−3)で表されるいずれかの構造を表す。一般式(Y−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。R10は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(2)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
請求項7:
下記一般式(3)で表される繰り返し単位を有する高分子化合物を含むことを特徴とするレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Zは一般式(Z−1)〜(Z−3)で表されるいずれかの構造を表す。一般式(Z−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。R11は水素原子又は炭素数1〜18の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R12は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R11とR12は結合してこれらが結合する炭素原子及び酸素原子と共に環状構造を形成してもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(3)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
請求項8:
更に、下記一般式(4a)〜(4f)で表される繰り返し単位のうちの1つ又は2つ以上を有する高分子化合物を含むことを特徴とする請求項5乃至7のいずれか1項記載のレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。R13a及びR13bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R13aとR13bは互いに結合してこれらが結合する炭素原子と共に環を形成することもできる。R14は水素原子、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基又はフッ素化アルキル基、又は酸不安定基である。R15は炭素数1〜15の直鎖状、分岐状又は環状のフッ素化アルキル基である。R16は酸不安定基である。)
請求項9:
下記一般式(5)又は(6)で表される繰り返し単位を有する高分子化合物を含むことを特徴とする請求項5乃至8のいずれか1項記載のレジスト保護膜材料。
Figure 0004743452
(式中、R31a及びR31bは水素原子又はメチル基である。R32は単結合、炭素数1〜4のアルキレン基、フェニレン基、−C(=O)−O−、−C(=O)−NH−のいずれかである。R33は単結合、炭素数1〜8の直鎖状、分岐状又は環状のアルキレン基のいずれかである。R34a〜R34d及びR36a〜R36cはそれぞれ独立に水素原子、炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、アルケニル基、オキソアルキル基又はオキソアルケニル基、炭素数6〜20のアリール基、炭素数7〜12のアラルキル基又はアリールオキソアルキル基のいずれかを示し、これらのうち水素原子の一部又は全部がアルコキシ基によって置換されていてもよく、R34a〜R34d及びR36a〜R36cの中に窒素原子、エーテル基、エステル基、ヒドロキシ基、又はカルボキシル基を含んでいてもよい。R34a〜R34d及びR36a〜R36cのうち2個は互いに結合してこれらが結合する窒素原子と共に環を形成することができ、その場合それぞれ独立に炭素数3〜15のアルキレン基、又は式中の窒素原子を環の中に有する複素芳香族環を示す。R35は炭素数1〜8の直鎖状、分岐状又は環状のアルキレン基を示す。R37はカルボニル基、エステル基、エーテル基、又はハロゲン原子を有していてもよい炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、又は、カルボニル基、エステル基、エーテル基、ハロゲン原子、炭素数1〜15のアルキル基又はフッ素化アルキル基を有していてもよい炭素数6〜15のアリール基のいずれかを示す。)
請求項10:
請求項9記載の高分子化合物が更に下記一般式(7)で表される繰り返し単位を含むことを特徴とする請求項5乃至9のいずれか1項記載のレジスト保護膜材料。
Figure 0004743452
(式中、R31cは水素原子又はメチル基である。R38a及びR38bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R38a及びR38bは結合してこれらが結合する炭素原子と共に環を形成することもできる。)
請求項11:
更に、溶媒を含有することを特徴とする請求項1乃至10のいずれか1項記載のレジスト保護膜材料。
請求項12:
溶媒が、炭素数8〜12のエーテル化合物であることを特徴とする請求項11記載のレジスト保護膜材料。
請求項13:
炭素数8〜12のエーテル化合物として、ジ−n−ブチルエーテル、ジ−イソブチルエーテル、ジ−sec−ブチルエーテル、ジ−n−ペンチルエーテル、ジ−イソペンチルエーテル、ジ−sec−ペンチルエーテル、ジ−t−アミルエーテル、ジ−n−ヘキシルエーテルから選ばれる1種以上の溶媒を用いることを特徴とする請求項11又は12記載のレジスト保護膜材料。
請求項14:
上記エーテル化合物に加えて、炭素数4〜10の高級アルコールを0.1〜90質量%混合して用いることを特徴とする請求項12又は13記載のレジスト保護膜材料。
請求項15:
(1)レジスト材料を基板上に塗布する工程と、(2)請求項5乃至14のいずれか1項に記載のレジスト保護膜材料を用いてフォトレジスト膜上にレジスト保護膜を形成する工程と、(3)加熱処理後、投影レンズとウエハーの間に液体を挿入させ、フォトマスクを介して高エネルギー線で露光する工程と、(4)現像液を用いて現像する工程を含むことを特徴とするパターン形成方法。
請求項16:
前記露光工程において、投影レンズと基板の間に挿入する液体が水であることを特徴とする請求項15記載のパターン形成方法。
請求項17:
露光光源として波長180〜250nmの範囲の高エネルギー線を用いることを特徴とする請求項15又は16記載のパターン形成方法。
請求項18:
前記現像工程において、アルカリ現像液を用いて現像し、前記フォトレジスト膜にレジストパターンを形成すると同時にフォトレジスト膜上のレジスト保護膜の剥離を行うことを特徴とする請求項15乃至17のいずれか1項記載のパターン形成方法。
請求項19:
マスクブランクスに形成したフォトレジスト層上に保護膜を形成後、真空中で電子ビーム露光し、次いで現像を行うリソグラフィーにおいて、請求項5乃至14のいずれか1項記載の保護膜材料を用いることを特徴とするパターン形成方法。 That is, the present invention provides the following polymer compound, resist protective film material, and pattern forming method.
Claim 1:
A polymer compound having a repeating unit represented by the following general formula (1) and having a weight average molecular weight in the range of 1,000 to 500,000.
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. X represents any structure represented by the general formulas (X-1) to (X-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. R 4 represents a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded. A part of the hydrogen atom may be substituted with a halogen atom, and a part of the methylene group may be substituted with an oxygen atom or a carbonyl group, wherein the general formula (X-1) is R 2a , Linked to the — (C═O) —O— bond of the repeating unit (1) via any of R 2b , R 3a and R 3b . In general formula (X-2), R 5a , R 5b , R 6a , R 6b , R 7a and R 7b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a carbon number of 1 to 15. A linear, branched or cyclic monovalent organic group, and two of them may be bonded together to form a ring together with the carbon atom to which they are bonded. Is linked to the — (C═O) —O— bond of the repeating unit (1) via any one of R 5a , R 5b , R 6a , R 6b , R 7a , R 7b . R 8a , R 8b , R 9a and R 9b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. It represents a group, bonded two of these together may form a ring together with the carbon atoms to which they are attached. formula (X-3) is R 8a, 8b, R 9a, repeating units via one of the R 9b (1) -. Linked to (C = O) -O- bond Incidentally, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b , R 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group to the — (C═O) —O— bond of the repeating unit (1) In the case of linking, in the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.
Claim 2:
A polymer compound having a weight average molecular weight in the range of 1,000 to 500,000, which has a repeating unit represented by the following general formula (2).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Y represents any structure represented by the general formulas (Y-1) to (Y-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Y-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (2). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. Two of these may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-2) is represented by R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (2) through R 7a , R 8a , R 8b , R 9a and R 9b are each represented by formula (Y-3) Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Y-3) is represented by — (C═O) — of repeating unit (2) through any of R 8a , R 8b , R 9a and R 9b. coupled to O- linked .R 10 is a straight, branched or cyclic alkyl group of 1 to 19 carbon atoms, partially halogen atom hydrogen atom May be substituted, also part of the methylene groups may be replaced by oxygen atom, or a carbonyl group. In addition, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b, R When connecting to the — (C═O) —O— bond of the repeating unit (2) using any one of 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group, (In the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.)
Claim 3:
A polymer compound having a weight average molecular weight in the range of 1,000 to 500,000, characterized by having a repeating unit represented by the following general formula (3).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Z represents any structure represented by the general formulas (Z-1) to (Z-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Z-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (3). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-2) is R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (3) through which R 8a , R 8b , R 9a and R 9b are each represented by the following formula (Z-3): Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Z-3) is — (C═O) — of repeating unit (3) through any of R 8a , R 8b , R 9a , and R 9b. coupled to O- linked .R 11 is hydrogen atom or a number from 1 to 18 linear carbon atoms, a branched or cyclic alkyl group, a part of hydrogen atoms May be substituted with androgenic atom, also a part of the methylene groups is an oxygen atom, or may .R 12 be substituted by a carbonyl group is a straight 1-19 carbon atoms, branched or cyclic an alkyl group, part of the hydrogen atoms may be substituted with a halogen atom, a part of the methylene groups is an oxygen atom or .R 11 and R 12 may be substituted by a carbonyl group, the bond A cyclic structure may be formed together with the carbon atom and oxygen atom to which they are bonded, and R 2a , R 2b , R 3a , R 3b , R 5a , R 5b , R 6a , R 6b , R 7a , When any of R 7b , R 8a , R 8b , R 9a and R 9b is linked to the — (C═O) —O— bond of the repeating unit (3), the linking group is defined as above. One hydrogen atom contained in the organic group is eliminated, and this elimination site is regarded as a bond. A group. )
Claim 4:
The polymer compound according to any one of claims 1 to 3, further comprising one or more of repeating units represented by the following general formulas (4a) to (4f).
Figure 0004743452
Wherein R 1 is a hydrogen atom, a methyl group or a trifluoromethyl group. R 13a and R 13b are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, R 13a and R 13b can be bonded to each other to form a ring together with the carbon atom to which they are bonded, R 14 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, fluorine R 15 is a linear, branched or cyclic fluorinated alkyl group having 1 to 15 carbon atoms, and R 16 is an acid labile group.
Claim 5:
A resist protective film material comprising a polymer compound having a repeating unit represented by the following general formula (1).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. X represents any structure represented by the general formulas (X-1) to (X-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. R 4 represents a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded. A part of the hydrogen atom may be substituted with a halogen atom, and a part of the methylene group may be substituted with an oxygen atom or a carbonyl group, wherein the general formula (X-1) is R 2a , Linked to the — (C═O) —O— bond of the repeating unit (1) via any of R 2b , R 3a and R 3b . In general formula (X-2), R 5a , R 5b , R 6a , R 6b , R 7a and R 7b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a carbon number of 1 to 15. A linear, branched or cyclic monovalent organic group, and two of them may be bonded together to form a ring together with the carbon atom to which they are bonded. Is linked to the — (C═O) —O— bond of the repeating unit (1) via any one of R 5a , R 5b , R 6a , R 6b , R 7a , R 7b . R 8a , R 8b , R 9a and R 9b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. It represents a group, bonded two of these together may form a ring together with the carbon atoms to which they are attached. formula (X-3) is R 8a, 8b, R 9a, repeating units via one of the R 9b (1) -. Linked to (C = O) -O- bond Incidentally, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b , R 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group to the — (C═O) —O— bond of the repeating unit (1) In the case of linking, in the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.
Claim 6:
A resist protective film material comprising a polymer compound having a repeating unit represented by the following general formula (2).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Y represents any structure represented by the general formulas (Y-1) to (Y-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Y-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (2). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. Two of these may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-2) is represented by R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (2) through R 7a , R 8a , R 8b , R 9a and R 9b are each represented by formula (Y-3) Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Y-3) is represented by — (C═O) — of repeating unit (2) through any of R 8a , R 8b , R 9a and R 9b. coupled to O- linked .R 10 is a straight, branched or cyclic alkyl group of 1 to 19 carbon atoms, partially halogen atom hydrogen atom May be substituted, also part of the methylene groups may be replaced by oxygen atom, or a carbonyl group. In addition, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b, R When connecting to the — (C═O) —O— bond of the repeating unit (2) using any one of 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group, (In the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.)
Claim 7:
A resist protective film material comprising a polymer compound having a repeating unit represented by the following general formula (3).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Z represents any structure represented by the general formulas (Z-1) to (Z-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Z-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (3). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-2) is R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (3) through which R 8a , R 8b , R 9a and R 9b are each represented by the following formula (Z-3): Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Z-3) is — (C═O) — of repeating unit (3) through any of R 8a , R 8b , R 9a , and R 9b. coupled to O- linked .R 11 is hydrogen atom or a number from 1 to 18 linear carbon atoms, a branched or cyclic alkyl group, a part of hydrogen atoms May be substituted with androgenic atom, also a part of the methylene groups is an oxygen atom, or may .R 12 be substituted by a carbonyl group is a straight 1-19 carbon atoms, branched or cyclic an alkyl group, part of the hydrogen atoms may be substituted with a halogen atom, a part of the methylene groups is an oxygen atom or .R 11 and R 12 may be substituted by a carbonyl group, the bond A cyclic structure may be formed together with the carbon atom and oxygen atom to which they are bonded, and R 2a , R 2b , R 3a , R 3b , R 5a , R 5b , R 6a , R 6b , R 7a , When any of R 7b , R 8a , R 8b , R 9a and R 9b is linked to the — (C═O) —O— bond of the repeating unit (3), the linking group is defined as above. One hydrogen atom contained in the organic group is eliminated, and this elimination site is regarded as a bond. A group. )
Claim 8:
Furthermore, the high molecular compound which has 1 or 2 or more of the repeating units represented by the following general formula (4a)-(4f) is included, The any one of Claims 5 thru | or 7 characterized by the above-mentioned. Resist protective film material.
Figure 0004743452
Wherein R 1 is a hydrogen atom, a methyl group or a trifluoromethyl group. R 13a and R 13b are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, R 13a and R 13b can be bonded to each other to form a ring together with the carbon atom to which they are bonded, R 14 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, fluorine R 15 is a linear, branched or cyclic fluorinated alkyl group having 1 to 15 carbon atoms, and R 16 is an acid labile group.
Claim 9:
The resist protective film material according to any one of claims 5 to 8, comprising a polymer compound having a repeating unit represented by the following general formula (5) or (6).
Figure 0004743452
(Wherein R 31a and R 31b are a hydrogen atom or a methyl group. R 32 is a single bond, an alkylene group having 1 to 4 carbon atoms, a phenylene group, —C (═O) —O—, —C (= O) -NH- R 33 is a single bond or a linear, branched or cyclic alkylene group having 1 to 8 carbon atoms R 34a to R 34d and R 36a to R 36c is each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, an alkenyl group, an oxoalkyl group or an oxoalkenyl group, an aryl group having 6 to 20 carbon atoms, Any one of 12 aralkyl groups or aryloxoalkyl groups, and some or all of the hydrogen atoms may be substituted with alkoxy groups, and R 34a to R 34d and R 36a to R 36c may be Nitrogen atom, ether group, ester group, hydroxy Or two of which may contain a carboxyl group .R 34a to R 34d and R 36a to R 36c, taken together may form a ring together with the nitrogen atom to which they are attached, in which case each independently an alkylene group of 3 to 15 carbon atoms, or .R 35 showing a heteroaromatic ring having a nitrogen atom in the formula in the ring is linear C1-8, branched or cyclic alkylene group R 37 represents a carbonyl group, an ester group, an ether group, or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms which may have a halogen atom, or a carbonyl group, an ester group, It represents any of an ether group, a halogen atom, an alkyl group having 1 to 15 carbon atoms, or an aryl group having 6 to 15 carbon atoms which may have a fluorinated alkyl group.)
Claim 10:
The resist protective film material according to any one of claims 5 to 9, wherein the polymer compound according to claim 9 further contains a repeating unit represented by the following general formula (7).
Figure 0004743452
(Wherein, R 31c is a hydrogen atom or a methyl group .R 38a and R 38b is a hydrogen atom, or of the straight, a branched or cyclic alkyl group, R 38a and R 38b Can also combine to form a ring with the carbon atoms to which they are attached.)
Claim 11:
The resist protective film material according to any one of claims 1 to 10, further comprising a solvent.
Claim 12:
The resist protective film material according to claim 11, wherein the solvent is an ether compound having 8 to 12 carbon atoms.
Claim 13:
As an ether compound having 8 to 12 carbon atoms, di-n-butyl ether, di-isobutyl ether, di-sec-butyl ether, di-n-pentyl ether, di-isopentyl ether, di-sec-pentyl ether, di-t 13. The resist protective film material according to claim 11 or 12, wherein at least one solvent selected from amyl ether and di-n-hexyl ether is used.
Claim 14:
14. The resist protective film material according to claim 12, wherein 0.1 to 90% by mass of a higher alcohol having 4 to 10 carbon atoms is used in addition to the ether compound.
Claim 15:
(1) a step of applying a resist material on the substrate; (2) a step of forming a resist protective film on the photoresist film using the resist protective film material according to any one of claims 5 to 14; And (3) a step of inserting a liquid between the projection lens and the wafer after the heat treatment, and exposing with a high energy beam through a photomask, and (4) a step of developing with a developer. A pattern forming method.
Claim 16:
The pattern forming method according to claim 15, wherein the liquid inserted between the projection lens and the substrate in the exposure step is water.
Claim 17:
17. The pattern forming method according to claim 15, wherein a high energy ray having a wavelength in the range of 180 to 250 nm is used as the exposure light source.
Claim 18:
18. The development step according to claim 15, wherein development is performed using an alkaline developer to form a resist pattern on the photoresist film, and at the same time, the resist protective film on the photoresist film is peeled off. The pattern forming method according to claim 1.
Claim 19:
Use of the protective film material according to any one of claims 5 to 14 in lithography in which a protective film is formed on a photoresist layer formed on a mask blank, followed by electron beam exposure in a vacuum and then developing. A characteristic pattern forming method.

以上のように、本発明により、環状アセタール構造を有するレジスト保護膜材料及びそのベースポリマーが提供される。この高分子材料は波長200nm以下の放射線に対して優れた透明性を有し、樹脂の構造の選択により撥水性、滑水性、脂溶性、酸分解性、加水分解性など各種性能の調整が可能であり、かつ入手及び取り扱いが容易な原料からの製造が可能である。また、本発明のレジスト保護膜材料は後退接触角が高いためにレジスト成分の溶出と水の浸透が抑えられる上、現像欠陥が少なく、現像後のレジストパターン形状が良好な液浸リソグラフィーを実現することができる。   As described above, the present invention provides a resist protective film material having a cyclic acetal structure and a base polymer thereof. This polymer material has excellent transparency to radiation with a wavelength of 200 nm or less, and various performances such as water repellency, water slidability, fat solubility, acid decomposability, and hydrolyzability can be adjusted by selecting the resin structure And can be produced from raw materials that are easy to obtain and handle. In addition, since the resist protective film material of the present invention has a high receding contact angle, the dissolution of resist components and the penetration of water are suppressed, and there are few development defects, and immersion lithography with a good resist pattern shape after development is realized. be able to.

[レジスト保護膜材料用の高分子化合物の構成]
本発明のレジスト保護膜材料に用いる高分子化合物は、下記一般式(1)〜(3)で表されるいずれかの繰り返し単位を含むことを特徴とする。

Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Xは一般式(X−1)〜(X−3)で表されるいずれかの構造、Yは一般式(Y−1)〜(Y−3)で表されるいずれかの構造、Zは一般式(Z−1)〜(Z−3)で表されるいずれかの構造を表す。一般式(X−1),(Y−1),(Z−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。R4は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、メチレン基の一部が酸素原子又はカルボニル基で置換されていてもよい。一般式(X−1),(Y−1),(Z−1)はそれぞれR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(1)〜(3)の−(C=O)−O−結合に連結する。一般式(X−2),(Y−2),(Z−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−2),(Y−2),(Z−2)はそれぞれR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(1)〜(3)の−(C=O)−O−結合に連結する。一般式(X−3),(Y−3),(Z−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−3),(Y−3),(Z−3)はそれぞれR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(1)〜(3)の−(C=O)−O−結合に連結する。R10は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R11は水素原子又は炭素数1〜18の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R12は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R11とR12は結合してこれらが結合する炭素原子及び酸素原子と共に環状構造を形成してもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(1)〜(3)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。) [Configuration of polymer compound for resist protective film material]
The polymer compound used for the resist protective film material of the present invention is characterized by containing any one of the repeating units represented by the following general formulas (1) to (3).
Figure 0004743452
(Wherein R 1 is a hydrogen atom, a methyl group or a trifluoromethyl group. X is any structure represented by the general formulas (X-1) to (X-3), Y is a general formula (Y -1) to (Y-3), Z represents any one of the structures represented by general formulas (Z-1) to (Z-3). ), (Y-1), (Z-1), R 2a , R 2b , R 3a , R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a straight chain having 1 to 15 carbon atoms. R 4 represents a chain, branched or cyclic monovalent organic group, two of which may be bonded to each other to form a ring together with the carbon atom to which they are bonded. A linear, branched or cyclic alkyl group in which part of the hydrogen atom may be substituted with a halogen atom, and part of the methylene group is an oxygen atom or The general formulas (X-1), (Y-1), and (Z-1) may each be a repeating unit via any one of R 2a , R 2b , R 3a , and R 3b. Linked to the — (C═O) —O— bond of (1) to (3), R 5a , R 5b , and R 2a in general formulas (X-2), (Y-2), and (Z-2) R 6a , R 6b , R 7a and R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, Two of these may be bonded to each other to form a ring together with the carbon atom to which they are bonded.General formulas (X-2), (Y-2), and (Z-2) are R 5a , R Linked to the — (C═O) —O— bond of the repeating units (1) to (3) through any of 5b , R 6a , R 6b , R 7a , R 7b , general formula (X-3) , (Y-3), In (Z-3), R 8a , R 8b , R 9a and R 9b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic group having 1 to 15 carbon atoms. It represents a monovalent organic group, and two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, represented by the general formulas (X-3), (Y-3), (Z- 3) is connected to the — (C═O) —O— bond of the repeating units (1) to (3) via any of R 8a , R 8b , R 9a and R 9b , and R 10 is the number of carbon atoms. 1 to 19 linear, branched or cyclic alkyl group, part of hydrogen atom may be substituted with halogen atom, part of methylene group substituted with oxygen atom or carbonyl group May be. R 11 is a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, a part of the hydrogen atom may be substituted with a halogen atom, and a part of the methylene group is It may be substituted with an oxygen atom or a carbonyl group. R 12 is a linear, branched or cyclic alkyl group having 1 to 19 carbon atoms, part of the hydrogen atoms may be substituted with a halogen atom, and part of the methylene group is an oxygen atom, Alternatively, it may be substituted with a carbonyl group. R 11 and R 12 may combine to form a cyclic structure together with the carbon atom and oxygen atom to which they are bonded. R 2a , R 2b , R 3a , R 3b , R 5a , R 5b , R 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b are used as a linking group. In the case of linking to the — (C═O) —O— bond of the repeating units (1) to (3), in the above definition, the linking group is desorbed by one hydrogen atom contained in the organic group. The separation point is a group with a bond. )

上記式(X−1),(Y−1),(Z−1)において、R2a、R2b、R3a、R3bの炭素数1〜15の直鎖状、分岐状又は環状の一価有機基の具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−ヘプチル基、n−オクチル基、n−ノニル基、n−デシル基、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、メチルシクロヘキシルメチル基、エチルシクロヘキシルメチル基、エチルシクロヘキシルエチル基、ビシクロ[2.2.1]ヘプチル基、ビシクロ[2.2.1]ヘプチルメチル基、ビシクロ[2.2.1]ヘプチルエチル基、ビシクロ[2.2.1]ヘプチルブチル基、メチルビシクロ[2.2.1]ヘプチルメチル基、エチルビシクロ[2.2.1]ヘプチルメチル基、エチルビシクロ[2.2.1]ヘプチルエチル基、ビシクロ[2.2.2]オクチル基、ビシクロ[2.2.2]オクチルメチル基、ビシクロ[2.2.2]オクチルエチル基、ビシクロ[2.2.2]オクチルブチル基、メチルビシクロ[2.2.2]オクチルメチル基、エチルビシクロ[2.2.2]オクチルメチル基、エチルビシクロ[2.2.2]オクチルエチル基、トリシクロ[5.2.1.02,6]デシル基、トリシクロ[5.2.1.02,6]デシルメチル基、トリシクロ[5.2.1.02,6]デシルエチル基、トリシクロ[5.2.1.02,6]デシルブチル基、メチルトリシクロ[5.2.1.02,6]デシルメチル基、エチルトリシクロ[5.2.1.02,6]デシルメチル基、エチルトリシクロ[5.2.1.02,6]デシルエチル基、アダマンチル基、アダマンチルメチル基、アダマンチルエチル基、アダマンチルブチル基、メチルアダマンチルメチル基、エチルアダマンチルメチル基、エチルアダマンチルエチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルメチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルエチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルブチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルメチル基、エチルテトラシクロ[4.4.0.12,5.17,10]ドデシルメチル基、エチルテトラシクロ[4.4.0.12,5.17,10]ドデシルエチル基等のアルキル基、フェニル基、メチルフェニル基、ナフチル基、アンスリル基、フェナンスリル基等のアリール基、ベンジル基、ジフェニルメチル基、フェネチル基等のアラルキル基、メトキシ基、エトキシ基、プロポキシ基等のアルコキシ基、ホルミルオキシ基、アセトキシ基等のアシロキシ基を挙げることができる。また、これらの基中において水素原子の一部がハロゲン原子、アルキル基、アリール基、アルコキシ基、アルコキシカルボニル基、オキソ基、アルコキシアルキル基、アシロキシ基、アシロキシアルキル基、アルコキシアルコキシ基等に置換されていてもよい。これらのうち、水素原子、水酸基、ハロゲン原子、メチル基、エチル基、プロピル基、tert−ブチル基、パーフルオロアルキル基は特に好ましい。 In the above formulas (X-1), (Y-1), (Z-1), R 2a , R 2b , R 3a , R 3b having 1 to 15 carbon atoms in a straight, branched or cyclic monovalent state Specific examples of the organic group include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-heptyl group, n-octyl group, n-nonyl group, n-decyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group, cyclohexyl group, cyclohexylmethyl group, cyclohexyl Ethyl group, cyclohexylbutyl group, methylcyclohexylmethyl group, ethylcyclohexylmethyl group, ethylcyclohexylethyl group, bicyclyl [2.2.1] heptyl group, bicyclo [2.2.1] heptylmethyl group, bicyclo [2.2.1] heptylethyl group, bicyclo [2.2.1] heptylbutyl group, methylbicyclo [2 2.1] heptylmethyl group, ethylbicyclo [2.2.1] heptylmethyl group, ethylbicyclo [2.2.1] heptylethyl group, bicyclo [2.2.2] octyl group, bicyclo [2. 2.2] octylmethyl group, bicyclo [2.2.2] octylethyl group, bicyclo [2.2.2] octylbutyl group, methylbicyclo [2.2.2] octylmethyl group, ethylbicyclo [2. 2.2] octylmethyl group, ethylbicyclo [2.2.2] octylethyl group, tricyclo [5.2.1.0 2,6 ] decyl group, tricyclo [5.2.1.0 2,6 ] Decylmethyl group, Rishikuro [5.2.1.0 2, 6] Deshiruechiru group, tricyclo [5.2.1.0 2, 6] Deshirubuchiru group, methyltricyclo [5.2.1.0 2, 6] Deshirumechiru group, Ethyltricyclo [5.2.1.0 2,6 ] decylmethyl group, ethyltricyclo [5.2.1.0 2,6 ] decylethyl group, adamantyl group, adamantylmethyl group, adamantylethyl group, adamantylbutyl group Methyl adamantylmethyl group, ethyladamantylmethyl group, ethyladamantylethyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylmethyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylethyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylbutyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylmethyl group, ethyltetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylmethyl group, ethyltetracyclo [4.4.0.1 2,5 . 1 7,10 ] alkyl group such as dodecylethyl group, aryl group such as phenyl group, methylphenyl group, naphthyl group, anthryl group, phenanthryl group, aralkyl group such as benzyl group, diphenylmethyl group, phenethyl group, methoxy group, Examples thereof include alkoxy groups such as ethoxy group and propoxy group, and acyloxy groups such as formyloxy group and acetoxy group. In these groups, some of the hydrogen atoms are substituted with halogen atoms, alkyl groups, aryl groups, alkoxy groups, alkoxycarbonyl groups, oxo groups, alkoxyalkyl groups, acyloxy groups, acyloxyalkyl groups, alkoxyalkoxy groups, etc. May be. Of these, a hydrogen atom, a hydroxyl group, a halogen atom, a methyl group, an ethyl group, a propyl group, a tert-butyl group, and a perfluoroalkyl group are particularly preferable.

2a、R2b、R3a、R3bは任意の組み合せで少なくともそのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。環を形成する組み合せの典型的な例としては、R2aとR2b、R2aとR3a、R2aとR3b、R2bとR3a、R2bとR3b、R3aとR3bが挙げられる。この場合、形成される環としては、シクロプロパン、シクロブタン、シクロペンタン、シクロヘキサン、ビシクロ[2.2.1]ヘプタン、ビシクロ[2.2.2]オクタン、トリシクロ[5.2.1.02,6]デカン、アダマンタン、テトラシクロ[4.4.0.12,5.17,10]ドデカン等の炭素数3〜12の脂環式炭化水素が例示でき、これらを含む縮合環でもよい。また、これらの脂環式炭化水素において水素原子の一部が水酸基、ハロゲン原子、アルキル基、アリール基、アルコキシ基、アルコキシカルボニル基、オキソ基、アルコキシアルキル基、アシロキシ基、アシロキシアルキル基、アルコキシアルコキシ基等に置換されていてもよい。 R 2a , R 2b , R 3a and R 3b may be combined in any combination and at least two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded. Typical examples of combinations that form a ring include R 2a and R 2b , R 2a and R 3a , R 2a and R 3b , R 2b and R 3a , R 2b and R 3b , R 3a and R 3b. It is done. In this case, the ring formed is cyclopropane, cyclobutane, cyclopentane, cyclohexane, bicyclo [2.2.1] heptane, bicyclo [2.2.2] octane, tricyclo [5.2.1.0 2 , 6 ] decane, adamantane, tetracyclo [4.4.0.1 2,5 . Examples include alicyclic hydrocarbons having 3 to 12 carbon atoms such as 1 7,10 ] dodecane, and may include condensed rings containing these. In these alicyclic hydrocarbons, some of the hydrogen atoms are hydroxyl groups, halogen atoms, alkyl groups, aryl groups, alkoxy groups, alkoxycarbonyl groups, oxo groups, alkoxyalkyl groups, acyloxy groups, acyloxyalkyl groups, alkoxy groups. It may be substituted with an alkoxy group or the like.

4の具体例としては、上記−CO−R10及び−CH(R11)−OR12であることが特に望ましいが、その他に、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−ヘプチル基、n−オクチル基、n−ノニル基、n−デシル基、イコサニル基、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、メチルシクロヘキシルメチル基、エチルシクロヘキシルメチル基、エチルシクロヘキシルエチル基、ビシクロ[2.2.1]ヘプチル基、トリフルオロメチル基、2,2,2−トリフルオロエチル基、2,2,3,3,3−ペンタフルオロプロピル基、2−メトキシエチル基、2−(ヘキサフルオロイソプロポキシ)エチル基、2−アセトキシエチル基、アセトニル基を例示できる。R4として最適な構造を選択することにより、撥水性、脂溶性等の諸特性を要求性能に応じて調整することができる。 As specific examples of R 4 , the above-mentioned —CO—R 10 and —CH (R 11 ) —OR 12 are particularly desirable, but in addition, methyl group, ethyl group, propyl group, isopropyl group, n-butyl Group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-heptyl group, n-octyl group, n-nonyl group, n-decyl group, icosanyl group, Cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group, cyclohexyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group, methylcyclohexylmethyl group, ethylcyclohexylmethyl group, ethylcyclohexylethyl A group, a bicyclo [2.2.1] heptyl group, Trifluoromethyl group, 2,2,2-trifluoroethyl group, 2,2,3,3,3-pentafluoropropyl group, 2-methoxyethyl group, 2- (hexafluoroisopropoxy) ethyl group, 2- Examples thereof include an acetoxyethyl group and an acetonyl group. By selecting an optimum structure as R 4 , various properties such as water repellency and fat solubility can be adjusted according to the required performance.

5a、R5b、R6a、R6b、R7a、R7bとR8a、R8b、R9a、R9bは、それぞれR2a、R2b、R3a、R3bと同様のものを例示できるが、環を形成する組み合せの典型的な例としては、(X−2),(Y−2),(Z−2)については、R5aとR5b、R5aとR6a、R5aとR6b、R5bとR6a、R5bとR6b、R6aとR6b、R6aとR7a、R6aとR7b、R6bとR7a、R6bとR7b、R7aとR7b、(X−3),(Y−3),(Z−3)については、R8aとR8b、R9aとR9bが挙げられる。 R 5a , R 5b , R 6a , R 6b , R 7a , R 7b and R 8a , R 8b , R 9a , R 9b can be exemplified by those similar to R 2a , R 2b , R 3a , R 3b , respectively. However, as a typical example of the combination that forms a ring, for (X-2), (Y-2), and (Z-2), R 5a and R 5b , R 5a and R 6a , R 5a and R 6b , R 5b and R 6a , R 5b and R 6b , R 6a and R 6b , R 6a and R 7a , R 6a and R 7b , R 6b and R 7a , R 6b and R 7b , R 7a and R 7b , (X-3), (Y-3), and (Z-3) include R 8a and R 8b , and R 9a and R 9b .

10のアルキル基の具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、イソブチル基、tert−アミル基、n−ペンチル基、ネオペンチル基、n−ヘキシル基、n−ヘプチル基、n−オクチル基、n−ノニル基、n−デシル基、ノナデシル基、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、メチルシクロヘキシルメチル基、エチルシクロヘキシルメチル基、エチルシクロヘキシルエチル基、ビシクロ[2.2.1]ヘプチル基、トリフルオロメチル基、2,2,2−トリフルオロエチル基、2,2,3,3,3−ペンタフルオロプロピル基、1−メチル−2,2,2−トリフルオロエチル基、2−メトキシエチル基、2−(ヘキサフルオロイソプロポキシ)エチル基、2−アセトキシエチル基、アセトニル基を例示できる。R10として最適な構造を選択することにより、アルカリ加水分解性、撥水性、脂溶性等の諸特性を要求性能に応じて調整することができる。 Specific examples of the alkyl group for R 10 include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, isobutyl group, tert-amyl group, and n-pentyl group. , Neopentyl group, n-hexyl group, n-heptyl group, n-octyl group, n-nonyl group, n-decyl group, nonadecyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclopentylmethyl group, cyclopentylethyl group, Cyclopentylbutyl group, cyclohexyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group, methylcyclohexylmethyl group, ethylcyclohexylmethyl group, ethylcyclohexylethyl group, bicyclo [2.2.1] heptyl group, trifluoromethyl group, 2,2,2-tri Fluoroethyl group, 2,2,3,3,3-pentafluoropropyl group, 1-methyl-2,2,2-trifluoroethyl group, 2-methoxyethyl group, 2- (hexafluoroisopropoxy) ethyl group, Examples include 2-acetoxyethyl group and acetonyl group. By selecting an optimum structure as R 10 , various properties such as alkali hydrolyzability, water repellency, and fat solubility can be adjusted according to the required performance.

11のアルキル基の具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、イソブチル基、tert−アミル基、n−ペンチル基、2−ペンチル基、3−ペンチル基、ネオペンチル基、n−ヘキシル基、2−ヘキシル基、3−ヘキシル基、n−ヘプチル基、n−オクチル基、n−ノニル基、n−デシル基、オクタデシル基、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、メチルシクロヘキシルメチル基、エチルシクロヘキシルメチル基、エチルシクロヘキシルエチル基、ビシクロ[2.2.1]ヘプチル基、トリフルオロメチル基、2,2,2−トリフルオロエチル基、2,2,3,3,3−ペンタフルオロプロピル基、1−メチル−2,2,2−トリフルオロエチル基、2−メトキシエチル基、2−(ヘキサフルオロイソプロポキシ)エチル基、2−アセトキシエチル基、アセトニル基を例示できる。 Specific examples of the alkyl group of R 11 include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, isobutyl group, tert-amyl group, and n-pentyl group. 2-pentyl group, 3-pentyl group, neopentyl group, n-hexyl group, 2-hexyl group, 3-hexyl group, n-heptyl group, n-octyl group, n-nonyl group, n-decyl group, octadecyl Group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group, cyclohexyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group, methylcyclohexylmethyl group, ethylcyclohexylmethyl group, ethyl Cyclohexylethyl group, bicycl [2.2.1] heptyl group, trifluoromethyl group, 2,2,2-trifluoroethyl group, 2,2,3,3,3-pentafluoropropyl group, 1-methyl-2,2,2 Examples include -trifluoroethyl group, 2-methoxyethyl group, 2- (hexafluoroisopropoxy) ethyl group, 2-acetoxyethyl group, and acetonyl group.

12のアルキル基の具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−ヘプチル基、n−オクチル基、n−ノニル基、n−デシル基、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、メチルシクロヘキシルメチル基、エチルシクロヘキシルメチル基、エチルシクロヘキシルエチル基、ビシクロ[2.2.1]ヘプチル基、ビシクロ[2.2.1]ヘプチルメチル基、ビシクロ[2.2.1]ヘプチルエチル基、ビシクロ[2.2.1]ヘプチルブチル基、メチルビシクロ[2.2.1]ヘプチルメチル基、エチルビシクロ[2.2.1]ヘプチルメチル基、エチルビシクロ[2.2.1]ヘプチルエチル基、ビシクロ[2.2.2]オクチル基、ビシクロ[2.2.2]オクチルメチル基、ビシクロ[2.2.2]オクチルエチル基、ビシクロ[2.2.2]オクチルブチル基、メチルビシクロ[2.2.2]オクチルメチル基、エチルビシクロ[2.2.2]オクチルメチル基、エチルビシクロ[2.2.2]オクチルエチル基、トリシクロ[5.2.1.02,6]デシル基、トリシクロ[5.2.1.02,6]デシルメチル基、トリシクロ[5.2.1.02,6]デシルエチル基、トリシクロ[5.2.1.02,6]デシルブチル基、メチルトリシクロ[5.2.1.02,6]デシルメチル基、エチルトリシクロ[5.2.1.02,6]デシルメチル基、エチルトリシクロ[5.2.1.02,6]デシルエチル基、アダマンチル基、アダマンチルメチル基、アダマンチルエチル基、アダマンチルブチル基、メチルアダマンチルメチル基、エチルアダマンチルメチル基、エチルアダマンチルエチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルメチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルエチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルブチル基、テトラシクロ[4.4.0.12,5.17,10]ドデシルメチル基、エチルテトラシクロ[4.4.0.12,5.17,10]ドデシルメチル基、エチルテトラシクロ[4.4.0.12,5.17,10]ドデシルエチル基、トリフルオロメチル基、2,2,2−トリフルオロエチル基、2,2,3,3,3−ペンタフルオロプロピル基、1−メチル−2,2,2−トリフルオロエチル基、2−メトキシエチル基、2−(ヘキサフルオロイソプロポキシ)エチル基、2−アセトキシエチル基、2−(1−アダマンチルカルボニルオキシ)エチル基、アセトニル基を例示することができる。 Specific examples of the alkyl group for R 12 include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n- Hexyl group, n-heptyl group, n-octyl group, n-nonyl group, n-decyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group, cyclohexyl group, cyclohexylmethyl Group, cyclohexylethyl group, cyclohexylbutyl group, methylcyclohexylmethyl group, ethylcyclohexylmethyl group, ethylcyclohexylethyl group, bicyclo [2.2.1] heptyl group, bicyclo [2.2.1] heptylmethyl group, bicyclo [ 2.2.1] heptylethyl group, bi Black [2.2.1] heptylbutyl group, methylbicyclo [2.2.1] heptylmethyl group, ethylbicyclo [2.2.1] heptylmethyl group, ethylbicyclo [2.2.1] heptylethyl group , Bicyclo [2.2.2] octyl group, bicyclo [2.2.2] octylmethyl group, bicyclo [2.2.2] octylethyl group, bicyclo [2.2.2] octylbutyl group, methylbicyclo [2.2.2] Octylmethyl group, ethylbicyclo [2.2.2] octylmethyl group, ethylbicyclo [2.2.2] octylethyl group, tricyclo [5.2.1.0 2,6 ] Decyl group, tricyclo [5.2.1.0 2,6 ] decylmethyl group, tricyclo [5.2.1.0 2,6 ] decylethyl group, tricyclo [5.2.1.0 2,6 ] decylbutyl group , Methyltricyclo [5.2.1.0 2,6 ] decylmethyl group, ethyltricyclo [5.2.1.0 2,6 ] decylmethyl group, ethyltricyclo [5.2.1.0 2,6 ] decylethyl group Adamantyl group, adamantylmethyl group, adamantylethyl group, adamantylbutyl group, methyladamantylmethyl group, ethyladamantylmethyl group, ethyladamantylethyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylmethyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylethyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylbutyl group, tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylmethyl group, ethyltetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylmethyl group, ethyltetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecylethyl group, trifluoromethyl group, 2,2,2-trifluoroethyl group, 2,2,3,3,3-pentafluoropropyl group, 1-methyl-2,2,2- Examples thereof include a trifluoroethyl group, a 2-methoxyethyl group, a 2- (hexafluoroisopropoxy) ethyl group, a 2-acetoxyethyl group, a 2- (1-adamantylcarbonyloxy) ethyl group, and an acetonyl group.

11とR12は結合してこれらが結合する炭素原子及び酸素原子と共に環状構造を形成してもよく、その場合、その結合により形成される環状構造として、具体的にはテトラヒドロフラン環、メチルテトラヒドロフラン環、メトキシテトラヒドロフラン環、テトラヒドロピラン環、メチルテトラヒドロピラン環、メトキシテトラヒドロピラン環、1,4−ジオキサン環を例示できる。R10、R11として最適な構造を選択することにより、酸分解性、撥水性、脂溶性等の諸特性を要求性能に応じて調整することができる。 R 11 and R 12 may be bonded to form a cyclic structure together with the carbon atom and oxygen atom to which they are bonded. In this case, specific examples of the cyclic structure formed by the bond include tetrahydrofuran ring, methyltetrahydrofuran Examples include a ring, a methoxytetrahydrofuran ring, a tetrahydropyran ring, a methyltetrahydropyran ring, a methoxytetrahydropyran ring, and a 1,4-dioxane ring. By selecting an optimum structure as R 10 and R 11 , various properties such as acid decomposability, water repellency, and fat solubility can be adjusted according to the required performance.

上記式(1)〜(3)の繰り返し単位の具体例としては下記のものが例示されるが、これに限定はされない。

Figure 0004743452
Specific examples of the repeating units of the above formulas (1) to (3) include the following, but are not limited thereto.
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
(式中、R1は前述と同様である。)
Figure 0004743452
(Wherein R 1 is the same as described above.)

本発明のレジスト保護膜材料に用いる高分子化合物において、一般式(1)〜(3)で表される繰り返し単位は撥水性や滑水性に優れた性能を発揮する。一般式(1)〜(3)で表される繰り返し単位を有する高分子化合物においては、一般式(1)中のR4、一般式(2)中のR10、一般式(3)中のR11とR12の炭素鎖長、分岐度、フッ素数等の構造制御が容易であり、レジスト保護膜材料として必要な撥水性能及び滑水性能に応じた高分子化合物の製造が可能である。 In the polymer compound used for the resist protective film material of the present invention, the repeating units represented by the general formulas (1) to (3) exhibit excellent water repellency and water slidability. In the polymer compound having a repeating unit represented by the general formulas (1) to (3), R 4 in the general formula (1), R 10 in the general formula (2), and in the general formula (3) It is easy to control the structure of R 11 and R 12 such as carbon chain length, degree of branching, fluorine number, etc., and it is possible to produce a polymer compound according to the water repellency and sliding performance required as a resist protective film material. .

これらの高分子化合物においては、必要に応じてアルカリ加水分解性を付与することが可能であり、その場合、上記式(2)で表される繰り返し単位を含むことが好ましい。ヘミアセタール水酸基はアルコール水酸基に比べて酸性度が高いが、上記式(2)中のエステル結合は、隣接炭素に5つのフッ素原子が結合することにより更に酸性度が高くなったヘミアセタール水酸基とカルボン酸とのエステル、いわば混合酸無水物であるため、通常のアルコールとカルボン酸のエステルに比べて、大幅にアルカリ加水分解を受け易くなっており、例えばアルカリ現像液等により容易に加水分解されるものと考えられる。   In these polymer compounds, alkali hydrolyzability can be imparted as necessary, and in that case, it is preferable to include a repeating unit represented by the above formula (2). The hemiacetal hydroxyl group has a higher acidity than the alcohol hydroxyl group, but the ester bond in the above formula (2) has a hemiacetal hydroxyl group and a carboxylic acid that have a higher acidity due to the bonding of five fluorine atoms to the adjacent carbon. Since it is an ester with an acid, that is, a mixed acid anhydride, it is significantly more susceptible to alkaline hydrolysis than an ordinary alcohol and carboxylic acid ester. For example, it is easily hydrolyzed by an alkali developer or the like. It is considered a thing.

また、上述の高分子化合物においては、必要に応じて酸分解性を付与することが可能であり、その場合、本発明の高分子化合物は、上記式(3)で表される繰り返し単位を含むことが好ましい。上記式(3)中には酸に不安定なアセタール構造−O−CH(R11)−OR12が存在し、例えば酸発生剤由来の酸が近傍に存在すれば、容易に分解するものと考えられる。 Moreover, in the above-described polymer compound, acid decomposability can be imparted as necessary. In this case, the polymer compound of the present invention includes a repeating unit represented by the above formula (3). It is preferable. In the above formula (3), there is an acid-unstable acetal structure —O—CH (R 11 ) —OR 12 ; Conceivable.

上記式(2)中のエステル結合が加水分解された場合、あるいは上記式(3)中のアセタール構造が分解した場合、親水性の高いヘミアセタール構造を生じるため、ポリマー表面の接触角、特に現像後の接触角が低下し、ブロブ欠陥の低減に寄与する。   When the ester bond in the above formula (2) is hydrolyzed, or when the acetal structure in the above formula (3) is decomposed, a highly hydrophilic hemiacetal structure is formed. The subsequent contact angle decreases, contributing to the reduction of blob defects.

本発明のレジスト保護膜材料として用いる高分子化合物では、一般式(1)〜(3)で表される繰り返し単位に加えて、下記一般式(4a)〜(4f)の繰り返し単位を1つ又は2つ以上を共存させることにより、撥水性、滑水性、アルカリ溶解性、現像後接触角に更に優れた高分子化合物が実現できる。

Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。R13a及びR13bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R13aとR13bは互いに結合してこれらが結合する炭素原子と共に環を形成することもできる。R14は水素原子、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基又はフッ素化アルキル基、又は酸不安定基である。R15は炭素数1〜15の直鎖状、分岐状又は環状のフッ素化アルキル基である。R16は酸不安定基である。) In the polymer compound used as the resist protective film material of the present invention, in addition to the repeating units represented by the general formulas (1) to (3), one repeating unit represented by the following general formulas (4a) to (4f) By coexisting two or more, a polymer compound that is further excellent in water repellency, water slidability, alkali solubility, and contact angle after development can be realized.
Figure 0004743452
Wherein R 1 is a hydrogen atom, a methyl group or a trifluoromethyl group. R 13a and R 13b are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, R 13a and R 13b can be bonded to each other to form a ring together with the carbon atom to which they are bonded, R 14 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, fluorine R 15 is a linear, branched or cyclic fluorinated alkyl group having 1 to 15 carbon atoms, and R 16 is an acid labile group.

上記式(4a)〜(4f)において、R13a、R13b、R14の炭素数1〜15の直鎖状、分岐状又は環状のアルキル基の具体例としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−ヘプチル基、n−オクチル基、n−ノニル基、n−デシル基、シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、アダマンチル基等が用いられる。R13a及びR13bは互いに結合してこれらが結合する炭素原子と共に非芳香環を形成することもできるが、その場合、R13a及びR13bはアルキレン基であり、上記で例示したアルキル基中の1個の水素原子を引き抜いた形式のものが用いられ、該環としては、シクロペンチル基、シクロヘキシル基等が挙げられる。 In the above formulas (4a) to (4f), specific examples of the linear, branched or cyclic alkyl group having 1 to 15 carbon atoms of R 13a , R 13b and R 14 include a methyl group, an ethyl group, n -Propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-heptyl group, n-octyl group, n-nonyl Group, n-decyl group, cyclopentyl group, cyclohexyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group, adamantyl group and the like are used. R 13a and R 13b can be bonded to each other to form a non-aromatic ring together with the carbon atom to which they are bonded. In this case, R 13a and R 13b are alkylene groups, and One having one hydrogen atom is used, and examples of the ring include a cyclopentyl group and a cyclohexyl group.

14及びR15の炭素数1〜15の直鎖状、分岐状又は環状のフッ素化アルキル基については、上記のアルキル基の水素原子の一部又は全部をフッ素原子で置換した形式のものが用いられ、具体例としてはトリフルオロメチル基、2,2,2−トリフルオロエチル基、3,3,3−トリフルオロプロピル基、1,1,2,2,3,3,3−ヘプタフルオロプロピル基、1H,1H,3H−テトラフルオロプロピル基、1H,1H,5H−オクタフルオロペンチル基、1H,1H,7H−ドデカフルオロヘプチル基、2−(パーフルオロブチル)エチル基、2−(パーフルオロヘキシル)エチル基、2−(パーフルオロオクチル)エチル基、2−(パーフルオロデシル)エチル基等が例示できる。 The linear, branched or cyclic fluorinated alkyl group having 1 to 15 carbon atoms of R 14 and R 15 has a form in which part or all of the hydrogen atoms of the above alkyl group are substituted with fluorine atoms. Specific examples include trifluoromethyl group, 2,2,2-trifluoroethyl group, 3,3,3-trifluoropropyl group, 1,1,2,2,3,3,3-heptafluoro. Propyl group, 1H, 1H, 3H-tetrafluoropropyl group, 1H, 1H, 5H-octafluoropentyl group, 1H, 1H, 7H-dodecafluoroheptyl group, 2- (perfluorobutyl) ethyl group, 2- (par Examples include a fluorohexyl) ethyl group, a 2- (perfluorooctyl) ethyl group, a 2- (perfluorodecyl) ethyl group, and the like.

次に、R14及びR16の酸不安定基について説明する。
酸不安定基としては種々のものを用いることができるが、具体的には下記一般式(L1)〜(L4)で示される基、炭素数4〜20、好ましくは4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。

Figure 0004743452
(式中、RL01及びRL02は水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状又は環状のアルキル基を示す。RL03は炭素数1〜18、好ましくは炭素数1〜10の酸素原子等のヘテロ原子を有してもよい一価の炭化水素基を示し、直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができる。RL04は炭素数4〜20、好ましくは炭素数4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記一般式(L1)で示される基を示す。RL05は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示す。RL06は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基である。RL07〜RL16はそれぞれ独立に水素原子又は炭素数1〜15の一価の炭化水素基を示す。yは0〜6の整数である。mは0又は1、nは0〜3の整数であり、2m+n=2又は3である。なお、破線は結合手を示す。) Next, the acid labile group of R 14 and R 16 will be described.
Although various groups can be used as the acid labile group, specifically, a group represented by the following general formulas (L1) to (L4), a tertiary alkyl having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms. Groups, each alkyl group may be a trialkylsilyl group having 1 to 6 carbon atoms, an oxoalkyl group having 4 to 20 carbon atoms, and the like.
Figure 0004743452
(In the formula, R L01 and R L02 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10. R L03 represents 1 to 18 carbon atoms, preferably A monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 10 carbon atoms, a linear, branched or cyclic alkyl group, a part of these hydrogen atoms being a hydroxyl group, an alkoxy group Group, oxo group, amino group, alkylamino group, etc. R L04 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, and each alkyl group is A trialkylsilyl group having 1 to 6 carbon atoms, an oxoalkyl group having 4 to 20 carbon atoms, or a group represented by the above general formula (L1), R L05 is an optionally substituted one having 1 to 10 carbon atoms; Chain, branched or cyclic alkyl group or 6 carbon atoms .R L06 showing a an optionally substituted aryl group 20 have been substituted 6-20 alkyl group carbon atoms or a substituted or unsubstituted, linear, branched or cyclic C1-10 R L07 to R L16 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms, y is an integer of 0 to 6, m is 0 or 1, n is an integer of 0 to 3, and 2m + n = 2 or 3. The broken line indicates a bond.)

式(L1)において、RL01及びRL02の具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基、アダマンチル基等が例示できる。
L03は炭素数1〜18、好ましくは炭素数1〜10の酸素原子等のヘテロ原子を有してもよい一価の炭化水素基を示し、直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には、直鎖状、分岐状又は環状のアルキル基としては上記RL01、RL02と同様のものが例示でき、置換アルキル基としては下記の基等が例示できる。
In the formula (L1), specific examples of R L01 and R L02 include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclohexyl group, Examples include 2-ethylhexyl group, n-octyl group, adamantyl group and the like.
R L03 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and is a linear, branched or cyclic alkyl group, Examples in which a part of these hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like can be given. Specifically, a linear, branched or cyclic alkyl group Examples thereof include those similar to R L01 and R L02 above, and examples of the substituted alkyl group include the following groups.

Figure 0004743452
Figure 0004743452

L01とRL02、RL01とRL03、RL02とRL03とは互いに結合してこれらが結合する炭素原子や酸素原子と共に環を形成してもよく、環を形成する場合には環の形成に関与するRL01、RL02、RL03はそれぞれ炭素数1〜18、好ましくは炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。 R L01 and R L02 , R L01 and R L03 , R L02 and R L03 may be bonded to each other to form a ring together with the carbon atom or oxygen atom to which they are bonded. R L01 , R L02 and R L03 involved in the formation each represent a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.

式(L2)において、RL04の三級アルキル基の具体例としては、tert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、2−シクロペンチルプロパン−2−イル基、2−シクロヘキシルプロパン−2−イル基、2−(ビシクロ[2.2.1]ヘプタン−2−イル)プロパン−2−イル基、2−(アダマンタン−1−イル)プロパン−2−イル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基、2−エチル−2−アダマンチル基等が例示できる。また、トリアルキルシリル基の具体例としては、トリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が例示でき、オキソアルキル基の具体例としては、3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が例示できる。 In the formula (L2), specific examples of the tertiary alkyl group of R L04 include tert-butyl group, tert-amyl group, 1,1-diethylpropyl group, 2-cyclopentylpropan-2-yl group, and 2-cyclohexyl. Propan-2-yl group, 2- (bicyclo [2.2.1] heptan-2-yl) propan-2-yl group, 2- (adamantan-1-yl) propan-2-yl group, 1-ethyl Cyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group, 1-ethyl-2-cyclohexenyl group, 2-methyl-2-adamantyl group, Examples thereof include a 2-ethyl-2-adamantyl group. Specific examples of the trialkylsilyl group include trimethylsilyl group, triethylsilyl group, dimethyl-tert-butylsilyl group and the like. Specific examples of the oxoalkyl group include 3-oxocyclohexyl group, 4-methyl-2 Examples thereof include -oxooxan-4-yl group and 5-methyl-2-oxooxolan-5-yl group.

式(L3)において、RL05の炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基の具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、ビシクロ[2.2.1]ヘプチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの、又はこれらのメチレン基の一部が酸素原子又は硫黄原子に置換されたもの等が例示できる。また、炭素数6〜20の置換されていてもよいアリール基の具体例としては、フェニル基、メチルフェニル基、ナフチル基、アンスリル基、フェナンスリル基、ピレニル基等が例示できる。 In the formula (L3), specific examples of the linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted in R L05 include a methyl group, an ethyl group, a propyl group, an isopropyl group, Straight chain such as n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, bicyclo [2.2.1] heptyl group , Branched or cyclic alkyl groups, some of these hydrogen atoms are hydroxyl, alkoxy, carboxy, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkylthio, sulfo Examples thereof include those substituted with a group or the like, or those wherein a part of these methylene groups is substituted with an oxygen atom or a sulfur atom. Specific examples of the optionally substituted aryl group having 6 to 20 carbon atoms include a phenyl group, a methylphenyl group, a naphthyl group, an anthryl group, a phenanthryl group, and a pyrenyl group.

式(L4)において、RL06の炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基の具体例としては、RL05と同様のもの等が例示できる。
L07〜RL16において、炭素数1〜15の一価の炭化水素基としては、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−オクチル基、n−ノニル基、n−デシル基、シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの等が例示できる。
L07〜RL16は互いに結合してこれらが結合する炭素原子と共に環を形成していてもよく(例えば、RL07とRL08、RL07とRL09、RL08とRL10、RL09とRL10、RL11とRL12、RL13とRL14等)、その場合には環の形成に関与する基は炭素数1〜15の二価の炭化水素基を示し、具体的には上記一価の炭化水素基で例示したものから水素原子を1個除いたもの等が例示できる。また、RL07〜RL16は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい(例えば、RL07とRL09、RL09とRL15、RL13とRL15等)。
Specific examples of the linear, branched, or cyclic alkyl group having 1 to 10 carbon atoms that may be substituted or an aryl group having 6 to 20 carbon atoms that may be substituted in R L06 in formula (L4) Examples thereof include those similar to R L05 .
In R L07 to R L16 , specific examples of the monovalent hydrocarbon group having 1 to 15 carbon atoms include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert- Butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-octyl group, n-nonyl group, n-decyl group, cyclopentyl group, cyclohexyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group A linear, branched or cyclic alkyl group such as a cyclohexylmethyl group, a cyclohexylethyl group, and a cyclohexylbutyl group, and some of these hydrogen atoms are a hydroxyl group, an alkoxy group, a carboxy group, an alkoxycarbonyl group, an oxo group, an amino group, Group, alkylamino group, cyano group, mercapto group, alkylthio group, sulfo group, etc. Or the like can be exemplified those.
R L07 to R L16 may be bonded to each other to form a ring together with the carbon atoms to which they are bonded (for example, R L07 and R L08 , R L07 and R L09 , R L08 and R L10 , R L09 and R L10 , R L11 and R L12 , R L13 and R L14, etc.), in which case the group involved in ring formation is a divalent hydrocarbon group having 1 to 15 carbon atoms, specifically the monovalent The thing which remove | eliminated one hydrogen atom from what was illustrated by the hydrocarbon group of this can be illustrated. R L07 to R L16 may be bonded to each other adjacent to each other to form a double bond (for example, R L07 and R L09 , R L09 and R L15 , R L13 and R L15 etc.).

上記式(L1)で示される酸不安定基のうち直鎖状又は分岐状のものとしては、具体的には下記の基が例示できる。

Figure 0004743452
Of the acid labile groups represented by the formula (L1), specific examples of the linear or branched ones include the following groups.
Figure 0004743452

上記式(L1)で示される酸不安定基のうち環状のものとしては、具体的にはテトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が例示できる。   Among the acid labile groups represented by the above formula (L1), specific examples of cyclic groups include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2 -A methyltetrahydropyran-2-yl group etc. can be illustrated.

上記式(L2)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (L2) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1-diethyl. Propyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl-2 Examples include -cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

上記式(L3)の酸不安定基としては、具体的には1−メチルシクロペンチル、1−エチルシクロペンチル、1−n−プロピルシクロペンチル、1−イソプロピルシクロペンチル、1−n−ブチルシクロペンチル、1−sec−ブチルシクロペンチル、1−シクロヘキシルシクロペンチル、1−(4−メトキシ−n−ブチル)シクロペンチル、1−(ビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−(7−オキサビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−メチルシクロヘキシル、1−エチルシクロヘキシル、3−メチル−1−シクロペンテン−3−イル、3−エチル−1−シクロペンテン−3−イル、3−メチル−1−シクロヘキセン−3−イル、3−エチル−1−シクロヘキセン−3−イル等が例示できる。   Specific examples of the acid labile group of the above formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec- Butylcyclopentyl, 1-cyclohexylcyclopentyl, 1- (4-methoxy-n-butyl) cyclopentyl, 1- (bicyclo [2.2.1] heptan-2-yl) cyclopentyl, 1- (7-oxabicyclo [2. 2.1] heptan-2-yl) cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl- 1-cyclohexen-3-yl, 3-ethyl-1-cyclohexen-3-yl, etc. It can be exemplified.

上記式(L4)の酸不安定基としては、下記式(L4−1)〜(L4−4)で示される基が特に好ましい。

Figure 0004743452
(式中、RL41はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の一価炭化水素基を示す。破線は結合位置及び結合方向を示す。) As the acid labile group of the above formula (L4), groups represented by the following formulas (L4-1) to (L4-4) are particularly preferable.
Figure 0004743452
(In the formula, R L41 each independently represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. The broken lines indicate the bonding position and bonding direction.)

上記式(L4−1)〜(L4−4)中、RL41の一価炭化水素基の具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基等を例示できる。 In the above formulas (L4-1) to (L4-4), specific examples of the monovalent hydrocarbon group of R L41 include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group. Tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group and the like.

前記一般式(L4−1)〜(L4−4)には、エナンチオ異性体(enantiomer)やジアステレオ異性体(diastereomer)が存在しえるが、前記一般式(L4−1)〜(L4−4)は、これらの立体異性体の全てを代表して表す。これらの立体異性体は単独で用いてもよいし、混合物として用いてもよい。   In the general formulas (L4-1) to (L4-4), enantiomers and diastereomers may exist, but the general formulas (L4-1) to (L4-4) may exist. ) Represents all of these stereoisomers. These stereoisomers may be used alone or as a mixture.

例えば、前記一般式(L4−3)は下記一般式(L4−3−1)と(L4−3−2)で示される基から選ばれる1種又は2種の混合物を代表して表すものとする。

Figure 0004743452
(式中、RL41は前述と同様である。) For example, the general formula (L4-3) represents one or a mixture of two selected from the groups represented by the following general formulas (L4-3-1) and (L4-3-2). To do.
Figure 0004743452
(In the formula, R L41 is the same as described above.)

また、上記一般式(L4−4)は下記一般式(L4−4−1)〜(L4−4−4)で示される基から選ばれる1種又は2種以上の混合物を代表して表すものとする。

Figure 0004743452
(式中、RL41は前述と同様である。) The general formula (L4-4) represents one or a mixture of two or more selected from groups represented by the following general formulas (L4-4-1) to (L4-4-4). And
Figure 0004743452
(In the formula, R L41 is the same as described above.)

上記一般式(L4−1)〜(L4−4),(L4−3−1),(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)は、それらのエナンチオ異性体及びエナンチオ異性体混合物をも代表して示すものとする。   The general formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2), and formulas (L4-4-1) to (L4-4-4) are Their enantiomers and enantiomeric mixtures are also shown representatively.

なお、式(L4−1)〜(L4−4),(L4−3−1),(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)の結合方向がそれぞれビシクロ[2.2.1]ヘプタン環に対してexo側であることによって、酸触媒脱離反応における高反応性が実現される(特開2000−336121号公報参照)。これらビシクロ[2.2.1]ヘプタン骨格を有する三級exo−アルキル基を置換基とする単量体の製造において、下記一般式(L4−1−endo)〜(L4−4−endo)で示されるendo−アルキル基で置換された単量体を含む場合があるが、良好な反応性の実現のためにはexo比率が50%以上であることが好ましく、exo比率が80%以上であることが更に好ましい。   In addition, the bonds of formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2), and formulas (L4-4-1) to (L4-4-4) High reactivity in the acid-catalyzed elimination reaction is realized by the fact that each direction is on the exo side with respect to the bicyclo [2.2.1] heptane ring (see JP 2000-336121 A). In the production of a monomer having a tertiary exo-alkyl group having a bicyclo [2.2.1] heptane skeleton as a substituent, the following general formulas (L4-1-endo) to (L4-4-endo) are used. In some cases, a monomer substituted with the indicated endo-alkyl group may be included, but in order to achieve good reactivity, the exo ratio is preferably 50% or more, and the exo ratio is 80% or more. More preferably.

Figure 0004743452
(式中、RL41は前述と同様である。)
Figure 0004743452
(In the formula, R L41 is the same as described above.)

上記式(L4)の酸不安定基としては、具体的には下記の基が例示できる。

Figure 0004743452
Specific examples of the acid labile group of the above formula (L4) include the following groups.
Figure 0004743452

また、炭素数4〜20の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基としては、具体的にはRL04で挙げたものと同様のもの等が例示できる。 Further, tertiary alkyl groups having 4 to 20 carbon atoms, trialkylsilyl groups each having 1 to 6 carbon atoms, and oxoalkyl groups having 4 to 20 carbon atoms are specifically exemplified as R L04 . The thing similar to a thing etc. can be illustrated.

上記式(4a)〜(4f)の繰り返し単位の具体例としては下記のものが例示されるが、これに限定はされない。

Figure 0004743452
Specific examples of the repeating units of the above formulas (4a) to (4f) include the following, but are not limited thereto.
Figure 0004743452

Figure 0004743452
(式中、R1は前述と同様である。)
Figure 0004743452
(Wherein R 1 is the same as described above.)

本発明の高分子化合物は、上記式(1)〜(3)及び(4a)〜(4f)で表される繰り返し単位の組み合わせだけでも十分な性能を発揮できるが、更なる撥水性や滑水性の付与、アルカリ溶解性や現像液親和性のコントロールのため、更に下記一般式(8a)〜(8e),(9a)〜(9e),(10a)〜(10c),(11a)〜(11c)で表される繰り返し単位の一つ又は二つ以上を組み合わせて構成されることも可能である。   The polymer compound of the present invention can exhibit sufficient performance only by the combination of the repeating units represented by the above formulas (1) to (3) and (4a) to (4f). And the following general formulas (8a) to (8e), (9a) to (9e), (10a) to (10c), (11a) to (11c) ) Or a combination of two or more repeating units represented by

Figure 0004743452
(式中、R17は炭素数1〜15のアルキル基又はフッ素化アルキル基である。R18は密着性基である。R19は酸不安定基である。R20は単結合又は炭素数1〜15の2価の有機基である。R21及びR22は水素原子、メチル基又はトリフルオロメチル基である。)
Figure 0004743452
(In the formula, R 17 is an alkyl group or a fluorinated alkyl group having 1 to 15 carbon atoms. R 18 is an adhesive group. R 19 is an acid labile group. R 20 is a single bond or a carbon number. (It is a divalent organic group of 1 to 15. R 21 and R 22 are a hydrogen atom, a methyl group or a trifluoromethyl group.)

17の炭素数1〜15のアルキル基及び炭素数1〜15のフッ素化アルキル基としては、一般式(4a)〜(4f)の項で説明したものと同様のものが用いられる。 As the alkyl group having 1 to 15 carbon atoms and the fluorinated alkyl group having 1 to 15 carbon atoms of R 17, the same groups as those described in the general formulas (4a) to (4f) can be used.

18の密着性基としては種々選定されるが、特に下記式で例示される基等であることが好ましい。 The adhesive group for R 18 is variously selected, and is particularly preferably a group exemplified by the following formula.

Figure 0004743452
Figure 0004743452

Figure 0004743452
(上記式中、鎖線は結合手を示す。)
Figure 0004743452
(In the above formula, the chain line indicates a bond.)

19の酸不安定基としては、R6及びR7で説明したものと同様のものが用いられる。
20の炭素数1〜15の2価の有機基としては、既に例示したアルキル基中の1個の水素原子を引き抜いた形式のもの(例えば、メチレン基やエチレン基)が用いられるほか、下記式で例示される基等も用いることができる。
As the acid labile group for R 19 , those described for R 6 and R 7 can be used.
As the divalent organic group having 1 to 15 carbon atoms of R 20 , those having a form in which one hydrogen atom in the already exemplified alkyl group is extracted (for example, methylene group or ethylene group) are used. A group exemplified by the formula can also be used.

Figure 0004743452
(上記式中、鎖線は結合手を示す。)
Figure 0004743452
(In the above formula, the chain line indicates a bond.)

本発明の高分子化合物は、単独でも十分な性能を発揮できる。しかし、更なる撥水性や滑水性の付与、アルカリ溶解性や現像液親和性のコントロールのため、下記一般式(5)〜(7)で表される繰り返し単位の1種以上を有する高分子化合物をブレンドして用いることができる。

Figure 0004743452
(式中、R31a〜R31cは水素原子又はメチル基である。R32は単結合、炭素数1〜4のアルキレン基、フェニレン基、−C(=O)−O−、−C(=O)−NH−のいずれかである。R33は単結合、炭素数1〜8の直鎖状、分岐状又は環状のアルキレン基のいずれかである。R34a〜R34d及びR36a〜R36cはそれぞれ独立に水素原子、炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、アルケニル基、オキソアルキル基又はオキソアルケニル基、炭素数6〜20のアリール基、炭素数7〜12のアラルキル基又はアリールオキソアルキル基のいずれかを示し、これらのうち水素原子の一部又は全部がアルコキシ基によって置換されていてもよく、R34a〜R34d及びR36a〜R36cの中に窒素原子、エーテル基、エステル基、ヒドロキシ基、又はカルボキシル基を含んでいてもよい。R34a〜R34d及びR36a〜R36cのうち2個は互いに結合してこれらが結合する窒素原子と共に環を形成することができ、その場合、環の形成に関与する基はそれぞれ独立に炭素数3〜15のアルキレン基、又は式中の窒素原子を環の中に有する複素芳香族環を示す。R35は炭素数1〜8の直鎖状、分岐状又は環状のアルキレン基を示す。R37はカルボニル基、エステル基、エーテル基、又はハロゲン原子を有していてもよい炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、又は、カルボニル基、エステル基、エーテル基、ハロゲン原子、炭素数1〜15のアルキル基、又はフッ素化アルキル基を有していてもよい炭素数6〜15のアリール基のいずれかを示す。R38a及びR38bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R38a及びR38bは結合してこれらが結合する炭素原子と共に環を形成することもできる。) The polymer compound of the present invention can exhibit sufficient performance even when used alone. However, a polymer compound having one or more repeating units represented by the following general formulas (5) to (7) for further imparting water repellency and water slidability, controlling alkali solubility and developer compatibility Can be used in a blend.
Figure 0004743452
(In the formula, R 31a to R 31c are a hydrogen atom or a methyl group. R 32 is a single bond, an alkylene group having 1 to 4 carbon atoms, a phenylene group, —C (═O) —O—, —C (= O) -NH- R 33 is a single bond or a linear, branched or cyclic alkylene group having 1 to 8 carbon atoms R 34a to R 34d and R 36a to R 36c is each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, an alkenyl group, an oxoalkyl group or an oxoalkenyl group, an aryl group having 6 to 20 carbon atoms, Any one of 12 aralkyl groups or aryloxoalkyl groups, and some or all of the hydrogen atoms may be substituted with alkoxy groups, and R 34a to R 34d and R 36a to R 36c may be Nitrogen atom, ether group, ester group, hydroxy group Or two of which may contain a carboxyl group .R 34a to R 34d and R 36a to R 36c, taken together may form a ring together with the nitrogen atom to which they are attached, in which case, the ring The groups involved in the formation each independently represent an alkylene group having 3 to 15 carbon atoms, or a heteroaromatic ring having a nitrogen atom in the formula in the ring, R 35 is a straight chain having 1 to 8 carbon atoms, R 37 represents a branched or cyclic alkylene group, and R 37 represents a carbonyl group, an ester group, an ether group, or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms which may have a halogen atom, Or a carbonyl group, an ester group, an ether group, a halogen atom, an alkyl group having 1 to 15 carbon atoms, or an aryl group having 6 to 15 carbon atoms which may have a fluorinated alkyl group. 38a and R 38b are hydrogen atoms, Or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, and R 38a and R 38b may be bonded to form a ring together with the carbon atom to which they are bonded.)

32の炭素数1〜4のアルキレン基としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基のうち、1個の水素原子を引き抜いた形式のものが用いられる。 The alkylene group having 1 to 4 carbon atoms of R 32 includes one hydrogen atom among methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, sec-butyl group, and tert-butyl group. The one with the shape extracted is used.

33及びR35の炭素数1〜8の直鎖状、分岐状又は環状のアルキレン基としては、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−ヘプチル基、n−オクチル基、シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロヘキシルメチル基、シクロヘキシルエチル基のうち、1個の水素原子を引き抜いた形式のものが用いられる。 Examples of the linear, branched or cyclic alkylene group having 1 to 8 carbon atoms of R 33 and R 35 include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-heptyl group, n-octyl group, cyclopentyl group, cyclohexyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclohexylmethyl group, cyclohexylethyl group Among the groups, those in the form of extracting one hydrogen atom are used.

上記式(5)において、R34a〜R34dにより形成されるアンモニウム塩(カチオン部)は対応するアミン化合物の中和反応により得られる。この場合、アミン化合物としては、第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アミド類、イミド類、カーバメート類等が用いられ、具体的には特開2008−111103号公報の段落[0146]〜[0164]に記載のものが用いられる。
36a〜R36cとR37については、一般式(6)の具体例中で詳述する。
38a及びR39bの炭素数1〜15のアルキル基としては、一般式(4a)〜(4f)の項で説明したものと同様のものが用いられる。
In the above formula (5), the ammonium salt (cation part) formed by R 34a to R 34d is obtained by neutralization reaction of the corresponding amine compound. In this case, the amine compound includes primary, secondary, and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, and sulfonyl groups. A nitrogen-containing compound having a hydroxyl group, a nitrogen-containing compound having a hydroxyl group, a nitrogen-containing compound having a hydroxyphenyl group, amides, imides, carbamates, etc., and specifically, paragraph [0146] of JP-A-2008-111103. To [0164] are used.
R 36a to R 36c and R 37 will be described in detail in the specific example of the general formula (6).
As the alkyl group having 1 to 15 carbon atoms of R 38a and R 39b, the same groups as those described in the general formulas (4a) to (4f) can be used.

一般式(5)の繰り返し単位の具体例としては、下記のものを例示することができる。

Figure 0004743452
Specific examples of the repeating unit of the general formula (5) include the following.
Figure 0004743452

Figure 0004743452
(式中、R31a、R34a〜R34dは前記と同様である。)
Figure 0004743452
(In the formula, R 31a and R 34a to R 34d are the same as described above.)

一般式(6)の繰り返し単位の具体例としては、下記のものを例示することができる。

Figure 0004743452
Specific examples of the repeating unit of the general formula (6) include the following.
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
(式中、R31bとR37は前記と同様である。)
Figure 0004743452
(In the formula, R 31b and R 37 are the same as described above.)

一般式(6)の繰り返し単位で用いられるスルホン酸塩の具体例としては、トリフレート、1,1,1−トリフルオロエタンスルホネート、ノナフルオロブタンスルホネート等のフルオロアルキルスルホネート、トシレート、ベンゼンスルホネート、4−フルオロベンゼンスルホネート、1,2,3,4,5−ペンタフルオロベンゼンスルホネート、キシレンスルホン酸、メジチレンスルホン酸、p−t−ブチルベンゼンスルホン酸、ナフタレンスルホン酸、アントラセンスルホン酸、ピレンスルホン酸等のアリールスルホネート、メシレート、ブタンスルホネート、オクタンスルホン酸、カンファースルホン酸、アダマンタンスルホン酸、ノルボルナンスルホン酸、シクロヘキシルスルホン酸、シクロペンタンスルホン酸、シクロブタンスルホン酸、シクロプロパンスルホン酸、ドデシルベンゼンスルホン酸等のアルキルスルホネートを挙げることができる。   Specific examples of the sulfonate used in the repeating unit of the general formula (6) include triflate, 1,1,1-trifluoroethanesulfonate, fluoroalkylsulfonate such as nonafluorobutanesulfonate, tosylate, benzenesulfonate, 4 -Fluorobenzene sulfonate, 1,2,3,4,5-pentafluorobenzene sulfonate, xylene sulfonic acid, dimethylene sulfonic acid, pt-butylbenzene sulfonic acid, naphthalene sulfonic acid, anthracene sulfonic acid, pyrene sulfonic acid, etc. Aryl sulfonate, mesylate, butane sulfonate, octane sulfonic acid, camphor sulfonic acid, adamantane sulfonic acid, norbornane sulfonic acid, cyclohexyl sulfonic acid, cyclopentane sulfonic acid, cyclobutane Acid, cyclopropane sulfonic acid, and alkyl sulfonates, such as dodecylbenzene sulfonic acid.

一般式(7)の繰り返し単位の具体例としては下記のものが例示されるが、これに限定はされない。

Figure 0004743452
Specific examples of the repeating unit of the general formula (7) include the following, but are not limited thereto.
Figure 0004743452

Figure 0004743452
(式中、R31cは前記と同様である。)
Figure 0004743452
(Wherein R 31c is the same as described above.)

上記式(5)〜(7)で表される繰り返し単位を有する高分子化合物は、上記式(5)〜(7)で表される繰り返し単位の組み合わせだけでも十分な性能を発揮できるが、更なる撥水性や滑水性の付与、アルカリ溶解性や現像液親和性のコントロールのため、先述の一般式(8a)〜(8e),(9a)〜(9e),(10a)〜(10c),(11a)〜(11c)で表される繰り返し単位の一つ又は二つ以上を組み合わせて構成されることも可能である。   The polymer compound having a repeating unit represented by the above formulas (5) to (7) can exhibit sufficient performance only by a combination of the repeating units represented by the above formulas (5) to (7). In order to impart water repellency and water slidability, and control of alkali solubility and developer affinity, the aforementioned general formulas (8a) to (8e), (9a) to (9e), (10a) to (10c), It is also possible to comprise one or two or more repeating units represented by (11a) to (11c).

[重合性単量体化合物の合成]
本発明の高分子化合物は、上記式(1)〜(3)で表されるいずれかの繰り返し単位を必須単位として含むことを特徴とする。これらの繰り返し単位に対応する重合性単量体化合物は、化合物の構造に応じて最適な方法を選択して製造するのが好ましい。一例として、対応するヘミアセタール化合物のO−アルキル化もしくはO−アシル化反応による製造法を例示するが、製造法はこの方法に限定されない。以下、下記一般式に示すヘミアセタール化合物よりアセタール化合物(1’)の合成を例に詳しく説明する。
[Synthesis of polymerizable monomer compound]
The polymer compound of the present invention includes any repeating unit represented by the above formulas (1) to (3) as an essential unit. The polymerizable monomer compound corresponding to these repeating units is preferably produced by selecting an optimum method according to the structure of the compound. As an example, a production method by O-alkylation or O-acylation reaction of the corresponding hemiacetal compound is exemplified, but the production method is not limited to this method. Hereinafter, the synthesis of the acetal compound (1 ′) from the hemiacetal compound represented by the following general formula will be described in detail.

Figure 0004743452
(式中、Xは一般式(X−1)〜(X−3)で表される構造を示す。R1、R2a、R2b、R3a、R3b、R4、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bは上述の通りである。)
Figure 0004743452
(In the formula, X represents a structure represented by the general formulas (X-1) to (X-3). R 1 , R 2a , R 2b , R 3a , R 3b , R 4 , R 5a , R 5b. R 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b are as described above.)

原料となるヘミアセタール化合物(X−1−H),(X−2−H),(X−3−H)は既知の方法(特開2006−152255号公報参照)により容易に製造可能である。   The raw material hemiacetal compounds (X-1-H), (X-2-H), and (X-3-H) can be easily produced by a known method (see JP-A-2006-152255). .

上記式(X−1−H),(X−2−H),(X−3−H)で表されるヘミアセタール化合物に対し、O−アルキル化剤もしくはO−アシル化剤を反応させることでアセタール化合物(1’)を合成する。O−アルキル化剤及びO−アシル化剤における脱離基の具体例としては、塩素原子、臭素原子、ヨウ素原子等のハロゲン原子、メタンスルホニルオキシ基等のアルカンスルホニルオキシ基、p−トルエンスルホニルオキシ基等のアレーンスルホニルオキシ基を例示できる。O−アルキル化剤又はO−アシル化剤の使用量はヘミアセタール化合物1モルに対し、0.3〜10モル、特に0.8〜5モルとすることが望ましい。   Reacting the hemiacetal compound represented by the above formula (X-1-H), (X-2-H), or (X-3-H) with an O-alkylating agent or an O-acylating agent. To synthesize an acetal compound (1 ′). Specific examples of the leaving group in the O-alkylating agent and the O-acylating agent include halogen atoms such as chlorine atom, bromine atom and iodine atom, alkanesulfonyloxy group such as methanesulfonyloxy group, p-toluenesulfonyloxy, etc. Examples thereof include arenesulfonyloxy groups such as a group. The amount of the O-alkylating agent or O-acylating agent used is desirably 0.3 to 10 mol, particularly 0.8 to 5 mol, with respect to 1 mol of the hemiacetal compound.

反応は無溶媒、又は溶媒中で行うことができる。溶媒としては、メタノール、エタノール、イソプロピルアルコール、t−ブチルアルコール、エチレングリコール等のアルコール類、ヘキサン、ヘプタン、ベンゼン、トルエン、キシレン等の炭化水素類、ジエチルエーテル、ジブチルエーテル、テトラヒドロフラン、1,4−ジオキサン、ジグリム等のエーテル類、塩化メチレン、クロロホルム、1,2−ジクロロエチレン等の塩素系溶媒類、N,N−ジメチルホルムアミド、N,N−ジメチルアセトアミド、ジメチルスルホキシド、N−メチルピロリドン等の非プロトン極性溶媒類、ギ酸、酢酸等のカルボン酸類、酢酸エチル、酢酸ブチル等のエステル類、アセトン、2−ブタノン等のケトン類、アセトニトリル等のニトリル類、ピリジン、トリエチルアミン等のアミン類、水の中から反応条件により選択して単独又は混合して用いることができる。   The reaction can be carried out without solvent or in a solvent. Examples of the solvent include alcohols such as methanol, ethanol, isopropyl alcohol, t-butyl alcohol, and ethylene glycol, hydrocarbons such as hexane, heptane, benzene, toluene, and xylene, diethyl ether, dibutyl ether, tetrahydrofuran, 1,4- Non-protons such as ethers such as dioxane and diglyme, chlorinated solvents such as methylene chloride, chloroform and 1,2-dichloroethylene, N, N-dimethylformamide, N, N-dimethylacetamide, dimethyl sulfoxide and N-methylpyrrolidone Polar solvents, carboxylic acids such as formic acid and acetic acid, esters such as ethyl acetate and butyl acetate, ketones such as acetone and 2-butanone, nitriles such as acetonitrile, amines such as pyridine and triethylamine, and water Anti It can be used selectively to alone or in combination depending on the conditions.

反応温度は反応速度に応じて−40℃から溶媒の還流温度までの範囲で選択する。
反応には塩基もしくは遷移金属の塩を添加することが、反応率を高めるために好ましい。
反応に用いる塩基としては、ピリジン、トリエチルアミン、ジイソプロピルエチルアミン、4−ジメチルアミノピリジン、イミダゾール等のアミン類、ナトリウムメトキシド、ナトリウムエトキシド、カリウムt−ブトキシド等のアルコキシ金属類、炭酸ナトリウム、炭酸カリウム、炭酸セシウム等の炭酸塩類、水酸化ナトリウム、水酸化カリウム、テトラメチルアンモニウムヒドロキシド等の水酸化物類、水素化ナトリウム、水素化カリウム等の金属水素化物類、ブチルリチウム、エチルマグネシウムブロミド等の有機金属類、リチウムジイソプロピルアミド等の金属アミド類の中から反応条件により選択して単独又は混合して用いることができる。塩基の使用量はヘミアセタール化合物1モルに対し、0.3〜20モル、特に0.8〜10モルとすることが望ましい。
The reaction temperature is selected in the range from −40 ° C. to the reflux temperature of the solvent depending on the reaction rate.
In order to increase the reaction rate, it is preferable to add a salt of a base or a transition metal to the reaction.
Examples of the base used in the reaction include amines such as pyridine, triethylamine, diisopropylethylamine, 4-dimethylaminopyridine, imidazole, alkoxy metals such as sodium methoxide, sodium ethoxide, potassium t-butoxide, sodium carbonate, potassium carbonate, Carbonates such as cesium carbonate, hydroxides such as sodium hydroxide, potassium hydroxide and tetramethylammonium hydroxide, metal hydrides such as sodium hydride and potassium hydride, organic such as butyl lithium and ethyl magnesium bromide The metal amides such as metals and lithium diisopropylamide may be selected depending on the reaction conditions and used alone or in combination. The amount of the base used is desirably 0.3 to 20 mol, particularly 0.8 to 10 mol, relative to 1 mol of the hemiacetal compound.

反応に用いる遷移金属塩としては、炭酸鉛、炭酸カドミウム、炭酸銀、酸化鉛、酸化カドミウム、酸化銀、硝酸銀等の中から反応条件により選択して単独又は混合して用いることができる。塩基と遷移金属塩は単独でも混合して用いてもよい。また、反応には反応速度向上のために触媒としてヨウ化ナトリウム、ヨウ化リチウム、ヨウ化テトラブチルアンモニウム等のヨウ化物、臭化ナトリウム、臭化リチウム、臭化テトラブチルアンモニウム等の臭化物を加えてもよい。触媒を加える場合の添加量はヘミアセタール化合物1モルに対し、0.001〜2モル、特に0.005〜0.5モルとすることが望ましい。   The transition metal salt used in the reaction may be selected from lead carbonate, cadmium carbonate, silver carbonate, lead oxide, cadmium oxide, silver oxide, silver nitrate, etc. depending on the reaction conditions, and may be used alone or in combination. The base and the transition metal salt may be used alone or in combination. In addition, in order to improve the reaction rate, the reaction is performed by adding iodide such as sodium iodide, lithium iodide, tetrabutylammonium iodide, bromide such as sodium bromide, lithium bromide, tetrabutylammonium bromide. Also good. When the catalyst is added, the addition amount is preferably 0.001 to 2 mol, particularly 0.005 to 0.5 mol, per 1 mol of the hemiacetal compound.

反応時間はガスクロマトグラフィー(GC)や薄層クロマトグラフィー(TLC)により反応を追跡して反応を完結させることが収率の点で望ましいが、通常0.1〜100時間程度である。反応混合物から通常の水系後処理(aqueous work−up)により目的のアセタール化合物(1’)を得る。必要があれば化合物(1’)は蒸留、クロマトグラフィー、再結晶等の常法により精製することができる。あるいは水系後処理(aqueous work−up)を行わず、反応で生じた塩をろ別後又は反応液を直接精製にかけることが可能な場合もある。ここでは、化合物(1’)を例にしたが、本合成法は繰り返し単位(2)及び(3)に対応する重合性単量体化合物(2’)及び(3’)のの製造にも適用可能である。   The reaction time is preferably about 0.1 to 100 hours, although it is desirable in terms of yield to follow the reaction by gas chromatography (GC) or thin layer chromatography (TLC) to complete the reaction. The desired acetal compound (1 ') is obtained from the reaction mixture by ordinary aqueous work-up. If necessary, compound (1 ') can be purified by conventional methods such as distillation, chromatography, recrystallization and the like. Alternatively, it may be possible to subject the salt generated in the reaction to filtration or to directly purify the reaction solution without performing an aqueous work-up. Here, the compound (1 ′) is taken as an example, but this synthesis method is also used for the production of the polymerizable monomer compounds (2 ′) and (3 ′) corresponding to the repeating units (2) and (3). Applicable.

[高分子化合物の合成]
以下では、上記式(1)〜(3)及び(4a)〜(4f)で表される繰り返し単位を含む高分子化合物を高分子化合物(P1)、上記式(5)〜(7)で表される繰り返し単位を含む高分子化合物を高分子化合物(P2)とよぶことにする。
[Synthesis of polymer compounds]
Hereinafter, the polymer compound containing the repeating units represented by the above formulas (1) to (3) and (4a) to (4f) is represented by the polymer compound (P1) and the above formulas (5) to (7). The polymer compound containing the repeating unit is referred to as a polymer compound (P2).

高分子化合物(P1)及び(P2)を合成する場合、2,2’−アゾビスイソブチロニトリル(以下、AIBNと略記)等の開始剤を用いるラジカル重合、アルキルリチウム等を用いるイオン重合(アニオン重合)等の一般的重合手法を用いることが可能であり、これらの重合はその常法に従って実施することができる。このうち、本発明で用いる高分子化合物の合成はラジカル重合により製造を行うことが好ましい。この場合、重合条件は開始剤の種類と添加量、温度、圧力、濃度、溶媒、添加物等によって支配される。   When synthesizing the polymer compounds (P1) and (P2), radical polymerization using an initiator such as 2,2′-azobisisobutyronitrile (hereinafter abbreviated as AIBN), ion polymerization using alkyllithium or the like ( General polymerization techniques such as anionic polymerization) can be used, and these polymerizations can be carried out in accordance with conventional methods. Among these, it is preferable to synthesize the polymer compound used in the present invention by radical polymerization. In this case, the polymerization conditions are governed by the type and addition amount of the initiator, temperature, pressure, concentration, solvent, additive and the like.

ラジカル重合開始剤としては特に限定されるものではないが、例としてAIBN、2,2’−アゾビス(4−メトキシ−2,4−ジメチルバレロニトリル)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、2,2’−アゾビス(2,4,4−トリメチルペンタン)、2,2’−アゾビス(イソ酪酸)ジメチル等のアゾ系化合物、tert−ブチルパーオキシピバレート、ラウロイルパーオキサイド、ベンゾイルパーオキサイド、tert−ブチルパーオキシラウレート等の過酸化物系化合物、過硫酸カリウムのような水溶性重合開始剤、更には過硫酸カリウムや過酸化水素等の過酸化物と亜硫酸ナトリウムのような還元剤の組み合わせからなるレドックス系開始剤等が例示される。重合開始剤の使用量は種類や重合条件等に応じて適宜変更可能であるが、通常は重合させるべき単量体全量に対して0.001〜10モル%、特に0.01〜6モル%が採用される。   The radical polymerization initiator is not particularly limited, but examples include AIBN, 2,2′-azobis (4-methoxy-2,4-dimethylvaleronitrile), 2,2′-azobis (2,4- Dimethylvaleronitrile), 2,2′-azobis (2,4,4-trimethylpentane), azo compounds such as 2,2′-azobis (isobutyric acid) dimethyl, tert-butyl peroxypivalate, lauroyl peroxide Peroxide compounds such as benzoyl peroxide and tert-butyl peroxylaurate, water-soluble polymerization initiators such as potassium persulfate, and peroxides such as potassium persulfate and hydrogen peroxide and sodium sulfite. Examples thereof include a redox initiator composed of a combination of such reducing agents. The amount of the polymerization initiator used can be appropriately changed according to the type and polymerization conditions, but is usually 0.001 to 10 mol%, particularly 0.01 to 6 mol%, based on the total amount of monomers to be polymerized. Is adopted.

高分子化合物(P1)及び(P2)を合成する場合、分子量の調整のためにドデシルメルカプタンや2−メルカプトエタノールのような公知の連鎖移動剤を併用してもよい。その場合、これらの連鎖移動剤の添加量は重合させる単量体の総モル数に対して0.01〜10モル%であることが好ましい。   When synthesizing the polymer compounds (P1) and (P2), a known chain transfer agent such as dodecyl mercaptan or 2-mercaptoethanol may be used in combination for adjusting the molecular weight. In that case, it is preferable that the addition amount of these chain transfer agents is 0.01-10 mol% with respect to the total number of moles of the monomer to polymerize.

高分子化合物(P1)を合成する場合、一般式(1)〜(3),(4a)〜(4f),(8a)〜(8e),(9a)〜(9e),(10a)〜(10c),(11a)〜(11c)で表される繰り返し単位に対応する重合性モノマーを混合し、上述の開始剤や連鎖移動剤を添加して重合を行う。同様に、高分子化合物(P2)を合成する場合、一般式(5)〜(7)で表される繰り返し単位に対応する重合性モノマーを混合し、上述の開始剤や連鎖移動剤を添加して重合を行う。   When synthesizing the polymer compound (P1), the general formulas (1) to (3), (4a) to (4f), (8a) to (8e), (9a) to (9e), (10a) to ( The polymerizable monomers corresponding to the repeating units represented by 10c) and (11a) to (11c) are mixed, and polymerization is carried out by adding the above-mentioned initiator and chain transfer agent. Similarly, when synthesizing the polymer compound (P2), the polymerizable monomers corresponding to the repeating units represented by the general formulas (5) to (7) are mixed, and the above-described initiator and chain transfer agent are added. Polymerization.

高分子化合物(P1)において、
一般式(1)〜(3)の単位に対応するモノマーの総モル数をU11、
一般式(4a)〜(4f)の単位に対応するモノマーの総モル数をU12、
一般式(8a)〜(8e),(9a)〜(9e),(10a)〜(10c),(11a)〜(11c)の単位に対応するモノマーの総モル数をU13、
U11+U12+U13=U1
とした場合、
0<U11/U1<1、より好ましくは0.1≦U11/U1≦0.7、更に好ましくは0.2≦U11/U1≦0.6、
0≦U12/U1<1、より好ましくは0.3≦U12/U1≦0.9、更に好ましくは0.4≦U12/U1A≦0.8、
0≦U13/U1<1、より好ましくは0≦U13/U1≦0.5、更に好ましくは0≦U13/U1≦0.3
である。但し、U1≦100モル%である。
In the polymer compound (P1),
The total number of moles of monomers corresponding to the units of the general formulas (1) to (3) is U11,
The total number of moles of monomers corresponding to the units of the general formulas (4a) to (4f) is U12,
The total number of moles of monomers corresponding to the units of the general formulas (8a) to (8e), (9a) to (9e), (10a) to (10c), (11a) to (11c) is U13,
U11 + U12 + U13 = U1
If
0 <U11 / U1 <1, more preferably 0.1 ≦ U11 / U1 ≦ 0.7, still more preferably 0.2 ≦ U11 / U1 ≦ 0.6,
0 ≦ U12 / U1 <1, more preferably 0.3 ≦ U12 / U1 ≦ 0.9, still more preferably 0.4 ≦ U12 / U1A ≦ 0.8,
0 ≦ U13 / U1 <1, more preferably 0 ≦ U13 / U1 ≦ 0.5, still more preferably 0 ≦ U13 / U1 ≦ 0.3
It is. However, U1 ≦ 100 mol%.

高分子化合物(P2)において、
一般式(5)の単位に対応するモノマーの総モル数をU21、
一般式(6)の単位に対応するモノマーの総モル数をU22、
一般式(7)の単位に対応するモノマーの総モル数をU23、
一般式(8a)〜(8e),(9a)〜(9e),(10a)〜(10c),(11a)〜(11c)の単位に対応するモノマーの総モル数をU24、
U21+U22+U23+U24=U2
とした場合、
0≦U21/U2<1、より好ましくは0≦U21/U2≦0.5、更に好ましくは0≦U21/U2≦0.3、
0≦U22/U2<1、より好ましくは0≦U22/U2≦0.5、更に好ましくは0≦U22/U2≦0.3、
0<U23/U2<1、より好ましくは0.5≦U23/U2<1、更に好ましくは0.7≦U23/U2<1
0≦U24/U2<1、より好ましくは0≦U24/U2<0.5、更に好ましくは0≦U24/U2<0.3
である。但し、U2≦100モル%である。
In the polymer compound (P2),
The total number of moles of the monomer corresponding to the unit of the general formula (5) is U21,
The total number of moles of the monomer corresponding to the unit of the general formula (6) is U22,
The total number of moles of the monomer corresponding to the unit of the general formula (7) is U23,
The total number of moles of monomers corresponding to the units of the general formulas (8a) to (8e), (9a) to (9e), (10a) to (10c), (11a) to (11c) is U24,
U21 + U22 + U23 + U24 = U2
If
0 ≦ U21 / U2 <1, more preferably 0 ≦ U21 / U2 ≦ 0.5, still more preferably 0 ≦ U21 / U2 ≦ 0.3,
0 ≦ U22 / U2 <1, more preferably 0 ≦ U22 / U2 ≦ 0.5, still more preferably 0 ≦ U22 / U2 ≦ 0.3,
0 <U23 / U2 <1, more preferably 0.5 ≦ U23 / U2 <1, more preferably 0.7 ≦ U23 / U2 <1
0 ≦ U24 / U2 <1, more preferably 0 ≦ U24 / U2 <0.5, still more preferably 0 ≦ U24 / U2 <0.3
It is. However, U2 ≦ 100 mol%.

重合を行う際には、必要に応じて溶媒を用いてもよい。重合溶媒としては重合反応を阻害しないものが好ましく、代表的なものとしては、酢酸エチル、酢酸n−ブチル、γ−ブチロラクトン等のエステル類、アセトン、メチルエチルケトン、メチルイソブチルケトン等のケトン類、トルエン、キシレン、シクロヘキサン等の脂肪族又は芳香族炭化水素類、イソプロピルアルコール、エチレングリコールモノメチルエーテル等のアルコール類、ジエチルエーテル、ジオキサン、テトラヒドロフラン等のエーテル系溶剤が使用できる。これらの溶剤は単独で用いても、2種類以上を混合して用いてもよい。重合溶媒の使用量は、目標となる重合度(分子量)、開始剤の添加量、重合温度等の重合条件に応じて適宜変更可能であり、通常は重合させる単量体の濃度が0.1〜95質量%、特に5〜90質量%になるように溶媒を添加する。   When performing the polymerization, a solvent may be used as necessary. As the polymerization solvent, those that do not inhibit the polymerization reaction are preferable, and typical examples include esters such as ethyl acetate, n-butyl acetate, and γ-butyrolactone, ketones such as acetone, methyl ethyl ketone, and methyl isobutyl ketone, toluene, Aliphatic or aromatic hydrocarbons such as xylene and cyclohexane, alcohols such as isopropyl alcohol and ethylene glycol monomethyl ether, and ether solvents such as diethyl ether, dioxane and tetrahydrofuran can be used. These solvents may be used alone or in combination of two or more. The amount of the polymerization solvent used can be appropriately changed according to the polymerization conditions such as the target degree of polymerization (molecular weight), the amount of initiator added, and the polymerization temperature. Usually, the concentration of the monomer to be polymerized is 0.1. A solvent is added so that it may be -95 mass%, especially 5-90 mass%.

重合反応の反応温度は、重合開始剤の種類あるいは溶媒の沸点により適宜変更されるが、通常は20〜200℃が好ましく、特に50〜140℃が好ましい。かかる重合反応に用いる反応容器は特に限定されない。   The reaction temperature of the polymerization reaction is appropriately changed depending on the kind of the polymerization initiator or the boiling point of the solvent, but is usually preferably 20 to 200 ° C, particularly preferably 50 to 140 ° C. The reaction vessel used for such a polymerization reaction is not particularly limited.

このようにして得られた重合体の溶液又は分散液から、媒質である有機溶媒又は水を除去する方法としては、公知の方法のいずれも利用できるが、例を挙げれば再沈澱濾過又は減圧下での加熱留出等の方法がある。   Any known method can be used as a method for removing the organic solvent or water as a medium from the polymer solution or dispersion thus obtained. For example, reprecipitation filtration or reduced pressure can be used. There are methods such as heating distilling at

高分子化合物(P1)及び(P2)の場合、重量平均分子量(Mw)が小さすぎるとレジスト材料とのミキシングや水への溶解が起こり易くなる。また、重量平均分子量が大きすぎるとスピンコート後の成膜性に問題が生じたり、アルカリ溶解性が低下したりすることがある。その観点から、ゲル・パーミエーション・クロマトグラフィー(GPC)によるポリスチレン換算の重量平均分子量において1,000〜500,000、好ましくは2,000〜30,000であることが望ましい。   In the case of the polymer compounds (P1) and (P2), if the weight average molecular weight (Mw) is too small, mixing with the resist material and dissolution in water are likely to occur. On the other hand, if the weight average molecular weight is too large, there may be a problem in film formability after spin coating, or the alkali solubility may be lowered. From that viewpoint, the polystyrene-reduced weight average molecular weight by gel permeation chromatography (GPC) is 1,000 to 500,000, preferably 2,000 to 30,000.

高分子化合物(P1)及び(P2)において、上記式(1)のR4、上記式(4a),(4b),(4e)のR14、上記式(8c)及び(9c)のR19については、後保護化反応により導入することも可能である。即ち、予めR4、R14及びR19が水素のモノマーを重合して高分子化合物を合成後、下式に示すような後保護化反応により得られたポリマーの水酸基の一部又は全部をR4、R14及びR19(R4、R14及びR19は前記と同様)で置換する。

Figure 0004743452
In the polymer compounds (P1) and (P2), R 4 in the above formula (1), R 14 in the above formulas (4a), (4b) and (4e), R 19 in the above formulas (8c) and (9c). Can also be introduced by a post-protection reaction. That is, after polymerizing R 4 , R 14 and R 19 with a hydrogen monomer in advance to synthesize a polymer compound, a part or all of the hydroxyl groups of the polymer obtained by the post-protection reaction as shown in the following formula 4 , R 14 and R 19 (wherein R 4 , R 14 and R 19 are the same as above).
Figure 0004743452

後保護化反応では、水酸基の置換率目標値に対し1〜2当量の塩基を高分子化合物と反応させた後、塩基に対し1〜2当量のR−X(Rは先述のR4、R14及びR19、Xは塩素、臭素、又はヨウ素)と反応させることにより、目的の後保護化高分子化合物を得ることができる。 In the post-protection reaction, after 1 to 2 equivalents of the base is reacted with the polymer compound with respect to the target value of the substitution rate of the hydroxyl group, 1 to 2 equivalents of R—X (R is R 4 or R described above) with respect to the base. 14 and R 19 , X can be reacted with chlorine, bromine, or iodine to obtain the desired post-protected polymer compound.

後保護化反応の際に用いられる溶媒としては、ベンゼン、トルエン等の炭化水素類、ジブチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、テトラヒドロフラン、1,4−ジオキサン等のエーテル類から選択して単独あるいは2種類以上を混合して用いることができる。また、塩基としては、水素化ナトリウム、n−ブチルリチウム、リチウムジイソプロピルアミド、トリエチルアミン、ピリジン等が挙げられるが、それらに限定されるものではない。   The solvent used in the post-protection reaction is selected from hydrocarbons such as benzene and toluene, ethers such as dibutyl ether, diethylene glycol diethyl ether, diethylene glycol dimethyl ether, tetrahydrofuran, and 1,4-dioxane alone or 2 A mixture of more than one can be used. Examples of the base include, but are not limited to, sodium hydride, n-butyllithium, lithium diisopropylamide, triethylamine, pyridine and the like.

高分子化合物(P2)において、一般式(5)の繰り返し単位中のアンモニウム塩は側鎖にスルホ基を有する(メタ)アクリレートと対応するアミンとの中和反応、又は下記一般式で表されるアンモニウム塩とのイオン交換反応によって得ることができる。   In the polymer compound (P2), the ammonium salt in the repeating unit of the general formula (5) is represented by a neutralization reaction between a (meth) acrylate having a sulfo group in the side chain and a corresponding amine, or the following general formula: It can be obtained by an ion exchange reaction with an ammonium salt.

Figure 0004743452
(式中、R34a〜R34dは前記と同様であり、L-はOH-、Cl-、Br-、I-、R39CO2 -、又はNO3 -である。R39は水素原子又は一価の有機基を示す。)
Figure 0004743452
(Wherein R 34a to R 34d are the same as defined above, and L represents OH , Cl , Br , I , R 39 CO 2 , or NO 3 . R 39 represents a hydrogen atom or Indicates a monovalent organic group.)

高分子化合物(P2)において、上記式(5)の繰り返し単位を導入する場合、上述の中和反応やイオン交換反応はモノマーの段階で行うこともできるし、ポリマー合成後に実施することも可能である。ただし、ポリマー合成後に上記反応を行う場合、添加するアミン量が少ないとポリマーユニット内で均一にアミン塩が形成されず、それが原因でパターン形成時に局所的なブリッジ欠陥が発生することもある。このようなことを避けるため、モノマーの状態で中和反応もしくはイオン交換反応を行い、スルホン酸アミン塩が均一に分布したモノマーを用いて重合することが好ましい。   In the polymer compound (P2), when the repeating unit of the above formula (5) is introduced, the above-described neutralization reaction or ion exchange reaction can be performed at the monomer stage, or can be performed after polymer synthesis. is there. However, when the above reaction is performed after polymer synthesis, if the amount of amine added is small, an amine salt is not uniformly formed in the polymer unit, which may cause local bridge defects during pattern formation. In order to avoid such a situation, it is preferable to perform polymerization using a monomer in which a sulfonic acid amine salt is uniformly distributed by performing a neutralization reaction or an ion exchange reaction in the state of the monomer.

高分子化合物(P2)において、上記式(6)の繰り返し単位を導入する場合、三級以下のアンモニウム塩を含むものについては、側鎖にアミノ基を有する(メタ)アクリレートと対応するスルホン酸との中和反応によって得ることができる。また、四級アンモニウム塩を含むものについては、上述と同様のイオン交換反応によって得ることができる。上記式(5)の繰り返し単位の場合と同様、上述の中和反応やイオン交換反応はモノマーの段階でもポリマー合成後でも行うことができる。   In the polymer compound (P2), when the repeating unit of the above formula (6) is introduced, for those containing a tertiary or lower ammonium salt, (meth) acrylate having an amino group in the side chain and the corresponding sulfonic acid Can be obtained by a neutralization reaction. Moreover, what contains a quaternary ammonium salt can be obtained by the same ion exchange reaction as the above-mentioned. As in the case of the repeating unit of the above formula (5), the above-described neutralization reaction and ion exchange reaction can be performed at the monomer stage or after the polymer synthesis.

上記式(5)の繰り返し単位において、ポリマー全体におけるスルホ基とアミン化合物の中和量については、アミン当量が少なくスルホン酸残基があってもよいし、その逆でアミン過剰になっていてもよい。スルホン酸残基がある場合、フォトレジストと組み合わせたときに現像後のレジストパターン間のブリッジを防ぐ効果があるのに対し、アミンが過剰な場合、レジストパターンの矩形性を向上させる効果がある。このようなことを踏まえ、スルホ基とアミンの量については現像後のレジストパターンを観察しながら適宜調整することができる。一般式(6)の繰り返し単位においても同様のことがいえる。   In the repeating unit of the above formula (5), the amount of neutralization of the sulfo group and amine compound in the whole polymer may have a small amine equivalent and a sulfonic acid residue, and vice versa. Good. When there is a sulfonic acid residue, there is an effect of preventing a bridge between resist patterns after development when combined with a photoresist, whereas when there is an excess of amine, there is an effect of improving the rectangularity of the resist pattern. Based on this, the amount of sulfo group and amine can be adjusted as appropriate while observing the resist pattern after development. The same applies to the repeating unit of the general formula (6).

[レジスト保護膜材料の調整]
本発明のレジスト保護膜材料において、高分子化合物(P1)は一般式(1)〜(3)のいずれかの繰り返し単位内に含フッ素ヘミアセタールの水酸基が保護された構造を含み、樹脂の構造の選択により撥水性、滑水性、脂溶性、酸分解性、加水分解性、アルカリ溶解性など各種性能の調整が可能である。
[Adjustment of resist protective film material]
In the resist protective film material of the present invention, the polymer compound (P1) includes a structure in which the hydroxyl group of the fluorine-containing hemiacetal is protected in the repeating unit of any one of the general formulas (1) to (3), and the resin structure By selecting one of these, various performances such as water repellency, water slidability, fat solubility, acid decomposability, hydrolyzability, and alkali solubility can be adjusted.

また、高分子化合物(P2)は繰り返し単位中に親水性のスルホン酸アミン塩を含むため、スピンコート後にポリマーがレジスト側に配向しやすくなる。その結果、現像後のレジスト表面が親水性になり、ブロブ欠陥を抑えることができる。また、保護膜材料としてスルホ基だけを有するポリマーを用いた場合、レジスト膜中のクエンチャーの一部は保護膜層へ移動する。クエンチャーの移動が起こるとレジスト膜最表面のクエンチャー濃度が低下し、それに伴い現像後のレジストパターンが膜減りしてしまい、結果的にエッチング耐性が低下するおそれがある。これに対し、高分子化合物(P2)は保護膜層にスルホン酸アミン塩が存在しているため、上述のようなクエンチャーの移動が起こらず、矩形なレジストパターンを得ることが可能になる。   Further, since the polymer compound (P2) contains a hydrophilic sulfonic acid amine salt in the repeating unit, the polymer is easily oriented to the resist side after spin coating. As a result, the resist surface after development becomes hydrophilic, and blob defects can be suppressed. When a polymer having only a sulfo group is used as the protective film material, a part of the quencher in the resist film moves to the protective film layer. When the quencher moves, the quencher concentration on the outermost surface of the resist film is lowered, and accordingly, the resist pattern after development is reduced, and as a result, the etching resistance may be lowered. On the other hand, since the polymer compound (P2) has a sulfonic acid amine salt in the protective film layer, the quencher does not move as described above, and a rectangular resist pattern can be obtained.

高分子化合物(P1)と(P2)を混合して用いると、両者はスピンコート時に層分離を起こし、保護膜上層に撥水性と滑水性に優れる高分子化合物(P1)、レジスト膜の上部(保護膜下層)に親水性の高分子化合物(P2)が局在化する。その結果、レジスト表面の撥水性と滑水性能が向上するだけでなく、ブロブ欠陥も抑えたレジスト保護膜を実現することができる。   When the polymer compounds (P1) and (P2) are mixed and used, both cause layer separation at the time of spin coating, the polymer compound (P1) having excellent water repellency and water slidability on the upper layer of the protective film, and the upper part of the resist film ( The hydrophilic polymer compound (P2) is localized in the lower layer of the protective film. As a result, it is possible to realize a resist protective film that not only improves the water repellency and water sliding performance of the resist surface but also suppresses blob defects.

高分子化合物(P1)と高分子化合物(P2)をブレンドして用いる場合、その混合比率は任意であり、樹脂全体に対する高分子化合物(P1)の質量比は5〜95%、好ましくは20〜93%、より好ましくは30〜90%の範囲とすることができる。   When the polymer compound (P1) and the polymer compound (P2) are blended and used, the mixing ratio is arbitrary, and the mass ratio of the polymer compound (P1) to the whole resin is 5 to 95%, preferably 20 to The range can be 93%, more preferably 30 to 90%.

本発明のレジスト保護膜材料では、高分子化合物(P1)及び(P2)を好ましく使用することができるが、膜の力学物性、熱的物性、アルカリ可溶性、撥水性能、滑水性能、その他の物性を変える目的で他の高分子化合物を混合することもできる。その際、混合する高分子化合物の範囲は特に限定されないが、レジスト保護膜用途の公知の高分子化合物等と任意の範囲で混合することができる。   In the resist protective film material of the present invention, the polymer compounds (P1) and (P2) can be preferably used, but the mechanical properties, thermal properties, alkali solubility, water repellency, water slide performance, Other polymer compounds can be mixed for the purpose of changing physical properties. At that time, the range of the polymer compound to be mixed is not particularly limited, but can be mixed with a known polymer compound or the like for resist protective film use in an arbitrary range.

本発明のレジスト保護膜材料は、上記高分子化合物を溶媒に溶解させて用いることが好ましい。この場合、スピンコーティング法による成膜性の点から、上記樹脂全体の濃度が0.1〜20質量%、特に0.5〜10質量%となるように溶媒を使用することが好ましい。   The resist protective film material of the present invention is preferably used by dissolving the polymer compound in a solvent. In this case, it is preferable to use a solvent so that the density | concentration of the said whole resin will be 0.1-20 mass%, especially 0.5-10 mass% from the point of the film-forming property by a spin coating method.

用いられる溶媒としては特に限定されないが、レジスト層を溶解させない溶媒が好ましく用いられる。レジスト層を溶解しない溶媒としては、例えば、炭素数4以上の高級アルコール、トルエン、キシレン、アニソール、ヘキサン、シクロヘキサン、デカン、エーテル化合物等の非極性溶媒等を挙げることができる。特に炭素数4以上の高級アルコールや炭素数8〜12のエーテル化合物が好ましく用いられ、具体的には、1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−ジエチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、ジイソプロピルエーテル、ジイソブチルエーテル、ジイソペンチルエーテル、ジ−n−ペンチルエーテル、メチルシクロペンチルエーテル、メチルシクロヘキシルエーテル、ジ−n−ブチルエーテル、ジ−secブチルエーテル、ジイソペンチルエーテル、ジ−sec−ペンチルエーテル、ジ−t−アミルエーテル、ジ−n−ヘキシルエーテル等が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。   The solvent used is not particularly limited, but a solvent that does not dissolve the resist layer is preferably used. Examples of the solvent that does not dissolve the resist layer include higher polar alcohols having 4 or more carbon atoms, nonpolar solvents such as toluene, xylene, anisole, hexane, cyclohexane, decane, and ether compounds. In particular, higher alcohols having 4 or more carbon atoms and ether compounds having 8 to 12 carbon atoms are preferably used. Specifically, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1- Hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-diethyl-1-butanol, 2- Methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pe Tanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl -3-pentanol, cyclohexanol, diisopropyl ether, diisobutyl ether, diisopentyl ether, di-n-pentyl ether, methylcyclopentyl ether, methylcyclohexyl ether, di-n-butyl ether, di-secbutyl ether, diisopentyl ether , Di-sec-pentyl ether, di-t-amyl ether, di-n-hexyl ether, and the like. These can be used alone or in admixture of two or more. It is not limited.

一方、フッ素系の溶媒もレジスト層を溶解しないので好ましく用いることができる。このようなフッ素置換された溶媒を例示すると、2−フルオロアニソール、3−フルオロアニソール、4−フルオロアニソール、2,3−ジフルオロアニソール、2,4−ジフルオロアニソール、2,5−ジフルオロアニソール、5,8−ジフルオロ−1,4−ベンゾジオキサン、2,3−ジフルオロベンジルアルコール、1,3−ジフルオロ−2−プロパノール、2’,4’−ジフルオロプロピオフェノン、2,4−ジフルオロトルエン、トリフルオロアセトアルデヒドエチルヘミアセタール、トリフルオロアセトアミド、トリフルオロエタノール、2,2,2−トリフルオロエチルブチレート、エチルヘプタフルオロブチレート、エチルヘプタフルオロブチルアセテート、エチルヘキサフルオログルタリルメチル、エチル−3−ヒドロキシ−4,4,4−トリフルオロブチレート、エチル−2−メチル−4,4,4−トリフルオロアセトアセテート、エチルペンタフルオロベンゾエート、エチルペンタフルオロプロピオネート、エチルペンタフルオロプロピニルアセテート、エチルパーフルオロオクタノエート、エチル−4,4,4−トリフルオロアセトアセテート、エチル−4,4,4−トリフルオロブチレート、エチル−4,4,4−トリフルオロクロトネート、エチルトリフルオロスルホネート、エチル−3−(トリフルオロメチル)ブチレート、エチルトリフルオロピルベート、S−エチルトリフルオロアセテート、フルオロシクロヘキサン、2,2,3,3,4,4,4−ヘプタフルオロ−1−ブタノール、1,1,1,2,2,3,3−ヘプタフルオロ−7,7−ジメチル−4,6−オクタンジオン、1,1,1,3,5,5,5−ヘプタフルオロペンタン−2,4−ジオン、3,3,4,4,5,5,5−ヘプタフルオロ−2−ペンタノール、3,3,4,4,5,5,5−ヘプタフルオロ−2−ペンタノン、イソプロピル4,4,4−トリフルオロアセトアセテート、メチルパーフルオロデナノエート、メチルパーフルオロ(2−メチル−3−オキサヘキサノエート)、メチルパーフルオロノナノエート、メチルパーフルオロオクタノエート、メチル−2,3,3,3−テトラフルオロプロピオネート、メチルトリフルオロアセトアセテート、1,1,1,2,2,6,6,6−オクタフルオロ−2,4−ヘキサンジオン、2,2,3,3,4,4,5,5−オクタフルオロ−1−ペンタノール、1H,1H,2H,2H−パーフルオロ−1−デカノール、パーフルオロ(2,5−ジメチル−3,6−ジオキサンアニオニック)酸メチルエステル、2H−パーフルオロ−5−メチル−3,6−ジオキサノナン、1H,1H,2H,3H,3H−パーフルオロノナン−1,2−ジオール、1H,1H,9H−パーフルオロ−1−ノナノール、1H,1H−パーフルオロオクタノール、1H,1H,2H,2H−パーフルオロオクタノール、2H−パーフルオロ−5,8,11,14−テトラメチル−3,6,9,12,15−ペンタオキサオクタデカン、パーフルオロトリブチルアミン、パーフルオロトリヘキシルアミン、パーフルオロ−2,5,8−トリメチル−3,6,9−トリオキサドデカン酸メチルエステル、パーフルオロトリペンチルアミン、パーフルオロトリプロピルアミン、1H,1H,2H,3H,3H−パーフルオロウンデカン−1,2−ジオール、トルフルオロブタノール1,1,1−トリフルオロ−5−メチル−2,4−ヘキサンジオン、1,1,1−トリフルオロ−2−プロパノール、3,3,3−トリフルオロ−1−プロパノール、1,1,1−トリフルオロ−2−プロピルアセテート、パーフルオロブチルテトラヒドロフラン、パーフルオロ(ブチルテトラヒドロフラン)、パーフルオロデカリン、パーフルオロ(1,2−ジメチルシクロヘキサン)、パーフルオロ(1,3−ジメチルシクロヘキサン)、プロピレングリコールトリフルオロメチルエーテルアセテート、プロピレングリコールメチルエーテルトリフルオロメチルアセテート、トリフルオロメチル酢酸ブチル、3−トリフルオロメトキシプロピオン酸メチル、パーフルオロシクロヘキサノン、プロピレングリコールトリフルオロメチルエーテル、トリフルオロ酢酸ブチル、1,1,1−トリフルオロ−5,5−ジメチル−2,4−ヘキサンジオン、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール、1,1,1,3,3,3−ヘキサフルオロ−2−メチル−2−プロパノール、2,2,3,4,4,4−ヘキサフルオロ−1−ブタノール、2−トリフルオロメチル−2−プロパノール,2,2,3,3−テトラフルオロ−1−プロパノール、3,3,3−トリフルオロ−1−プロパノール、4,4,4−トリフルオロ−1−ブタノール等が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。   On the other hand, a fluorine-based solvent can be preferably used because it does not dissolve the resist layer. Examples of such fluorine-substituted solvents include 2-fluoroanisole, 3-fluoroanisole, 4-fluoroanisole, 2,3-difluoroanisole, 2,4-difluoroanisole, 2,5-difluoroanisole, 5, 8-difluoro-1,4-benzodioxane, 2,3-difluorobenzyl alcohol, 1,3-difluoro-2-propanol, 2 ′, 4′-difluoropropiophenone, 2,4-difluorotoluene, trifluoroacetaldehyde Ethyl hemiacetal, trifluoroacetamide, trifluoroethanol, 2,2,2-trifluoroethyl butyrate, ethyl heptafluorobutyrate, ethyl heptafluorobutyl acetate, ethyl hexafluoroglutaryl methyl, ethyl-3-hydroxy -4,4,4-trifluorobutyrate, ethyl-2-methyl-4,4,4-trifluoroacetoacetate, ethyl pentafluorobenzoate, ethyl pentafluoropropionate, ethyl pentafluoropropynyl acetate, ethyl perfluoro Octanoate, ethyl-4,4,4-trifluoroacetoacetate, ethyl-4,4,4-trifluorobutyrate, ethyl-4,4,4-trifluorocrotonate, ethyltrifluorosulfonate, ethyl- 3- (trifluoromethyl) butyrate, ethyl trifluoropyruvate, S-ethyl trifluoroacetate, fluorocyclohexane, 2,2,3,3,4,4,4-heptafluoro-1-butanol, 1,1, 1,2,2,3,3-heptafluoro-7,7- Methyl-4,6-octanedione, 1,1,1,3,5,5,5-heptafluoropentane-2,4-dione, 3,3,4,4,5,5,5-heptafluoro- 2-pentanol, 3,3,4,4,5,5,5-heptafluoro-2-pentanone, isopropyl 4,4,4-trifluoroacetoacetate, methyl perfluorodenanoate, methyl perfluoro (2 -Methyl-3-oxahexanoate), methyl perfluorononanoate, methyl perfluorooctanoate, methyl-2,3,3,3-tetrafluoropropionate, methyl trifluoroacetoacetate, 1,1, 1,2,2,6,6,6-octafluoro-2,4-hexanedione, 2,2,3,3,4,4,5,5-octafluoro-1-pentanol, 1H , 1H, 2H, 2H-perfluoro-1-decanol, perfluoro (2,5-dimethyl-3,6-dioxane anionic) acid methyl ester, 2H-perfluoro-5-methyl-3,6-dioxanonane, 1H, 1H, 2H, 3H, 3H-perfluorononane-1,2-diol, 1H, 1H, 9H-perfluoro-1-nonanol, 1H, 1H-perfluorooctanol, 1H, 1H, 2H, 2H-per Fluorooctanol, 2H-perfluoro-5,8,11,14-tetramethyl-3,6,9,12,15-pentaoxaoctadecane, perfluorotributylamine, perfluorotrihexylamine, perfluoro-2,5 , 8-trimethyl-3,6,9-trioxadodecanoic acid methyl ester, perfluorotripenti Amine, perfluorotripropylamine, 1H, 1H, 2H, 3H, 3H-perfluoroundecane-1,2-diol, trifluorobutanol 1,1,1-trifluoro-5-methyl-2,4-hexanedione 1,1,1-trifluoro-2-propanol, 3,3,3-trifluoro-1-propanol, 1,1,1-trifluoro-2-propyl acetate, perfluorobutyltetrahydrofuran, perfluoro (butyl Tetrahydrofuran), perfluorodecalin, perfluoro (1,2-dimethylcyclohexane), perfluoro (1,3-dimethylcyclohexane), propylene glycol trifluoromethyl ether acetate, propylene glycol methyl ether trifluoromethyl acetate, trifluoro Methyl butyl acetate, methyl 3-trifluoromethoxypropionate, perfluorocyclohexanone, propylene glycol trifluoromethyl ether, butyl trifluoroacetate, 1,1,1-trifluoro-5,5-dimethyl-2,4-hexanedione 1,1,1,3,3,3-hexafluoro-2-propanol, 1,1,1,3,3,3-hexafluoro-2-methyl-2-propanol, 2,2,3,4 , 4,4-hexafluoro-1-butanol, 2-trifluoromethyl-2-propanol, 2,2,3,3-tetrafluoro-1-propanol, 3,3,3-trifluoro-1-propanol, 4,4,4-trifluoro-1-butanol and the like can be used, and one of these can be used alone or two or more can be used in combination. However, it is not limited to these.

本発明のレジスト保護膜材料では、塩基性化合物を用いてパターン形状の補正等の性能改善を行うことができる。例えば、高分子化合物(P1)の場合、繰り返し単位中に酸性水酸基を有するため、レジスト膜中のクエンチャーの一部が保護膜層に移動する可能性がある。上述の通り、クエンチャーの移動が起こるとレジスト最表面のクエンチャー濃度が低下し、現像後のレジストパターンが膜減りしてしまう。このようなクエンチャーの移動を避けるため、予め塩基性化合物をレジスト保護膜材料中に添加し、パターン形状の劣化を防ぐことができる。   In the resist protective film material of the present invention, performance improvement such as correction of pattern shape can be performed using a basic compound. For example, in the case of the polymer compound (P1), since the repeating unit has an acidic hydroxyl group, a part of the quencher in the resist film may move to the protective film layer. As described above, when the quencher moves, the quencher concentration on the outermost surface of the resist is lowered and the resist pattern after development is reduced. In order to avoid such movement of the quencher, a basic compound can be added in advance to the resist protective film material to prevent deterioration of the pattern shape.

ここで、塩基性化合物としては含窒素有機化合物が好適であり、1種又は2種以上の含窒素有機化合物を配合して用いることができる。このような含窒素有機化合物としては、第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられ、その具体例としては、特開2008−111103号公報の段落[0149]〜[0163]に記載されている。塩基性化合物の使用量は、高分子化合物(ベース樹脂)100質量部に対して0.001〜2質量部、特に0.01〜1質量部が好適である。   Here, a nitrogen-containing organic compound is suitable as the basic compound, and one or more nitrogen-containing organic compounds can be blended and used. Such nitrogen-containing organic compounds include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, sulfonyl Nitrogen-containing compounds having a group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, amides, imides, carbamates and the like. Specific examples thereof include those described in JP-A-2008-111103. It is described in paragraphs [0149] to [0163]. The amount of the basic compound used is preferably 0.001 to 2 parts by mass, particularly 0.01 to 1 part by mass with respect to 100 parts by mass of the polymer compound (base resin).

[パターン形成方法]
本発明におけるパターン形成方法では、少なくとも、基板上にフォトレジスト膜を形成する工程と、本発明のレジスト保護膜材料を用いて該フォトレジスト膜の上にレジスト保護膜を形成する工程と、露光する工程と、現像液を用いて現像する工程とを含むことが好ましい。
[Pattern formation method]
In the pattern forming method of the present invention, at least a step of forming a photoresist film on the substrate, a step of forming a resist protective film on the photoresist film using the resist protective film material of the present invention, and exposure are performed. It is preferable to include a step and a step of developing using a developer.

レジスト保護膜を形成する場合、プリベーク後のフォトレジスト膜上にレジスト保護膜材料溶液をスピンコートし、ホットプレート上で50〜150℃、1〜10分間、好ましくは70〜140℃、1〜5分間プリベークしてレジスト保護膜を形成する。膜厚は10〜500nmの範囲が好ましい。   In the case of forming a resist protective film, a resist protective film material solution is spin-coated on the pre-baked photoresist film, and is applied on a hot plate at 50 to 150 ° C. for 1 to 10 minutes, preferably 70 to 140 ° C., 1 to 5 Pre-baked for a minute to form a resist protective film. The film thickness is preferably in the range of 10 to 500 nm.

スピンコート時にレジスト膜表面を予め溶媒で塗らした後にレジスト膜保護膜を塗布すると、保護膜材料のディスペンス量を減らすことができる。その際、レジスト膜表面を塗らす方法としては回転塗布法やベーパープライム法が挙げられるが、回転塗布法が一般的に用いられ、使用する溶媒としては、前述のレジスト膜を溶解させない高級アルコール、エーテル系、フッ素系溶媒の中から選択することができる。   When a resist film protective film is applied after the resist film surface is previously applied with a solvent during spin coating, the amount of dispense of the protective film material can be reduced. At that time, as a method of coating the resist film surface, a spin coating method and a vapor prime method can be mentioned, but a spin coating method is generally used, and a solvent to be used is a higher alcohol that does not dissolve the resist film, It can be selected from ether solvents and fluorine solvents.

露光工程では、目的のパターンを形成するためのマスクを上記のフォトレジスト膜上にかざし、遠紫外線、エキシマレーザー、X線等の高エネルギー線又は電子線を露光量1〜200mJ/cm2、好ましくは10〜100mJ/cm2となるように照射する。この際、レジスト保護膜と投影レンズの間に液体を挿入して行う液浸(Immersion)露光が好ましいが、特に限定されるものではなく、空気あるいは窒素雰囲気下でのドライ露光でもよいし、EB、EUV等の真空中の露光でもよい。液浸露光の場合、180〜250nmの範囲の露光波長光源が好ましく、保護膜とレンズ間に挿入される液体として水が好ましく用いられる。 In the exposure step, a mask for forming a target pattern is placed over the photoresist film, and high energy rays such as deep ultraviolet rays, excimer laser, and X-rays or electron beams are applied in an exposure amount of 1 to 200 mJ / cm 2 , preferably Is irradiated so as to be 10 to 100 mJ / cm 2 . At this time, immersion exposure performed by inserting a liquid between the resist protective film and the projection lens is preferable, but the exposure is not particularly limited, and dry exposure in an air or nitrogen atmosphere may be used. , Exposure in a vacuum such as EUV may be used. In the case of immersion exposure, an exposure wavelength light source in the range of 180 to 250 nm is preferable, and water is preferably used as the liquid inserted between the protective film and the lens.

液浸露光においては、ウエハー裏面への水の回り込みや基板からの溶出を防ぐため、ウエハーエッジや裏面のクリーニングの有無、更にはそのクリーニング方法が重要である。例えば、レジスト保護膜をスピンコート後に40〜130℃の範囲で10〜300秒間ベークすることによって溶媒を揮発させることがある。また、ドライ露光でレジスト膜形成時に行うエッジクリーニングは、親水性の基板面のエッジ部分に水が残る場合があるため、液浸露光では好ましくないことがある。そのため、レジスト保護膜のスピンコート時にはエッジクリーニングをしないこともある。   In immersion exposure, the presence or absence of cleaning of the wafer edge and back surface and the cleaning method are important in order to prevent water from flowing into the back surface of the wafer and elution from the substrate. For example, the solvent may be volatilized by baking the resist protective film in the range of 40 to 130 ° C. for 10 to 300 seconds after spin coating. In addition, edge cleaning performed at the time of forming a resist film by dry exposure may not be preferable for immersion exposure because water may remain on the edge portion of the hydrophilic substrate surface. Therefore, edge cleaning may not be performed during spin coating of the resist protective film.

露光後はホットプレート上で60〜150℃、1〜5分間、好ましくは80〜140℃、1〜3分間ポスト・エクスポジュアー・ベーク(PEB)を行う。PEBを行う際、レジスト保護膜上に水が残っていると、PEB中に水が保護膜を通過する可能性がある。その結果、レジスト中の酸が吸い出され、パターン形成ができなくなる場合がある。このようなことを避けるため、PEB前に保護膜上の水を完全に除去する必要がある。その方法としては、スピンドライによる方法、乾燥空気や窒素による保護膜表面のパージによる方法、ステージ上の水回収ノズルの形状や水回収プロセスの最適化等が挙げられる。また、本発明の保護膜材料のような撥水性と滑水性に優れる材料を設計及び利用することも水の分離に有効である。   After exposure, post-exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably 80 to 140 ° C. for 1 to 3 minutes. When PEB is performed, if water remains on the resist protective film, water may pass through the protective film in PEB. As a result, the acid in the resist may be sucked out and pattern formation may not be possible. In order to avoid this, it is necessary to completely remove water on the protective film before PEB. Examples of the method include a spin dry method, a method of purging the protective film surface with dry air or nitrogen, a shape of the water recovery nozzle on the stage, and optimization of the water recovery process. In addition, designing and using a material excellent in water repellency and water slidability such as the protective film material of the present invention is also effective for water separation.

PEBを行った後は、0.1〜5質量%、好ましくは2〜3質量%のテトラメチルアンモニウムヒドロキシド(TMAH)等のアルカリ水溶液の現像液を用い、10〜300秒間、好ましくは0.5〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像を行う。アルカリ現像液は2.38質量%のテトラメチルアンモニウムヒドロキシド水溶液が一般的に広く用いられている。本発明のレジスト保護膜材料を用いる場合、保護膜材料自体がアルカリ溶解性を示すため、現像を行うと同時にレジスト保護膜の剥離も行うことができる。   After performing PEB, 0.1 to 5% by mass, preferably 2 to 3% by mass of a developer of an alkaline aqueous solution such as tetramethylammonium hydroxide (TMAH) is used for 10 to 300 seconds, preferably 0.8%. Development is performed for 5 to 2 minutes by a conventional method such as a dip method, a paddle method, or a spray method. As the alkali developer, a 2.38 mass% tetramethylammonium hydroxide aqueous solution is generally widely used. When the resist protective film material of the present invention is used, since the protective film material itself exhibits alkali solubility, the resist protective film can be peeled off simultaneously with development.

本発明のレジスト保護膜材料を用いたパターン形成方法においては、下層のレジスト材料は特に限定されない。レジストの種類はポジ型、ネガ型のいずれでもよい。また、通常の炭化水素系の単層レジスト材料でも、珪素原子等を含んだ二層(多層)レジスト材料でもよい。   In the pattern forming method using the resist protective film material of the present invention, the lower layer resist material is not particularly limited. The type of resist may be either positive or negative. Further, it may be a normal hydrocarbon-based single-layer resist material or a two-layer (multi-layer) resist material containing silicon atoms or the like.

KrF露光におけるレジスト材料は、ベース樹脂としてポリヒドロキシスチレン又はポリヒドロキシスチレン−(メタ)アクリレート共重合体の、ヒドロキシ基あるいはカルボキシル基の水素原子の一部又は全てが酸不安定基で置換された重合体が好ましく用いられる。   The resist material used in KrF exposure is a polyhydroxystyrene or polyhydroxystyrene- (meth) acrylate copolymer as a base resin, in which a part or all of the hydrogen atoms of hydroxy groups or carboxyl groups are substituted with acid labile groups. Coalescence is preferably used.

ArF露光におけるレジスト材料は、ベース樹脂として芳香族を含まない構造が好ましく、具体的には(メタ)アクリル酸誘導体の共重合体、ノルボルネン誘導体と無水マレイン酸の交互共重合体、ノルボルネン誘導体、無水マレイン酸、(メタ)アクリル酸誘導体の共重合体、テトラシクロドデセン誘導体と無水マレイン酸の交互共重合体、テトラシクロドデセン誘導体、無水マレイン酸、(メタ)アクリル酸誘導体の共重合体、ノルボルネン誘導体とマレイミド誘導体の交互共重合体、ノルボルネン誘導体、マレイミド誘導体、(メタ)アクリル酸誘導体の共重合体、テトラシクロドデセン誘導体とマレイミド誘導体の交互重合体、テトラシクロドデセン誘導体、マレイミド誘導体、(メタ)アクリル酸誘導体の共重合体、ポリノルボルネン誘導体及びメタセシス開環重合体から選択される1種あるいは2種以上の高分子化合物が好ましく用いられる。   The resist material in ArF exposure preferably has a structure that does not contain an aromatic as a base resin. Specifically, a copolymer of (meth) acrylic acid derivative, an alternating copolymer of norbornene derivative and maleic anhydride, norbornene derivative, anhydrous Maleic acid, copolymer of (meth) acrylic acid derivative, alternating copolymer of tetracyclododecene derivative and maleic anhydride, tetracyclododecene derivative, maleic anhydride, copolymer of (meth) acrylic acid derivative, Alternating copolymer of norbornene derivative and maleimide derivative, norbornene derivative, maleimide derivative, copolymer of (meth) acrylic acid derivative, alternating polymer of tetracyclododecene derivative and maleimide derivative, tetracyclododecene derivative, maleimide derivative, Copolymer of (meth) acrylic acid derivative, polynorbol One or more polymer compounds selected from the emissions derivatives and metathesis ring-opening polymers are preferably used.

繰り返し単位中に芳香環を含む材料は波長193nmに吸収を持つため、当初はArFレジストとして用いることができなかったが、レジスト膜の薄膜化に伴い吸収の影響が緩和され、適用が検討されるようになってきた。また、投影レンズのNAが1を超えると斜入射光による基板からの反射が増大するため、吸収のある芳香環を積極的に利用し、基板からの反射を抑えることが提案されている。この場合、ヒドロキシビニルナフタレン、側鎖にナフタレンやナフトール骨格を含むメタクリレート、フッ素化ヒドロキシスチレン、フルオロアルキルヒドロキシスチレン、フッ素化スチレン、フルオロアルキルスチレン、ヘキサフルオロイソプロパノールスチレン、ヘキサフルオロイソプロパノールインデン等の共重合体を用いることができる。   Since a material containing an aromatic ring in a repeating unit has absorption at a wavelength of 193 nm, it could not be used as an ArF resist at first, but the influence of absorption is reduced as the resist film is thinned, and its application is examined. It has become like this. Further, when the NA of the projection lens exceeds 1, reflection from the substrate due to obliquely incident light increases, so it has been proposed to actively use an aromatic ring having absorption to suppress reflection from the substrate. In this case, hydroxy vinyl naphthalene, methacrylate containing naphthalene or naphthol skeleton in the side chain, fluorinated hydroxy styrene, fluoroalkyl hydroxy styrene, fluorinated styrene, fluoroalkyl styrene, hexafluoroisopropanol styrene, hexafluoroisopropanol indene, etc. Can be used.

本発明のレジスト保護膜材料はマスクブランクス用のパターン形成方法にも適用できる。即ち、SiO2、Cr、CrO、CrN、MoSi等のマスクブランクス基板上にフォトレジストを塗布後、その上層に本発明のレジスト保護膜材料を用いてレジスト保護膜を形成する。この際、フォトレジストとブランクス基板の間にSOG膜と有機下層膜を形成し、三層構造を形成してもよい。レジスト保護膜を形成後、電子ビーム描画機を用いて真空中電子ビームで露光し、露光後にポスト・エクスポジュアー・ベーク(PEB)を行い、アルカリ現像液で10〜300秒間現像を行うことによりパターンを形成する。 The resist protective film material of the present invention can also be applied to a pattern forming method for mask blanks. That is, after applying a photoresist on a mask blank substrate such as SiO 2 , Cr, CrO, CrN, or MoSi, a resist protective film is formed on the upper layer using the resist protective film material of the present invention. At this time, an SOG film and an organic underlayer film may be formed between the photoresist and the blank substrate to form a three-layer structure. After forming a resist protective film, it is exposed with an electron beam in a vacuum using an electron beam drawing machine, post-exposure bake (PEB) is performed after exposure, and development is performed with an alkali developer for 10 to 300 seconds. Form a pattern.

マスクブランクス用のレジスト材料は、ベース樹脂としてノボラックやヒドロキシスチレン等が主に用いられる。これらの樹脂中のアルカリ溶解性水酸基を酸不安定基で置換されたものがポジ型として、また架橋剤を添加したものがネガ型として用いられる。具体的には、ヒドロキシスチレンと(メタ)アクリル誘導体、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、ヒドロキシビニルナフタレン、ヒドロキシビニルアントラセン、インデン、ヒドロキシインデン、アセナフチレン、ノルボルナジエン類、クマロン、クロモン等を共重合した高分子化合物が好ましく用いられる。   As a resist material for mask blanks, novolak, hydroxystyrene or the like is mainly used as a base resin. Those in which an alkali-soluble hydroxyl group in these resins is substituted with an acid labile group are used as a positive type, and those obtained by adding a crosslinking agent are used as a negative type. Specifically, hydroxystyrene and (meth) acryl derivatives, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, norbornadiene, coumarone, chromone, etc. were copolymerized. A polymer compound is preferably used.

以下で合成例、実施例及び比較例を示して本発明を具体的に説明するが、本発明は下記実施例に制限されるものではない。なお、実施例中における“GPC”はゲル・パーミエーション・クロマトグラフィーのことであり、得られた高分子化合物の重量平均分子量(Mw)及び数平均分子量(Mn)はGPCによりポリスチレン換算値として測定した。   Hereinafter, the present invention will be specifically described with reference to synthesis examples, examples and comparative examples, but the present invention is not limited to the following examples. In the examples, “GPC” refers to gel permeation chromatography, and the weight average molecular weight (Mw) and number average molecular weight (Mn) of the obtained polymer compound are measured by GPC as polystyrene conversion values. did.

[モノマー合成例1]Monomer1の合成

Figure 0004743452
[Monomer Synthesis Example 1] Synthesis of Monomer 1
Figure 0004743452

原料のヘミアセタール50.0g、ピリジン40.8g、アセトニトリル100gの混合物を氷冷、窒素雰囲気下攪拌し、イソ酪酸クロリド22.0gを30分かけて滴下した。30分後、ヘキサン100gを加えて希釈、つづいて水400gを加えて30分攪拌し反応停止、過剰な試薬の分解を行った。通常の水系後処理(aqueous work−up)の後、減圧蒸留により精製を行い、目的物60.8gを得た(収率98%)。無色液体、沸点:63〜67℃/13Pa。NMR分析において、このものはモル比67:33のジアテレオマー混合物であった。   A mixture of 50.0 g of raw material hemiacetal, 40.8 g of pyridine, and 100 g of acetonitrile was stirred in an ice-cooled, nitrogen atmosphere, and 22.0 g of isobutyric chloride was added dropwise over 30 minutes. After 30 minutes, 100 g of hexane was added for dilution, followed by addition of 400 g of water and stirring for 30 minutes to stop the reaction and decompose excess reagent. After normal aqueous work-up, purification was performed by distillation under reduced pressure to obtain 60.8 g of the desired product (yield 98%). Colorless liquid, boiling point: 63-67 ° C./13 Pa. In NMR analysis, this was a diastereomeric mixture with a molar ratio of 67:33.

IR(薄膜):ν=2981、2940,2883,1774,1727,1639,1471,1452,1388,1336,1303,1282,1218,1155,1112,1081,1039,1004,939,927cm-1
1H−NMR(300MHz、DMSO−d6中)、モル比67:33のジアテレオマー混合物:δ=1.11(0.99H、d、J=6.9Hz)、1.12(0.99H、d、J=7.1Hz)、1.14(4.02H、d、J=6.9Hz)、1.66−1.70(3H、m)、1.85−1.90(3H、m)、2.70(0.33H、qq、J=7.1、6.9Hz)、2.74(0.67H、sep、J=6.9Hz)、4.65(0.67H、br.d、J=11.1Hz)、4.68(0.33H、dd、J=9.8、1.5Hz)、4.79(0.33H、br.d、J=9.8Hz)、4.85(0.67H、dd、J=11.1、2.7Hz)、5.80(0.67H、m)、5.82(0.33H、m)、6.08(0.67H、m)、6.09(0.33H、m)ppm。
19F−NMR(283MHz、DMSO−d6中)、モル比67:33のジアテレオマー混合物:δ=−124.32(0.67F、dq、J=250、17Hz)、−119.75(0.33F、dqd、J=246、17.4Hz)、−110.80(0.33F、d、J=246Hz)、−109.49(0.67F、d、J=250Hz)、−80.19(0.67F、d、J=17Hz)、−79.92(0.33F、d、J=17Hz)ppm。
IR (thin film): ν = 2981, 2940, 2883, 1774, 1727, 1639, 1471, 1452, 1388, 1336, 1303, 1282, 1218, 1155, 1112, 1081, 1039, 1004, 939, 927 cm −1 .
1 H-NMR (300 MHz, in DMSO-d6), diastereomeric mixture with a molar ratio of 67:33: δ = 1.11 (0.99 H, d, J = 6.9 Hz), 1.12 (0.99 H, d , J = 7.1 Hz), 1.14 (4.02H, d, J = 6.9 Hz), 1.66-1.70 (3H, m), 1.85-1.90 (3H, m) 2.70 (0.33H, qq, J = 7.1, 6.9 Hz), 2.74 (0.67H, sep, J = 6.9 Hz), 4.65 (0.67H, br.d) , J = 11.1 Hz), 4.68 (0.33H, dd, J = 9.8, 1.5 Hz), 4.79 (0.33H, br.d, J = 9.8 Hz). 85 (0.67H, dd, J = 11.1, 2.7 Hz), 5.80 (0.67H, m), 5.82 (0.33H, m), 6.08 ( 0.67H, m), 6.09 (0.33H, m) ppm.
19 F-NMR (283 MHz, in DMSO-d6), diastereomeric mixture at a molar ratio of 67:33: δ = −124.32 (0.67 F, dq, J = 250, 17 Hz), −119.75 (0.33 F) , Dqd, J = 246, 17.4 Hz), −110.80 (0.33 F, d, J = 246 Hz), −109.49 (0.67 F, d, J = 250 Hz), −80.19 (0 .67F, d, J = 17 Hz), −79.92 (0.33 F, d, J = 17 Hz) ppm.

[モノマー合成例2]Monomer2の合成

Figure 0004743452
[Monomer Synthesis Example 2] Synthesis of Monomer 2
Figure 0004743452

イソ酪酸クロリドの代わりにイソ吉草酸クロリドを用いた以外は[モノマー合成例1]に準じた方法によりMonomer2を合成した。   Monomer 2 was synthesized by a method according to [Monomer Synthesis Example 1] except that isovaleric acid chloride was used instead of isobutyric acid chloride.

無色液体、沸点:70℃/13Pa。
IR(薄膜):ν=2966,2935,2877,1779,1727,1639,1469,1452,1386,1371,1336,1301,1282,1216,1155,1110,1087,1039,1004,946,927cm-1
1H−NMR(600MHz、DMSO−d6中)、モル比65:35のジアテレオマー混合物:δ=0.89(1.05H、d、J=6.4Hz)、0.90(1.05H、d、J=6.4Hz)、0.92(1.95H、d、J=6.4Hz)、0.92(1.95H、d、J=6.4Hz)、1.66(1.05H、br.d、J=3.7Hz)、1.68(1.95H、br.d、J=3.2Hz)、1.86(1.95H、m)、1.87(1.05H、m)、1.90−2.05(1H、m)、2.31(0.35H、dd、J=15.6、7.3Hz)、2.36(0.65H、dd、J=15.5、6.8Hz)、2.37(0.35Hz、dd、J=15.6、6.4Hz)、2.40(0.65H、dd、J=15.5、6.9Hz)、4.63(0.65H、br.d、J=11.0Hz)、4.66(0.35H、dd、J=10.1、1.0Hz)、4.77(0.35H、br.d、J=10.1Hz)、4.83(0.65H、dd、J=11.0、2.3Hz)、5.78(0.65H、m)、5.81(0.35H、m)、6.06(0.65H、m)、6.08(0.35H、m)ppm。
19F−NMR(565MHz、DMSO−d6中)、モル比65:35のジアテレオマー混合物:δ=−124.06(0.65F、dq、J=249、16Hz)、−119.57(0.35F、dqd、J=246、17.3Hz)、−110.46(0.35F、d、J=246Hz)、−108.98(0.65F、d、J=249Hz)、−79.90(0.65F、d、J=16Hz)、−79.57(0.35F、d、J=18Hz)ppm。
Colorless liquid, boiling point: 70 ° C./13 Pa.
IR (thin film): ν = 2966, 2935, 2877, 1779, 1727, 1639, 1469, 1452, 1386, 1371, 1336, 1301, 1282, 1216, 1155, 1110, 1087, 1039, 1004, 946, 927 cm −1 .
1 H-NMR (600 MHz, in DMSO-d6), diastereomeric mixture with a molar ratio of 65:35: δ = 0.89 (1.05 H, d, J = 6.4 Hz), 0.90 (1.05 H, d , J = 6.4 Hz), 0.92 (1.95 H, d, J = 6.4 Hz), 0.92 (1.95 H, d, J = 6.4 Hz), 1.66 (1.05 H, br.d, J = 3.7 Hz), 1.68 (1.95 H, br.d, J = 3.2 Hz), 1.86 (1.95 H, m), 1.87 (1.05 H, m) ) 1.90-2.05 (1H, m), 2.31 (0.35H, dd, J = 15.6, 7.3 Hz), 2.36 (0.65H, dd, J = 15. 5, 6.8 Hz), 2.37 (0.35 Hz, dd, J = 15.6, 6.4 Hz), 2.40 (0.65 H, dd, J = 15.5, 6.9 Hz), 4.63 (0.65 H, br.d, J = 11.0 Hz), 4.66 (0.35 H, dd, J = 10.1, 1.0 Hz), 4.77 (0 .35H, br.d, J = 10.1 Hz), 4.83 (0.65H, dd, J = 11.0, 2.3 Hz), 5.78 (0.65H, m), 5.81 ( 0.35H, m), 6.06 (0.65H, m), 6.08 (0.35H, m) ppm.
19 F-NMR (565 MHz, in DMSO-d6), diastereomeric mixture of 65:35 molar ratio: δ = −124.06 (0.65 F, dq, J = 249, 16 Hz), −119.57 (0.35 F) , Dqd, J = 246, 17.3 Hz), −11.46 (0.35 F, d, J = 246 Hz), −108.98 (0.65 F, d, J = 249 Hz), −79.90 (0 .65F, d, J = 16 Hz), −79.57 (0.35 F, d, J = 18 Hz) ppm.

[モノマー合成例3]Monomer3の合成

Figure 0004743452
[Monomer Synthesis Example 3] Synthesis of Monomer 3
Figure 0004743452

ピリジンの代わりにトリエチルアミン、イソ酪酸クロリドの代わりに1−クロロ−1−メトキシ−2−メチルプロパン及びヨウ化ナトリウムを用いた以外は[実施例1]に準じた方法によりMonomer3を合成した。   Monomer 3 was synthesized by the method according to [Example 1] except that triethylamine was used instead of pyridine and 1-chloro-1-methoxy-2-methylpropane and sodium iodide were used instead of isobutyric chloride.

無色液体、沸点:70℃/27Pa。
IR(薄膜):ν=2969,2881,2848,1727,1639,1473,1452,1388,1367,1328,1303,1284,1207,1159,1103,1066,1010,970,946cm-1
1H−NMR(600MHz、DMSO−d6中)、モル比33:32:19:16のジアテレオマー4種混合物:δ=0.80−0.90(6H、m)、1.61−1.71(3H、m)、1.84−1.95(4H、m)、3.20(0.16H、s)、3.26(0.19H、s)、3.30(0.33H、s)、3.34(0.32H、s)、4.35−4.80(2H、m)、5.72−5.82(1H、m)、6.05−6.10(1H、m)ppm。
19F−NMR(565MHz、DMSO−d6中)、モル比33:32:19:16のジアテレオマー4種混合物:δ=−124.63(0.33F、dq、J=243、19Hz)、−124.30(0.32F、dq、J=236、18Hz)、−121.63(0.32F、d、J=236Hz)、−121.49(0.16F、d、J=241Hz)、−120.52(0.16F、dq、J=241、17Hz)、−119.38(0.19F、dq、J=245、21Hz)、116.83(0.19F、d、J=245Hz)、−114.83(0.33F、d、J=243Hz)、−79.00(0.19F、d、J=21Hz)、−78.76(0.33F、d、J=20Hz)、−78.29(0.16F、d、J=18Hz)、−78.23(0.32F、d、J=20Hz)ppm。
Colorless liquid, boiling point: 70 ° C./27 Pa.
IR (thin film): ν = 2969, 2881, 2848, 1727, 1639, 1473, 1452, 1388, 1367, 1328, 1303, 1284, 1207, 1159, 1103, 1066, 1010, 970, 946 cm −1 .
1 H-NMR (600 MHz, in DMSO-d6), a mixture of four diastereomers in a molar ratio of 33: 32: 19: 16: δ = 0.80-0.90 (6H, m), 1.61-1.71 (3H, m), 1.84-1.95 (4H, m), 3.20 (0.16H, s), 3.26 (0.19H, s), 3.30 (0.33H, s) ), 3.34 (0.32H, s), 4.35-4.80 (2H, m), 5.72-5.82 (1H, m), 6.05-6.10 (1H, m) ) Ppm.
19 F-NMR (565 MHz, in DMSO-d6), a mixture of four diastereomers in a molar ratio of 33: 32: 19: 16: δ = 124.63 (0.33 F, dq, J = 243, 19 Hz), −124 .30 (0.32F, dq, J = 236, 18 Hz), −121.63 (0.32F, d, J = 236 Hz), −121.49 (0.16F, d, J = 241 Hz), −120 .52 (0.16F, dq, J = 241, 17 Hz), −119.38 (0.19F, dq, J = 245, 21 Hz), 116.83 (0.19F, d, J = 245 Hz), − 114.83 (0.33F, d, J = 243 Hz), −79.00 (0.19F, d, J = 21 Hz), −78.76 (0.33F, d, J = 20 Hz), −78. 29 (0.16F, d, J = 1 Hz), - 78.23 (0.32F, d, J = 20Hz) ppm.

[ポリマー合成例]
下記にポリマー合成例で使用した重合性単量体(Monomer1〜16)及びアミン(Base1)の構造式を示す。

Figure 0004743452


[Example of polymer synthesis]
The structural formulas of the polymerizable monomer (Monomers 1 to 16) and amine (Base 1) used in the polymer synthesis examples are shown below.
Figure 0004743452


[ポリマー合成例1]Monomer1及びMonomer6の共重合(20/80)
窒素雰囲気下のフラスコに23.41gのMonomer1、77.11gのMonomer6、3.75gの2,2’−アゾビス(イソ酪酸)ジメチル、100.1gのメチルエチルケトンを投入して単量体溶液を調製し、溶液温度を20〜25℃とした。窒素雰囲気下の別のフラスコに50.1gのメチルエチルケトンを投入し、撹拌しながら80℃まで加熱した後、上記単量体溶液を4時間かけて滴下した。滴下終了後、重合液の温度を80℃に保ったまま2時間撹拌を続け、熟成終了後に室温まで冷却した。フラスコに200gのトルエンを投入後、エバポレーターを用いて反応混合物の総重量が250gになるまで濃縮を行った。この濃縮液を1,500gのヘキサン中に滴下し、析出した共重合体を分離後、600gのヘキサンで洗浄し、白色固体を分離した。白色固体を50℃で20時間真空乾燥させることにより目的の高分子化合物(Polymer2)69.3gを得た。樹脂の組成を1H−NMRで分析した結果、共重合体中のMonomer1とMonomer6の組成比は19/81モル%であった。
[Polymer Synthesis Example 1] Copolymerization of Monomer 1 and Monomer 6 (20/80)
A monomer solution was prepared by charging 23.41 g of Monomer 1, 77.11 g of Monomer 6, 3.75 g of 2,2′-azobis (isobutyric acid) dimethyl and 100.1 g of methyl ethyl ketone into a flask under a nitrogen atmosphere. The solution temperature was 20 to 25 ° C. 50.1 g of methyl ethyl ketone was put into another flask under a nitrogen atmosphere, heated to 80 ° C. with stirring, and then the monomer solution was added dropwise over 4 hours. After completion of the dropping, stirring was continued for 2 hours while maintaining the temperature of the polymerization solution at 80 ° C., and after completion of the aging, the solution was cooled to room temperature. After adding 200 g of toluene to the flask, the reaction mixture was concentrated using an evaporator until the total weight of the reaction mixture became 250 g. This concentrated solution was dropped into 1,500 g of hexane, and the precipitated copolymer was separated and then washed with 600 g of hexane to separate a white solid. The white solid was vacuum-dried at 50 ° C. for 20 hours to obtain 69.3 g of the target polymer compound (Polymer 2). As a result of analyzing the composition of the resin by 1 H-NMR, the composition ratio of Monomer 1 and Monomer 6 in the copolymer was 19/81 mol%.

[ポリマー合成例2〜19]
表1〜5に示す組成で上述の重合性単量体(Monomer1〜13)を仕込み、Polymer2の合成と同様の処方を用いてPolymer1〜19の合成を行った。
[Polymer synthesis examples 2 to 19]
The above-mentioned polymerizable monomers (Monomers 1 to 13) were charged with the compositions shown in Tables 1 to 5, and Polymers 1 to 19 were synthesized using the same formulation as the synthesis of Polymer 2.

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

Figure 0004743452
Figure 0004743452

[ポリマー合成例20]Base1共存下でのMonomer6及びMonomer14の共重合(90/10)
窒素雰囲気下のフラスコに96.46gのMonomer6、4.03gのMonomer14、1.87gのBase1、4.18gの2,2’−アゾビス(イソ酪酸)ジメチル、155,56gのイソプロピルアルコールを投入して単量体溶液を調製し、溶液温度を20〜25℃とした。窒素雰囲気下の別のフラスコに77.78gのイソプロピルアルコールを投入し、撹拌しながら80℃まで加熱した後、上記単量体溶液を4時間かけて滴下した。滴下終了後、重合液の温度を80℃に保ったまま2時間撹拌を続け、熟成終了後に室温まで冷却した。得られた重合液中に2−プロパノール300gを投入後、300gの超純水で3回洗浄した。有機層を抽出し、エバポレーターを用いて全量が200gになるまで濃縮後、1,500gのヘキサンで晶出を行った。析出した共重合体を分離後、600gのヘキサンで洗浄し、白色固体を分離した。白色固体を50℃で20時間真空乾燥させることにより目的の高分子化合物(Polymer20)79.8gを得た。樹脂の組成を1H−NMRで分析した結果、共重合体中のMonomer6、Monomer14、Monomer14とBase1の塩(下記式参照)の組成比は89/9/2モル%であった。
[Polymer Synthesis Example 20] Copolymerization of Monomer 6 and Monomer 14 in the presence of Base 1 (90/10)
96.46g Monomer 6, 4.03g Monomer 14, 1.87g Base 1, 4.18g 2,2'-azobis (isobutyric acid) dimethyl, 155, 56g isopropyl alcohol were charged into a flask under nitrogen atmosphere. A monomer solution was prepared, and the solution temperature was set to 20 to 25 ° C. 77.78 g of isopropyl alcohol was added to another flask under a nitrogen atmosphere, heated to 80 ° C. with stirring, and then the monomer solution was added dropwise over 4 hours. After completion of the dropping, stirring was continued for 2 hours while maintaining the temperature of the polymerization solution at 80 ° C., and after completion of the aging, the solution was cooled to room temperature. Into the obtained polymerization solution, 300 g of 2-propanol was added, followed by washing with 300 g of ultrapure water three times. The organic layer was extracted, concentrated using an evaporator until the total amount became 200 g, and then crystallized with 1,500 g of hexane. The precipitated copolymer was separated and washed with 600 g of hexane to separate a white solid. The white solid was vacuum-dried at 50 ° C. for 20 hours to obtain 79.8 g of the target polymer compound (Polymer 20). The composition of the resin was analyzed by 1 H-NMR. As a result, the composition ratio of Monomer 6, Monomer 14, Monomer 14 and Base 1 salt (see the following formula) in the copolymer was 89/9/2 mol%.

Figure 0004743452
Figure 0004743452

[ポリマー合成例21]Base1共存下でのMonomer6及びMonomer15の共重合(90/10)
Monomer6、Monomer15、及びBase1を用いて、Polymer20の合成と同様の処方で重合を行い、目的の高分子化合物(Polymer21)75.3gを得た。樹脂の組成を1H−NMRで分析した結果、共重合体中のMonomer6、Monomer15、Monomer15とBase1の塩(下記式参照)の組成比は90/8/2モル%であった。
[Polymer Synthesis Example 21] Copolymerization of Monomer 6 and Monomer 15 in the presence of Base 1 (90/10)
Polymerization was performed using Monomer 6, Monomer 15, and Base 1 with the same formulation as the synthesis of Polymer 20, to obtain 75.3 g of the target polymer compound (Polymer 21). As a result of analyzing the composition of the resin by 1 H-NMR, the composition ratio of Monomer 6, Monomer 15, Monomer 15 and the salt of Base 1 (see the following formula) in the copolymer was 90/8/2 mol%.

Figure 0004743452
Figure 0004743452

[ポリマー合成例22]Monomer6及びMonomer16の共重合(90/10)
Monomer6及びMonomer16を用いて、Polymer20の合成と同様の処方で重合を行い、目的の高分子化合物(Polymer22)81.5gを得た。樹脂の組成を1H−NMRで分析した結果、共重合体中の、Monomer6とMonomer16の組成比は90/10モル%であった。
[Polymer Synthesis Example 22] Copolymerization of Monomer 6 and Monomer 16 (90/10)
Polymerization was carried out using Monomer 6 and Monomer 16 with the same formulation as the synthesis of Polymer 20, to obtain 81.5 g of the target polymer compound (Polymer 22). As a result of analyzing the composition of the resin by 1 H-NMR, the composition ratio of Monomer 6 and Monomer 16 in the copolymer was 90/10 mol%.

Figure 0004743452
Figure 0004743452

[比較ポリマー合成例1]Monomer6のホモポリマー合成
窒素雰囲気下のフラスコに100.0gのMonomer6、3.91gの2,2’−アゾビス(イソ酪酸)ジメチル、100.0gのイソプロピルアルコールを投入して単量体溶液を調製し、溶液温度を20〜25℃とした。窒素雰囲気下の別のフラスコに50.0gのイソプロピルアルコールを投入し、撹拌しながら80℃まで加熱した後、上記単量体溶液を4時間かけて滴下した。滴下終了後、重合液の温度を80℃に保ったまま3時間撹拌を続け、熟成終了後に室温まで冷却した。得られた重合液を2,000gの水中に滴下し、析出した共重合体を濾別した。得られた共重合体を600gのヘキサン/イソプロピルエーテル(9/1)混合溶液で4回洗浄し、白色固体を分離した。白色固体を50℃で20時間真空乾燥させることにより目的の高分子化合物(比較Polymer1)92.8gを得た。得られた共重合体のGPC測定を行った結果、重量平均分子量(Mw)はポリスチレン換算で7,800、分散度(Mw/Mn)は1.6であった。
[Comparative Polymer Synthesis Example 1] Monomer 6 homopolymer synthesis 100.0 g of Monomer 6, 3.91 g of 2,2′-azobis (isobutyric acid) dimethyl, 100.0 g of isopropyl alcohol were charged into a flask under a nitrogen atmosphere. A monomer solution was prepared, and the solution temperature was set to 20 to 25 ° C. 50.0 g of isopropyl alcohol was added to another flask under a nitrogen atmosphere, heated to 80 ° C. with stirring, and then the monomer solution was added dropwise over 4 hours. After completion of the dropwise addition, stirring was continued for 3 hours while maintaining the temperature of the polymerization solution at 80 ° C., and the mixture was cooled to room temperature after completion of aging. The obtained polymerization solution was dropped into 2,000 g of water, and the precipitated copolymer was separated by filtration. The obtained copolymer was washed 4 times with 600 g of a mixed solution of hexane / isopropyl ether (9/1) to separate a white solid. The white solid was vacuum-dried at 50 ° C. for 20 hours to obtain 92.8 g of the target polymer compound (Comparative Polymer 1). As a result of GPC measurement of the obtained copolymer, the weight average molecular weight (Mw) was 7,800 in terms of polystyrene, and the dispersity (Mw / Mn) was 1.6.

[レジスト保護膜を用いた評価実施例]
Polymer1〜22、比較Polymer1の1.0gを表6に示す混合比でジイソペンチルエーテル23g、2−メチル−1−ブタノール2gの混合溶媒に溶解させ、それぞれ0.2μmサイズのポリプロピレンフィルターで濾過し、レジスト保護膜溶液を作製した(TC−1〜27、比較TC−1〜2)。
[Evaluation example using resist protective film]
Polymers 1 to 22 and Comparative Polymer 1 (1.0 g) were dissolved in a mixed solvent of diisopentyl ether (23 g) and 2-methyl-1-butanol (2 g) at a mixing ratio shown in Table 6 and filtered through a 0.2 μm size polypropylene filter. Then, a resist protective film solution was prepared (TC-1 to 27, comparative TC-1 to 2).

得られたレジスト保護膜溶液をシリコン基板上にスピンコートし、100℃で60秒間ベークした後、50nm膜厚のレジスト保護膜(TC−1〜27、比較TC−1〜2)を作製した。その後、この保護膜が塗布されたウエハーを用いて、(1)分光エリプソメトリ(J.A.ウーラム(株)製)による屈折率測定(波長193nm)、(2)純水リンス(5分間)後の膜厚変動、(3)2.38質量%テトラメチルアンモニウムヒドロキシド(TMAH)水溶液による現像後の膜厚変動、(4)上記傾斜法接触角計Drop Master 500(協和界面科学(株)製)を用いた転落角と後退接触角の測定を行った。以上の結果を表6に示す。   The obtained resist protective film solution was spin-coated on a silicon substrate, baked at 100 ° C. for 60 seconds, and then a 50 nm-thick resist protective film (TC-1 to 27, comparative TC-1 to 2) was produced. Then, using the wafer coated with this protective film, (1) refractive index measurement (wavelength 193 nm) by spectroscopic ellipsometry (manufactured by JA Woollam Co., Ltd.), (2) pure water rinse (5 minutes) (3) Film thickness fluctuation after development with 2.38 mass% tetramethylammonium hydroxide (TMAH) aqueous solution, (4) Tilt method contact angle meter Drop Master 500 (Kyowa Interface Science Co., Ltd.) The fall angle and the receding contact angle were measured using The results are shown in Table 6.

Figure 0004743452
Figure 0004743452

表6から、高分子化合物(P1)は比較ポリマーより後退接触角が高いことがわかる。また、高分子化合物(P1)と高分子化合物(P2)をブレンドして用いた場合、高分子化合物(P1)単独の場合とほとんど後退接触角の値が変わらないことから、両者は層分離して高分子化合物(P1)が高分子化合物(P2)の上層に存在していることがわかる。一般に、転落角が低いほど保護膜上の水は流動し易く、後退接触角が高いほど高速のスキャン露光でも液滴が残りにくいため、表6から、本発明のレジスト保護膜TC−1〜27では転落角や後退接触角の性能が比較TC−1〜2よりも優れていることがわかる。   From Table 6, it can be seen that the polymer compound (P1) has a receding contact angle higher than that of the comparative polymer. In addition, when the polymer compound (P1) and the polymer compound (P2) are used in a blend, the value of the receding contact angle is almost the same as that of the polymer compound (P1) alone. It can be seen that the polymer compound (P1) is present in the upper layer of the polymer compound (P2). In general, the lower the falling angle, the easier the water on the protective film flows, and the higher the receding contact angle, the more difficult the droplets remain even at high-speed scanning exposure. Then, it turns out that the performance of a fall angle | corner and receding contact angle is superior to comparison TC-1 or TC-2.

[レジスト評価実施例]
下記に示すResist Polymerを5g、PAG1を0.5g、Quencher1を0.1g用い、これらを100gのプロピレングリコールモノエチルエーテルアセテート(PGMEA)に溶解させ、0.2μmサイズのポリプロピレンフィルターで濾過し、レジスト溶液を作製した。
[Example of resist evaluation]
Resist Polymer shown below 5g, PAG1 0.5g, Quencher1 0.1g, dissolved in 100g propylene glycol monoethyl ether acetate (PGMEA), filtered through a 0.2μm size polypropylene filter, resist A solution was made.

Figure 0004743452
Figure 0004743452

次に、シリコン基板上に反射防止膜ARC−29A(日産化学工業(株)製)を成膜後(膜厚は87nm)、その上に上記レジスト溶液を塗布し、105℃で60秒間ベークして膜厚120nmのレジスト膜を作製した。その上に上述のレジスト保護膜を塗布し、100℃で60秒間ベークした。擬似的な液浸露光を再現するため、露光後の膜を純水で5分間リンス後、ArFスキャナーS307E((株)ニコン製、NA0.85、σ0.93/0.62、20度ダイポール照明、6%ハーフトーン位相シフトマスク)で露光を行い、純水をかけながら5分間リンスを行い、100℃で60秒間ポスト・エクスポジュアー・ベーク(PEB)を行い、2.38質量%TMAH現像液で60秒間現像を行った。また、保護膜なしで露光−純水リンス−PEB−現像のプロセスも行った。そして、得られたウエハーを割断し、65nmライン・アンド・スペースのパターン形状、感度を比較した。更には、現像後のレジスト膜上に5μlの水滴を滴下し、レジスト界面と水滴界面の接触角を測定した。これらの結果をまとめて表7に示す。   Next, an antireflection film ARC-29A (manufactured by Nissan Chemical Industries, Ltd.) is formed on a silicon substrate (film thickness is 87 nm), and the resist solution is applied thereon and baked at 105 ° C. for 60 seconds. Thus, a resist film having a thickness of 120 nm was produced. The above-mentioned resist protective film was applied thereon and baked at 100 ° C. for 60 seconds. In order to reproduce the simulated immersion exposure, the exposed film was rinsed with pure water for 5 minutes, and then ArF scanner S307E (Nikon Corporation, NA 0.85, σ 0.93 / 0.62, 20 degree dipole illumination) , 6% halftone phase shift mask), rinse with pure water for 5 minutes, post-exposure bake (PEB) at 100 ° C for 60 seconds, 2.38 mass% TMAH development Development was performed with the solution for 60 seconds. Moreover, the process of exposure-pure water rinse-PEB-development was also performed without the protective film. Then, the obtained wafer was cleaved, and the pattern shape and sensitivity of 65 nm line and space were compared. Furthermore, 5 μl of water droplets were dropped on the developed resist film, and the contact angle between the resist interface and the water droplet interface was measured. These results are summarized in Table 7.

Figure 0004743452
Figure 0004743452

保護膜なしで露光後に純水リンスを行った場合、パターン形状はT−トップ形状になった。これは発生した酸が水に溶解したためと考えられる。一方、本発明の保護膜材料は高い後退接触角を有し、現像後のレジストの接触角が小さくなっただけでなく、現像後のレジストパターンも矩形形状であった。   When pure water rinse was performed after exposure without a protective film, the pattern shape became T-top shape. This is probably because the generated acid was dissolved in water. On the other hand, the protective film material of the present invention had a high receding contact angle, and not only the contact angle of the resist after development was reduced, but also the resist pattern after development was rectangular.

本発明のレジスト保護膜材料の場合、高分子化合物(P1)単独では、後退接触角は高いものの、現像後の水接触角は大きい。これに対し、スルホン酸アミン塩を有する高分子化合物(P2)は撥水性や滑水性には劣るが、現像後の水接触角は低く、レジストパターン形状は矩形である。そして、両者をブレンドすることで、後退接触角が高く、現像後の水接触角が低い保護膜材料になり得ることがわかった。   In the case of the resist protective film material of the present invention, the polymer compound (P1) alone has a high receding contact angle but a large water contact angle after development. On the other hand, the polymer compound (P2) having a sulfonic acid amine salt is inferior in water repellency and water slidability, but has a low water contact angle after development and a rectangular resist pattern. And it was found that by blending both, a protective film material having a high receding contact angle and a low water contact angle after development can be obtained.

次に、上記露光実験で用いたレジスト保護膜(TC−21と比較TC−2)を0.02μmサイズの高密度ポリエチレンフィルターで精密濾過した。8インチのシリコン基板上に反射防止膜ARC−29A(日産化学工業(株)製)を成膜後(膜厚は87nm)、その上にレジスト溶液を塗布し、105℃で60秒間ベークして膜厚120nmのレジスト膜を作製した。その上にレジスト保護膜を塗布し、100℃で60秒間ベークした。ArFスキャナーS307E((株)ニコン製、NA0.85 σ0.93、Crマスク)でウエハー全面を20mm角の面積でオープンフレームの露光部と未露光部を交互に露光するチェッカーフラッグ露光を行った後、ポスト・エクスポジュアー・ベーク(PEB)を行い、2.38質量%TMAH現像液で60秒間現像を行った。チェッカーフラッグの未露光部分の欠陥個数を欠陥検査装置WinWin−50−1200((株)東京精密製)を用いてピクセルサイズ0.125μmで計測した。未露光部のレジスト表面に発生した欠陥はシミ状欠陥であり、ブロッブ欠陥に分類される。結果を表8に示す。この結果より、高分子化合物(P1)と高分子化合物(P2)をブレンドしたレジスト保護膜材料は比較例の保護膜材料より欠陥数も少ないことがわかる。   Next, the resist protective film (TC-21 and comparison TC-2) used in the above-mentioned exposure experiment was microfiltered with a high density polyethylene filter of 0.02 μm size. After forming an antireflection film ARC-29A (Nissan Chemical Industry Co., Ltd.) on an 8-inch silicon substrate (film thickness is 87 nm), a resist solution is applied thereon and baked at 105 ° C. for 60 seconds. A resist film having a thickness of 120 nm was produced. A resist protective film was applied thereon and baked at 100 ° C. for 60 seconds. After performing a checkered flag exposure in which an exposed area and an unexposed area of an open frame are exposed alternately with an area of 20 mm square on the entire surface of the wafer with an ArF scanner S307E (manufactured by Nikon Corporation, NA 0.85 σ 0.93, Cr mask). Then, post-exposure baking (PEB) was performed, and development was performed for 60 seconds with a 2.38 mass% TMAH developer. The number of defects in the unexposed portion of the checker flag was measured at a pixel size of 0.125 μm using a defect inspection apparatus WinWin-50-1200 (manufactured by Tokyo Seimitsu Co., Ltd.). A defect generated on the resist surface in the unexposed portion is a spot-like defect and is classified as a blob defect. The results are shown in Table 8. From this result, it can be seen that the resist protective film material blended with the polymer compound (P1) and the polymer compound (P2) has fewer defects than the protective film material of the comparative example.

Figure 0004743452
Figure 0004743452

[電子線露光実施例]
電子ビーム描画の評価では、ラジカル重合で合成した下記のEB Polymer(90質量部)、下記PAG2(10質量部)及びQuencher2(0.4質量部)をプロピレングリコールモノメチルエーテルアセテート(PGMEA、700質量部)と乳酸エチル(EL、300質量部)に溶解させた後、0.2μmサイズのフィルターで濾過してポジ型レジスト材料を調製した。
[Example of electron beam exposure]
In the evaluation of electron beam drawing, the following EB Polymer (90 parts by mass) synthesized by radical polymerization, PAG2 (10 parts by mass) and Quencher2 (0.4 parts by mass) were mixed with propylene glycol monomethyl ether acetate (PGMEA, 700 parts by mass). ) And ethyl lactate (EL, 300 parts by mass), and then filtered through a 0.2 μm size filter to prepare a positive resist material.

Figure 0004743452
Figure 0004743452

得られたポジ型レジスト材料を直径6インチ(150mm)のSi基板上にクリーントラックMark5(東京エレクトロン(株)製)を用いてスピンコートし、ホットプレート上110℃で60秒間プリベークして200nmのレジスト膜を作製した。このウエハーを用いて、HL−800D((株)日立製作所製)を用いてHV電圧50keVで真空チャンバー内描画を行った。その後、真空チャンバー内に20時間放置し、描画場所を変えて更に追加で描画を行った。
描画後直ちにクリーントラックMark5(東京エレクトロン(株)製)を用いてホットプレート上90℃で60秒間ポスト・エクスポジュアー・ベーク(PEB)を行い、2.38質量%のTMAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。
The obtained positive resist material was spin-coated on a Si substrate having a diameter of 6 inches (150 mm) using a clean track Mark 5 (manufactured by Tokyo Electron Ltd.) and pre-baked on a hot plate at 110 ° C. for 60 seconds to have a thickness of 200 nm. A resist film was prepared. Using this wafer, drawing in a vacuum chamber was performed at an HV voltage of 50 keV using HL-800D (manufactured by Hitachi, Ltd.). Thereafter, the sample was left in a vacuum chamber for 20 hours, and drawing was performed by changing the drawing place.
Immediately after the drawing, a clean track Mark 5 (manufactured by Tokyo Electron Ltd.) was used, and a post-exposure bake (PEB) was performed on a hot plate at 90 ° C. for 60 seconds, and a paddle was filled with 2.38 mass% TMAH aqueous solution for 30 seconds Development was performed to obtain a positive pattern.

次に、測長SEM(S−7280、(株)日立製作所製)を用いて真空中で放置する際の寸法変動量を次の方法で求めた。即ち、0.12μmのライン・アンド・スペースを1:1で解像する露光量で、現像直前と20時間後における0.12μmのライン・アンド・スペースのライン寸法の差を求め、寸法変動量とした。寸法変動量において、プラスは真空中放置によってレジスト感度が高感度化、マイナスは低感度化に変動であることを示す。結果を表9に示す。
電子線露光においては、本発明のレジスト保護膜(TC−20〜28)を適用することにより、露光後の真空放置における安定性が向上した。
Next, the amount of dimensional variation when left in vacuum using a length measuring SEM (S-7280, manufactured by Hitachi, Ltd.) was determined by the following method. In other words, the difference between 0.12 μm line and space and the 0.12 μm line and space is obtained with an exposure amount that resolves 0.12 μm line and space at 1: 1, and the amount of dimensional variation It was. In the dimension fluctuation amount, plus indicates that the resist sensitivity is increased by being left in vacuum, and minus indicates that the sensitivity is decreased. The results are shown in Table 9.
In electron beam exposure, by applying the resist protective film (TC-20 to 28) of the present invention, the stability in exposure to vacuum after exposure was improved.

Figure 0004743452
Figure 0004743452

なお、本発明は上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

Claims (19)

下記一般式(1)で表される繰り返し単位を有することを特徴とする、重量平均分子量が1,000〜500,000の範囲である高分子化合物。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Xは一般式(X−1)〜(X−3)で表されるいずれかの構造を表す。一般式(X−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。R4は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、メチレン基の一部が酸素原子又はカルボニル基で置換されていてもよい。一般式(X−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(1)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
A polymer compound having a repeating unit represented by the following general formula (1) and having a weight average molecular weight in the range of 1,000 to 500,000.
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. X represents any structure represented by the general formulas (X-1) to (X-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. R 4 represents a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded. A part of the hydrogen atom may be substituted with a halogen atom, and a part of the methylene group may be substituted with an oxygen atom or a carbonyl group, wherein the general formula (X-1) is R 2a , Linked to the — (C═O) —O— bond of the repeating unit (1) via any of R 2b , R 3a and R 3b . In general formula (X-2), R 5a , R 5b , R 6a , R 6b , R 7a and R 7b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a carbon number of 1 to 15. A linear, branched or cyclic monovalent organic group, and two of them may be bonded together to form a ring together with the carbon atom to which they are bonded. Is linked to the — (C═O) —O— bond of the repeating unit (1) via any one of R 5a , R 5b , R 6a , R 6b , R 7a , R 7b . R 8a , R 8b , R 9a and R 9b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. It represents a group, bonded two of these together may form a ring together with the carbon atoms to which they are attached. formula (X-3) is R 8a, 8b, R 9a, repeating units via one of the R 9b (1) -. Linked to (C = O) -O- bond Incidentally, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b , R 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group to the — (C═O) —O— bond of the repeating unit (1) In the case of linking, in the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.
下記一般式(2)で表される繰り返し単位を有することを特徴とする、重量平均分子量が1,000〜500,000の範囲である高分子化合物。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Yは一般式(Y−1)〜(Y−3)で表されるいずれかの構造を表す。一般式(Y−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。R10は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(2)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
A polymer compound having a weight average molecular weight in the range of 1,000 to 500,000, which has a repeating unit represented by the following general formula (2).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Y represents any structure represented by the general formulas (Y-1) to (Y-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Y-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (2). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. Two of these may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-2) is represented by R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (2) through R 7a , R 8a , R 8b , R 9a and R 9b are each represented by formula (Y-3) Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Y-3) is represented by — (C═O) — of repeating unit (2) through any of R 8a , R 8b , R 9a and R 9b. coupled to O- linked .R 10 is a straight, branched or cyclic alkyl group of 1 to 19 carbon atoms, partially halogen atom hydrogen atom May be substituted, also part of the methylene groups may be replaced by oxygen atom, or a carbonyl group. In addition, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b, R When connecting to the — (C═O) —O— bond of the repeating unit (2) using any one of 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group, (In the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.)
下記一般式(3)で表される繰り返し単位を有することを特徴とする、重量平均分子量が1,000〜500,000の範囲である高分子化合物。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Zは一般式(Z−1)〜(Z−3)で表されるいずれかの構造を表す。一般式(Z−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。R11は水素原子又は炭素数1〜18の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R12は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R11とR12は結合してこれらが結合する炭素原子及び酸素原子と共に環状構造を形成してもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(3)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
A polymer compound having a weight average molecular weight in the range of 1,000 to 500,000, characterized by having a repeating unit represented by the following general formula (3).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Z represents any structure represented by the general formulas (Z-1) to (Z-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Z-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (3). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-2) is R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (3) through which R 8a , R 8b , R 9a and R 9b are each represented by the following formula (Z-3): Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Z-3) is — (C═O) — of repeating unit (3) through any of R 8a , R 8b , R 9a , and R 9b. coupled to O- linked .R 11 is hydrogen atom or a number from 1 to 18 linear carbon atoms, a branched or cyclic alkyl group, a part of hydrogen atoms May be substituted with androgenic atom, also a part of the methylene groups is an oxygen atom, or may .R 12 be substituted by a carbonyl group is a straight 1-19 carbon atoms, branched or cyclic an alkyl group, part of the hydrogen atoms may be substituted with a halogen atom, a part of the methylene groups is an oxygen atom or .R 11 and R 12 may be substituted by a carbonyl group, the bond A cyclic structure may be formed together with the carbon atom and oxygen atom to which they are bonded, and R 2a , R 2b , R 3a , R 3b , R 5a , R 5b , R 6a , R 6b , R 7a , When any of R 7b , R 8a , R 8b , R 9a and R 9b is linked to the — (C═O) —O— bond of the repeating unit (3), the linking group is defined as above. One hydrogen atom contained in the organic group is eliminated, and this elimination site is regarded as a bond. A group. )
更に、下記一般式(4a)〜(4f)で表される繰り返し単位のうちの1つ又は2つ以上を含むことを特徴とする請求項1乃至3のいずれか1項記載の高分子化合物。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。R13a及びR13bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R13aとR13bは互いに結合してこれらが結合する炭素原子と共に環を形成することもできる。R14は水素原子、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基又はフッ素化アルキル基、又は酸不安定基である。R15は炭素数1〜15の直鎖状、分岐状又は環状のフッ素化アルキル基である。R16は酸不安定基である。)
The polymer compound according to any one of claims 1 to 3, further comprising one or more of repeating units represented by the following general formulas (4a) to (4f).
Figure 0004743452
Wherein R 1 is a hydrogen atom, a methyl group or a trifluoromethyl group. R 13a and R 13b are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, R 13a and R 13b can be bonded to each other to form a ring together with the carbon atom to which they are bonded, R 14 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, fluorine R 15 is a linear, branched or cyclic fluorinated alkyl group having 1 to 15 carbon atoms, and R 16 is an acid labile group.
下記一般式(1)で表される繰り返し単位を有する高分子化合物を含むことを特徴とするレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Xは一般式(X−1)〜(X−3)で表されるいずれかの構造を表す。一般式(X−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。R4は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、メチレン基の一部が酸素原子又はカルボニル基で置換されていてもよい。一般式(X−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。一般式(X−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(X−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(1)の−(C=O)−O−結合に連結する。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(1)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
A resist protective film material comprising a polymer compound having a repeating unit represented by the following general formula (1).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. X represents any structure represented by the general formulas (X-1) to (X-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. R 4 represents a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded. A part of the hydrogen atom may be substituted with a halogen atom, and a part of the methylene group may be substituted with an oxygen atom or a carbonyl group, wherein the general formula (X-1) is R 2a , Linked to the — (C═O) —O— bond of the repeating unit (1) via any of R 2b , R 3a and R 3b . In general formula (X-2), R 5a , R 5b , R 6a , R 6b , R 7a and R 7b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a carbon number of 1 to 15. A linear, branched or cyclic monovalent organic group, and two of them may be bonded together to form a ring together with the carbon atom to which they are bonded. Is linked to the — (C═O) —O— bond of the repeating unit (1) via any one of R 5a , R 5b , R 6a , R 6b , R 7a , R 7b . R 8a , R 8b , R 9a and R 9b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. It represents a group, bonded two of these together may form a ring together with the carbon atoms to which they are attached. formula (X-3) is R 8a, 8b, R 9a, repeating units via one of the R 9b (1) -. Linked to (C = O) -O- bond Incidentally, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b , R 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group to the — (C═O) —O— bond of the repeating unit (1) In the case of linking, in the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.
下記一般式(2)で表される繰り返し単位を有する高分子化合物を含むことを特徴とするレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Yは一般式(Y−1)〜(Y−3)で表されるいずれかの構造を表す。一般式(Y−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。一般式(Y−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Y−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(2)の−(C=O)−O−結合に連結する。R10は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(2)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
A resist protective film material comprising a polymer compound having a repeating unit represented by the following general formula (2).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Y represents any structure represented by the general formulas (Y-1) to (Y-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Y-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (2). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. Two of these may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Y-2) is represented by R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (2) through R 7a , R 8a , R 8b , R 9a and R 9b are each represented by formula (Y-3) Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Y-3) is represented by — (C═O) — of repeating unit (2) through any of R 8a , R 8b , R 9a and R 9b. coupled to O- linked .R 10 is a straight, branched or cyclic alkyl group of 1 to 19 carbon atoms, partially halogen atom hydrogen atom May be substituted, also part of the methylene groups may be replaced by oxygen atom, or a carbonyl group. In addition, R 2a, R 2b, R 3a, R 3b, R 5a, R 5b, R When connecting to the — (C═O) —O— bond of the repeating unit (2) using any one of 6a , R 6b , R 7a , R 7b , R 8a , R 8b , R 9a , R 9b as a linking group, (In the above definition, the linking group is a group in which one hydrogen atom contained in the organic group is eliminated and this elimination site is a bond.)
下記一般式(3)で表される繰り返し単位を有する高分子化合物を含むことを特徴とするレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。Zは一般式(Z−1)〜(Z−3)で表されるいずれかの構造を表す。一般式(Z−1)の中でR2a、R2b、R3a、R3bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−1)はR2a、R2b、R3a、R3bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−2)の中でR5a、R5b、R6a、R6b、R7a、R7bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−2)はR5a、R5b、R6a、R6b、R7a、R7bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。一般式(Z−3)の中でR8a、R8b、R9a、R9bはそれぞれ独立に単結合、水素原子、水酸基、ハロゲン原子、又は炭素数1〜15の直鎖状、分岐状又は環状の一価の有機基を表し、これらのうち2個が互いに結合してこれらが結合する炭素原子と共に環を形成してもよい。一般式(Z−3)はR8a、R8b、R9a、R9bのいずれかを介して繰り返し単位(3)の−(C=O)−O−結合に連結する。R11は水素原子又は炭素数1〜18の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R12は炭素数1〜19の直鎖状、分岐状又は環状のアルキル基であり、水素原子の一部がハロゲン原子で置換されていてもよく、また、メチレン基の一部が酸素原子、又はカルボニル基で置換されていてもよい。R11とR12は結合してこれらが結合する炭素原子及び酸素原子と共に環状構造を形成してもよい。なお、R2a、R2b、R3a、R3b、R5a、R5b、R6a、R6b、R7a、R7b、R8a、R8b、R9a、R9bのいずれかを連結基として繰り返し単位(3)の−(C=O)−O−結合に連結する場合、当該連結基は、上記定義において、その有機基に含まれる水素原子が1個脱離し、この脱離箇所が結合手とされた基である。)
A resist protective film material comprising a polymer compound having a repeating unit represented by the following general formula (3).
Figure 0004743452
(In the formula, R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. Z represents any structure represented by the general formulas (Z-1) to (Z-3). -1), R 2a , R 2b , R 3a and R 3b are each independently a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-1) is R 2a , R 2b , R 3a , R 3b. In the general formula (Z-2), R 5a , R 5b , R 6a , R 6b , R 7a are linked to the — (C═O) —O— bond of the repeating unit (3). R 7b each independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms. And two of them may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and the general formula (Z-2) is R 5a , R 5b , R 6a , R 6b , R 7a , R 7b is linked to the — (C═O) —O— bond of the repeating unit (3) through which R 8a , R 8b , R 9a and R 9b are each represented by the following formula (Z-3): Independently represents a single bond, a hydrogen atom, a hydroxyl group, a halogen atom, or a linear, branched or cyclic monovalent organic group having 1 to 15 carbon atoms, two of which are bonded to each other A ring may be formed together with the carbon atom of General Formula (Z-3) is — (C═O) — of repeating unit (3) through any of R 8a , R 8b , R 9a , and R 9b. coupled to O- linked .R 11 is hydrogen atom or a number from 1 to 18 linear carbon atoms, a branched or cyclic alkyl group, a part of hydrogen atoms May be substituted with androgenic atom, also a part of the methylene groups is an oxygen atom, or may .R 12 be substituted by a carbonyl group is a straight 1-19 carbon atoms, branched or cyclic an alkyl group, part of the hydrogen atoms may be substituted with a halogen atom, a part of the methylene groups is an oxygen atom or .R 11 and R 12 may be substituted by a carbonyl group, the bond A cyclic structure may be formed together with the carbon atom and oxygen atom to which they are bonded, and R 2a , R 2b , R 3a , R 3b , R 5a , R 5b , R 6a , R 6b , R 7a , When any of R 7b , R 8a , R 8b , R 9a and R 9b is linked to the — (C═O) —O— bond of the repeating unit (3), the linking group is defined as above. One hydrogen atom contained in the organic group is eliminated, and this elimination site is regarded as a bond. A group. )
更に、下記一般式(4a)〜(4f)で表される繰り返し単位のうちの1つ又は2つ以上を有する高分子化合物を含むことを特徴とする請求項5乃至7のいずれか1項記載のレジスト保護膜材料。
Figure 0004743452
(式中、R1は水素原子、メチル基又はトリフルオロメチル基である。R13a及びR13bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R13aとR13bは互いに結合してこれらが結合する炭素原子と共に環を形成することもできる。R14は水素原子、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基又はフッ素化アルキル基、又は酸不安定基である。R15は炭素数1〜15の直鎖状、分岐状又は環状のフッ素化アルキル基である。R16は酸不安定基である。)
Furthermore, the high molecular compound which has 1 or 2 or more of the repeating units represented by the following general formula (4a)-(4f) is included, The any one of Claims 5 thru | or 7 characterized by the above-mentioned. Resist protective film material.
Figure 0004743452
Wherein R 1 is a hydrogen atom, a methyl group or a trifluoromethyl group. R 13a and R 13b are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, R 13a and R 13b can be bonded to each other to form a ring together with the carbon atom to which they are bonded, R 14 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, fluorine R 15 is a linear, branched or cyclic fluorinated alkyl group having 1 to 15 carbon atoms, and R 16 is an acid labile group.
下記一般式(5)又は(6)で表される繰り返し単位を有する高分子化合物を含むことを特徴とする請求項5乃至8のいずれか1項記載のレジスト保護膜材料。
Figure 0004743452
(式中、R31a及びR31bは水素原子又はメチル基である。R32は単結合、炭素数1〜4のアルキレン基、フェニレン基、−C(=O)−O−、−C(=O)−NH−のいずれかである。R33は単結合、炭素数1〜8の直鎖状、分岐状又は環状のアルキレン基のいずれかである。R34a〜R34d及びR36a〜R36cはそれぞれ独立に水素原子、炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、アルケニル基、オキソアルキル基又はオキソアルケニル基、炭素数6〜20のアリール基、炭素数7〜12のアラルキル基又はアリールオキソアルキル基のいずれかを示し、これらのうち水素原子の一部又は全部がアルコキシ基によって置換されていてもよく、R34a〜R34d及びR36a〜R36cの中に窒素原子、エーテル基、エステル基、ヒドロキシ基、又はカルボキシル基を含んでいてもよい。R34a〜R34d及びR36a〜R36cのうち2個は互いに結合してこれらが結合する窒素原子と共に環を形成することができ、その場合それぞれ独立に炭素数3〜15のアルキレン基、又は式中の窒素原子を環の中に有する複素芳香族環を示す。R35は炭素数1〜8の直鎖状、分岐状又は環状のアルキレン基を示す。R37はカルボニル基、エステル基、エーテル基、又はハロゲン原子を有していてもよい炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、又は、カルボニル基、エステル基、エーテル基、ハロゲン原子、炭素数1〜15のアルキル基又はフッ素化アルキル基を有していてもよい炭素数6〜15のアリール基のいずれかを示す。)
The resist protective film material according to any one of claims 5 to 8, comprising a polymer compound having a repeating unit represented by the following general formula (5) or (6).
Figure 0004743452
(Wherein R 31a and R 31b are a hydrogen atom or a methyl group. R 32 is a single bond, an alkylene group having 1 to 4 carbon atoms, a phenylene group, —C (═O) —O—, —C (= O) -NH- R 33 is a single bond or a linear, branched or cyclic alkylene group having 1 to 8 carbon atoms R 34a to R 34d and R 36a to R 36c is each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, an alkenyl group, an oxoalkyl group or an oxoalkenyl group, an aryl group having 6 to 20 carbon atoms, Any one of 12 aralkyl groups or aryloxoalkyl groups, and some or all of the hydrogen atoms may be substituted with alkoxy groups, and R 34a to R 34d and R 36a to R 36c may be Nitrogen atom, ether group, ester group, hydroxy Or two of which may contain a carboxyl group .R 34a to R 34d and R 36a to R 36c, taken together may form a ring together with the nitrogen atom to which they are attached, in which case each independently an alkylene group of 3 to 15 carbon atoms, or .R 35 showing a heteroaromatic ring having a nitrogen atom in the formula in the ring is linear C1-8, branched or cyclic alkylene group R 37 represents a carbonyl group, an ester group, an ether group, or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms which may have a halogen atom, or a carbonyl group, an ester group, It represents any of an ether group, a halogen atom, an alkyl group having 1 to 15 carbon atoms, or an aryl group having 6 to 15 carbon atoms which may have a fluorinated alkyl group.)
請求項9記載の高分子化合物が更に下記一般式(7)で表される繰り返し単位を含むことを特徴とする請求項5乃至9のいずれか1項記載のレジスト保護膜材料。
Figure 0004743452
(式中、R31cは水素原子又はメチル基である。R38a及びR38bは水素原子、又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基であり、R38a及びR38bは結合してこれらが結合する炭素原子と共に環を形成することもできる。)
The resist protective film material according to any one of claims 5 to 9, wherein the polymer compound according to claim 9 further contains a repeating unit represented by the following general formula (7).
Figure 0004743452
(Wherein, R 31c is a hydrogen atom or a methyl group .R 38a and R 38b is a hydrogen atom, or of the straight, a branched or cyclic alkyl group, R 38a and R 38b Can also combine to form a ring with the carbon atoms to which they are attached.)
更に、溶媒を含有することを特徴とする請求項1乃至10のいずれか1項記載のレジスト保護膜材料。   The resist protective film material according to any one of claims 1 to 10, further comprising a solvent. 溶媒が、炭素数8〜12のエーテル化合物であることを特徴とする請求項11記載のレジスト保護膜材料。   The resist protective film material according to claim 11, wherein the solvent is an ether compound having 8 to 12 carbon atoms. 炭素数8〜12のエーテル化合物として、ジ−n−ブチルエーテル、ジ−イソブチルエーテル、ジ−sec−ブチルエーテル、ジ−n−ペンチルエーテル、ジ−イソペンチルエーテル、ジ−sec−ペンチルエーテル、ジ−t−アミルエーテル、ジ−n−ヘキシルエーテルから選ばれる1種以上の溶媒を用いることを特徴とする請求項11又は12記載のレジスト保護膜材料。   As an ether compound having 8 to 12 carbon atoms, di-n-butyl ether, di-isobutyl ether, di-sec-butyl ether, di-n-pentyl ether, di-isopentyl ether, di-sec-pentyl ether, di-t 13. The resist protective film material according to claim 11 or 12, wherein at least one solvent selected from amyl ether and di-n-hexyl ether is used. 上記エーテル化合物に加えて、炭素数4〜10の高級アルコールを0.1〜90質量%混合して用いることを特徴とする請求項12又は13記載のレジスト保護膜材料。   14. The resist protective film material according to claim 12, wherein 0.1 to 90% by mass of a higher alcohol having 4 to 10 carbon atoms is used in addition to the ether compound. (1)レジスト材料を基板上に塗布する工程と、(2)請求項5乃至14のいずれか1項に記載のレジスト保護膜材料を用いてフォトレジスト膜上にレジスト保護膜を形成する工程と、(3)加熱処理後、投影レンズとウエハーの間に液体を挿入させ、フォトマスクを介して高エネルギー線で露光する工程と、(4)現像液を用いて現像する工程を含むことを特徴とするパターン形成方法。   (1) a step of applying a resist material on the substrate; (2) a step of forming a resist protective film on the photoresist film using the resist protective film material according to any one of claims 5 to 14; And (3) a step of inserting a liquid between the projection lens and the wafer after the heat treatment, and exposing with a high energy beam through a photomask, and (4) a step of developing with a developer. A pattern forming method. 前記露光工程において、投影レンズと基板の間に挿入する液体が水であることを特徴とする請求項15記載のパターン形成方法。   The pattern forming method according to claim 15, wherein the liquid inserted between the projection lens and the substrate in the exposure step is water. 露光光源として波長180〜250nmの範囲の高エネルギー線を用いることを特徴とする請求項15又は16記載のパターン形成方法。   17. The pattern forming method according to claim 15, wherein a high energy ray having a wavelength in the range of 180 to 250 nm is used as the exposure light source. 前記現像工程において、アルカリ現像液を用いて現像し、前記フォトレジスト膜にレジストパターンを形成すると同時にフォトレジスト膜上のレジスト保護膜の剥離を行うことを特徴とする請求項15乃至17のいずれか1項記載のパターン形成方法。   18. The development step according to claim 15, wherein development is performed using an alkaline developer to form a resist pattern on the photoresist film, and at the same time, the resist protective film on the photoresist film is peeled off. The pattern forming method according to claim 1. マスクブランクスに形成したフォトレジスト層上に保護膜を形成後、真空中で電子ビーム露光し、次いで現像を行うリソグラフィーにおいて、請求項5乃至14のいずれか1項記載の保護膜材料を用いることを特徴とするパターン形成方法。   Use of the protective film material according to any one of claims 5 to 14 in lithography in which a protective film is formed on a photoresist layer formed on a mask blank, followed by electron beam exposure in a vacuum and then developing. A characteristic pattern forming method.
JP2008279224A 2008-10-30 2008-10-30 Polymer compound, resist protective film material, and pattern forming method Active JP4743452B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008279224A JP4743452B2 (en) 2008-10-30 2008-10-30 Polymer compound, resist protective film material, and pattern forming method
US12/608,556 US8431323B2 (en) 2008-10-30 2009-10-29 Fluorinated monomer of cyclic acetal structure, polymer, resist protective coating composition, resist composition, and patterning process
KR1020090103378A KR101438844B1 (en) 2008-10-30 2009-10-29 Fluorinated monomer of cyclic acetal structure, polymer, resist protective coating composition, resist composition, and patterning process
TW098136701A TWI424994B (en) 2008-10-30 2009-10-29 Fluorinated monomer of cyclic acetal structure, polymer, resist protective coating composition, resist composition, and patterning process
US13/847,667 US8933251B2 (en) 2008-10-30 2013-03-20 Fluorinated monomer of cyclic acetal structure, polymer, resist protective coating composition, resist composition, and patterning process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008279224A JP4743452B2 (en) 2008-10-30 2008-10-30 Polymer compound, resist protective film material, and pattern forming method

Publications (2)

Publication Number Publication Date
JP2010106139A JP2010106139A (en) 2010-05-13
JP4743452B2 true JP4743452B2 (en) 2011-08-10

Family

ID=42295913

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008279224A Active JP4743452B2 (en) 2008-10-30 2008-10-30 Polymer compound, resist protective film material, and pattern forming method

Country Status (1)

Country Link
JP (1) JP4743452B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011227290A (en) * 2010-04-20 2011-11-10 Tokyo Ohka Kogyo Co Ltd Material for forming protective film and forming method for resist pattern
JP2011257713A (en) * 2010-06-11 2011-12-22 Shin Etsu Chem Co Ltd Resist protective film material and pattern forming method
JP5589999B2 (en) * 2011-09-28 2014-09-17 信越化学工業株式会社 Resist protective film material and pattern forming method
JP6908193B2 (en) * 2018-06-27 2021-07-21 Dic株式会社 Fluorine-based copolymer, water-sliding surface modifier, curable resin composition, and water-sliding coating

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006152255A (en) * 2004-10-28 2006-06-15 Shin Etsu Chem Co Ltd Cyclic structure-bearing fluorine-containing monomer, method for producing the same, polymer, photoresist composition and method for forming pattern
JP2008107443A (en) * 2006-10-24 2008-05-08 Shin Etsu Chem Co Ltd Resist material and pattern forming method using it
JP2008111103A (en) * 2006-10-04 2008-05-15 Shin Etsu Chem Co Ltd Polymer compound, resist material, and pattern-forming method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006152255A (en) * 2004-10-28 2006-06-15 Shin Etsu Chem Co Ltd Cyclic structure-bearing fluorine-containing monomer, method for producing the same, polymer, photoresist composition and method for forming pattern
JP2008111103A (en) * 2006-10-04 2008-05-15 Shin Etsu Chem Co Ltd Polymer compound, resist material, and pattern-forming method
JP2008107443A (en) * 2006-10-24 2008-05-08 Shin Etsu Chem Co Ltd Resist material and pattern forming method using it

Also Published As

Publication number Publication date
JP2010106139A (en) 2010-05-13

Similar Documents

Publication Publication Date Title
JP4822028B2 (en) Resist protective film material and pattern forming method
TWI424994B (en) Fluorinated monomer of cyclic acetal structure, polymer, resist protective coating composition, resist composition, and patterning process
JP4748331B2 (en) Resist material and pattern forming method
JP4666190B2 (en) Resist material and pattern forming method
JP5282781B2 (en) Resist material and pattern forming method
JP5170456B2 (en) Resist material and pattern forming method
JP5387605B2 (en) Fluorine-containing monomer, polymer compound, resist material, and pattern forming method
JP5375811B2 (en) Polymer compound, resist material, and pattern forming method
TWI503310B (en) Fluorinated monomer, fluorinated polymer, resist composition, and patterning process
JP5617810B2 (en) Resist protective film material and pattern forming method
KR101611634B1 (en) Fluoroalcohol, fluorinated monomer, polymer, resist composition and patterning process
JP2014115569A (en) Positive resist material, polymerizable monomer, polymeric compound and pattern forming method using the same
JP4743452B2 (en) Polymer compound, resist protective film material, and pattern forming method
JP2011257713A (en) Resist protective film material and pattern forming method
JP5589999B2 (en) Resist protective film material and pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110302

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110322

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110413

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110426

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140520

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4743452

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150