JP4648526B2 - Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device - Google Patents

Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device Download PDF

Info

Publication number
JP4648526B2
JP4648526B2 JP2000257661A JP2000257661A JP4648526B2 JP 4648526 B2 JP4648526 B2 JP 4648526B2 JP 2000257661 A JP2000257661 A JP 2000257661A JP 2000257661 A JP2000257661 A JP 2000257661A JP 4648526 B2 JP4648526 B2 JP 4648526B2
Authority
JP
Japan
Prior art keywords
monomer unit
group
film
resist
resist composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000257661A
Other languages
Japanese (ja)
Other versions
JP2001154357A (en
Inventor
耕司 野崎
崇久 並木
映 矢野
純一 今
美和 小澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2000257661A priority Critical patent/JP4648526B2/en
Priority to TW089117944A priority patent/TWI294991B/en
Priority to US09/654,433 priority patent/US6506534B1/en
Priority to KR1020000051745A priority patent/KR100658476B1/en
Priority to DE10043678A priority patent/DE10043678A1/en
Priority to FR0011226A priority patent/FR2798202B1/en
Publication of JP2001154357A publication Critical patent/JP2001154357A/en
Priority to US10/291,608 priority patent/US6794112B2/en
Priority to US10/291,671 priority patent/US6794113B2/en
Priority to US10/291,730 priority patent/US6787288B2/en
Priority to US10/291,723 priority patent/US6773867B2/en
Application granted granted Critical
Publication of JP4648526B2 publication Critical patent/JP4648526B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Materials For Photolithography (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

【0001】
【発明の属する技術分野】
本発明はレジスト組成物に関し、さらに詳しく述べると、露光後に塩基性水溶液によって現像を行うことができる化学増幅型のネガ型レジスト組成物に関する。本発明は、また、このようなレジスト組成物を使用したネガ型レジストパターンの形成方法に関する。本発明のレジスト組成物を使用すると、実用可能な感度で膨潤がない微細なネガ型レジストパターンを形成することができる。本発明は、さらに、本発明のレジスト組成物を使用して製造した、LSI等の微細な半導体装置や磁気記録ヘッド等を含む各種の電子デバイスと、その製造方法に関する。
【0002】
【従来の技術】
現在、半導体集積回路は高集積化が進み、LSIやVLSIが実用化されており、配線パターンの最小線幅は0.2μm以下の領域に及んでいる。このため、微細加工技術を確立することが必須であり、リソグラフィ分野では、その要求の解決策として、露光光源の紫外線の波長を遠紫外領域の短波長へと移行させており、さらに深紫外領域の波長の光源を用いた露光技術も間もなく量産工程で採用されると言われている。これにともない、レジスト材料も、上記のような短波長での光の吸収がより少なく、感度が良好でかつ高いドライエッチング耐性を合わせもつ材料の開発が急務となっている。
【0003】
近年、半導体装置の製造における新しい露光技術として、フッ化クリプトンエキシマレーザ(波長248nm、以下KrFと略す)を露光光源として使用したフォトリソグラフィが盛んに研究され、量産にも盛んに使用されている。また、このような短波長光源に対応できる高感度かつ高解像度を持ったレジストとして、化学増幅型と呼ばれる概念を用いたレジスト組成物が、米国IBM社のH.Itoらによってすでに提示されている(例えば、J.M.J.Frechetら、Proc.Microcircuit Eng.、260(1982)、H.Itoら、Digest of Technical Papers of 1982 Symposium on VLSI Technology、86(1983)、H.Itoら、“Polymers in Electronics”、ACS Symposium Series 242、T.Davidson編、ACS、11(1984)、そして米国特許第4,491,628号を参照されたい)。この化学増幅型レジスト組成物の基本概念は、上記の文献等から容易に理解されるように、レジスト膜中で触媒反応を起こさせて、見かけの量子収率を向上させて、高感度化を図ることにある。
【0004】
これまで非常に広く研究、利用されている、t−ブトキシカルボニルポリビニルフェノール(t−BOCPVP)に、光によって酸を発生する作用を有する光酸発生剤(PAG、Photo Acid Generatorの略)を加えた化学増幅型レジストを例にとってみると、レジストの露光部では、露光後の加熱、いわゆる「PEB(ポストエクスポージャ・ベーキング)」によって、t−BOC基が脱離し、イソブテンと二酸化炭素になる。また、t−BOCの脱離時に生じるプロトン酸が触媒となって、上記の脱保護反応が連鎖的に進行し、露光部の極性が大きく変化する。よって、露光部の極性の大きな変化に対応し得る適切な現像液を選択することにより、膨潤のない微細なレジストパターンを形成することができる。
【0005】
ところで、近年になって盛んに研究されている超解像技術の1つに、位相シフトマスクやレベンソン型マスクと呼ばれる光の位相を変化させるマスクを用いる方法があり、露光波長以下の解像性と十分な焦点深度を得る方法として有望である。これらのマスクを用いる場合には、そのマスクパターンの制約からネガ型レジストが適していることが多く、したがって、ネガ型レジストを提供することに対する要望はかねてから強いものがあった。これらのマスクは、KrFを光源とする場合は0.20μm以下の解像性が必要な場合に適用されると目されており、上記したように微細なパターンを膨潤なく解像できる高性能なレジストの開発が急務であった。さらに、KrFよりもさらに波長の短いフッ化アルゴンエキシマレーザ(波長193nm、以下ArFと略す)や電子線(EB)を用いたリソグラフィの研究も盛んであり、0.13μm以下のパターン形成には必須となる技術である。したがって、このようなさらなる微細加工の期待がかかるArF、EB等にも適用可能なネガ型レジストの開発は産業上極めて有益である。
【0006】
アルカリ現像可能なKrF用あるいはEB用ネガ型レジストとしては、酸触媒反応によって引き起こされる極性反応を利用するもの〔例えば、H.Itoら、Proc.SPIE,1466,408(1991)、S.Uchinoら、J.Photopolym.Sci.Technol.,11(4),553−564(1998)など〕と、酸触媒架橋反応を利用するもの〔例えば、J.W.Thackerayら、Proc.SPIE,1086,34(1989)、M.T.Allenら,J.Photopolym.Sci.Technol.,7,4(3),379−387(1991)、Liu H.I.、J.Vac.Sci.Technol.,B6,379(1988)など〕とがある。また、ArF用ネガ型レジストでは、架橋型のものが知られている〔例えば、A.Katsuyamaら、Abstracted Papers of Third International Symposium on 193nm Lithography,51(1997)、K.Maedaら、J.Photopolym.Sci.Technol.,11(4),507−512(1998)などを参照されたい〕。
【0007】
しかしながら、上記したように位相シフトマスクやレベンソン型マスクを使用した超解像技術に使用可能で、KrF、ArF、EB等にも適用可能な高性能なネガ型レジストが強く要望されているにもかかわらず、現在実用化されているネガ型レジストはもっぱら後者の架橋型のもののみである。架橋型のネガ型レジストは、露光部の架橋反応を利用して分子量を増大させることによって、未露光部との間に現像液に対する溶解度の差を生じさせ、パターニングを行うものであるので、コントラストを高めることが困難であり、また、酸触媒反応によって引き起こされる極性反応を利用したレジストとは異なって、パターンの膨潤による微細加工の限界を避けることができない。
【0008】
上記したように、ネガ型の化学増幅型レジストは、大別して、アルカリ可溶性の基材樹脂と、結像用放射線を吸収して分解し、酸を放出する光酸発生剤と、酸触媒反応によって極性変化をもたらす物質とをレジスト中に含ませたタイプと、アルカリ可溶性の基材樹脂と、結像用放射線を吸収して分解し、酸を放出する光酸発生剤と、樹脂どうしを架橋反応させ得る物質とをレジスト中に含ませたタイプとがある。前者の極性反応を利用した化学増幅型レジストは、典型的にはピナコール転移反応を利用しており、例えば、R.Sooriyakumaranら、SPIE、1466、419(1991)やS.Uchinoら、SPIE、1466、429(1991)に開示されている。かかるレジストにおける酸触媒反応は、次のようにして進行する。
【0009】
【化5】

Figure 0004648526
【0010】
すなわち、アルカリ可溶性であるピナコールが、酸及び熱の影響を受けてアルカリ不溶性に変化するのである。しかし、この種の化学増幅型レジストでは解像性に問題がある。酸触媒反応によってピナコール自体は上記のようにアルカリ不溶性に変化するが、アルカリ可溶性の基材樹脂そのものは反応しないので、十分な溶解速度差を得ることができないからである。
【0011】
また、化学増幅型レジストは、特開平4−165359号公報、特開平7−104473号公報、特開平11−133606号公報などにも開示されている。例えば特開平4−165359号公報は、アルカリ可溶性高分子化合物、芳香環に直接結合した炭素に水酸基を有する二級又は三級アルコール及び放射線の照射により酸を発生する酸前駆体を含むことを特徴とする放射線感応性組成物を開示している。ここで用いられる二級又は三級アルコールは、例えば、次式により表されるフェニルメタノール誘導体である。
【0012】
【化6】
Figure 0004648526
【0013】
(上式において、Aは、炭素数4以下のアルキル基又はメチロール基を表す)。
【0014】
【化7】
Figure 0004648526
【0015】
(上式において、R4及びR5は、同一もしくは異なっていてもよく、それぞれ、水素原子を表すかもしくはフェニル基を表す)。このレジストにおける酸触媒反応は、次のようにして進行する。
【0016】
【化8】
Figure 0004648526
【0017】
上記したように、アルカリ可溶性の高分子化合物に酸及び熱の影響を受けて二級又は三級アルコールが脱水的に結合し、アルカリ不溶性に変化するのである。しかし、この化学増幅型レジストでは、エッチング耐性の向上のために存在させていると考えられるが、酸触媒反応に関与し得る二級又は三級アルコールに芳香環が含まれているので、露光光源を制限するという問題がある。芳香環は光吸収が大きく、特に短波長であるKrFレーザやArF(フッ化アルゴンエキシマレーザ、波長193nm)に応用しづらいからである。また、脱水によって生成する二重結合を芳香環により共役安定化するためと考えられるが、芳香環に直接結合した炭素に水酸基を結合させている。このような構成とすると、アルコールの分子内脱水が主反応になり、基材樹脂の極性基(フェノール性水酸基など)と反応しないため、意図した極性変化が小さくなるであろう。さらに、一級アルコールでは脱水によって二重結合を生じないので、二級又は三級アルコールに限定して使用しているが、応用範囲の拡大などのためにはこのような制限を排除することが望ましい。
【0018】
後者の酸触媒架橋反応を利用した化学増幅型レジストは、典型的にはメトキシメチロールメラミン等のメラミン系架橋剤によるアルカリ可溶性樹脂の架橋反応を利用しており、例えば、M.T.Allenら、J.Photopolym.Sci.Technol.、7、4(3)、379−387(1991)に開示されている。かかるレジストにおける架橋反応は、次のようにして進行する。
【0019】
【化9】
Figure 0004648526
【0020】
この種の化学増幅型レジストのようにメラミン系架橋剤を使用した場合には、基材樹脂のゲル化反応(樹脂の架橋による分子量の増大)や樹脂の極性基(フェノール性水酸基)などの架橋に由来する非極性化によりアルカリ溶解性が低下せしめられるという効果は期待できる。しかし、ここで架橋剤として使用されているメトキシメチロールメラミンはそもそも極性が低いので、十分な溶解速度差を発生することができない。露光前は樹脂、添加剤ともに極性が高く、露光後において樹脂、添加剤ともに低極性を示すことができるようなレジストを提供することが望ましい。
【0021】
【発明が解決しようとする課題】
本発明は、上記したような化学増幅型レジストの問題点をいろいろな面から解決しようとするものである。
1つの面において、本発明の目的は、現像液として塩基性水溶液(標準アルカリ現像液)を使用することができ、実用可能な感度を有していて、膨潤のない微細なネガ型レジストパターンを形成することができる新規なレジスト組成物を提供することにある。
【0022】
本発明の目的は、また、KrFあるいはArFエキシマレーザ等に代表される深紫外領域の結像用放射線や電子線にも対応可能で、ドライエッチング耐性にもすぐれた新規なレジスト組成物を提供することにある。
本発明の目的は、さらに、露光部と未露光部の極性の差を大きくして、高感度と、高コントラストと、高解像度とを兼ね備える微細なパターンを形成することができる新規なレジスト組成物を提供することにある。
【0023】
もう1つの面において、本発明の目的は、露光部と未露光部の溶解速度の差を大きくして、高感度と、高コントラストと、高解像度とを兼ね備える微細なパターンを形成することができる新規なレジスト組成物を提供することにある。
本発明の目的は、また、現像液として塩基性水溶液(標準アルカリ現像液)を使用することができる新規なレジスト組成物を提供することにある。
【0024】
本発明の目的は、さらに、KrFあるいはArFエキシマレーザ等に代表される深紫外領域の結像用放射線や電子線にも対応可能で、ドライエッチング耐性にもすぐれたレジスト組成物を提供することにある。
また、本発明の目的は、本発明の新規なレジスト組成物を使用してレジストパターンを形成する方法を提供することにある。
【0025】
さらに、本発明の目的は、本発明の新規なレジスト組成物を使用して電子デバイスを製造する方法と、そのような方法によって製造された電子デバイスを提供することにある。
本発明の上記した目的及びその他の目的は、以下の詳細な説明から容易に理解することができるであろう。
【0026】
【課題を解決するための手段】
本発明者らは、上記した第1の面の課題を解決すべく鋭意研究の結果、化学増幅型レジスト組成物において、分子内にアルカリ可溶性基を有していて塩基性水溶液に可溶な皮膜形成性の重合体を基材樹脂として使用し、かつその重合体に、アルコール構造、好ましくは3級アルコール構造を側鎖に有するモノマー単位を含ませることが重要であるという知見を得、本発明を完成するに至った。本発明のレジスト組成物において、皮膜形成性の重合体と組み合わせて使用される光酸発生剤が結像用放射線を吸収して分解すると、酸が発生せしめられ、その酸が、重合体のモノマー単位の側鎖のアルコール構造部分と同じ重合体のアルカリ可溶性基を有する部分との反応を引き起こすか、さもなければ、アルカリ可溶性基を保護することが可能である。結果として、結像用放射線を吸収した露光部がアルカリ不溶となり、ネガ型レジストパターンを形成することができる。
【0027】
したがって、本発明(第1の発明)によれば、(1)アルカリ可溶性基を有する第1のモノマー単位及び前記アルカリ可溶性基と反応し得るアルコール構造を有する第2のモノマー単位を含む自体塩基性水溶液に可溶な皮膜形成性重合体と、
(2)結像用放射線を吸収して分解すると、前記第2のモノマー単位のアルコール構造と前記第1のモノマー単位のアルカリ可溶性基との反応を誘起し得るかもしくは前記第1のモノマー単位のアルカリ可溶性基を保護し得る酸を発生可能な光酸発生剤とを含んでなり、かつ
自体塩基性水溶液に可溶であるが、前記結像用放射線に露光されると、前記光酸発生剤の作用の帰結として露光部が塩基性水溶液に不溶となることを特徴とする、塩基性水溶液で現像可能なネガ型レジスト組成物が提供される。
【0028】
上記した発明に追加して、本発明者らは、上記した第2の面の課題を解決すべく鋭意研究の結果、化学増幅型レジスト組成物において、アルカリ可溶性の重合体からなる基材樹脂と結像用放射線を吸収して分解し、酸を発生可能な光酸発生剤に組み合わせて、レジストをアルカリ不溶性に変え得る添加剤として、脂環族系アルコール、なかんずく立体化学的に固定された構造を有する3級アルコールを含ませることが有効であるということを発見し、本発明を完成した。
【0029】
したがって、本発明(第2の発明)によれば、下記の反応成分:
(1)アルカリ可溶性の重合体からなる基材樹脂、
(2)結像用放射線を吸収して分解し、酸を発生可能な光酸発生剤、及び
(3)前記光酸発生剤から発生せしめられた酸の存在下、前記基材樹脂の重合体と脱水結合反応を行い得る反応部位を有している脂環族系アルコール、
を組み合わせて含んでなることを特徴とするネガ型レジスト組成物が提供される。
【0030】
また、本発明によれば、本発明のネガ型レジスト組成物を使用したネガ型レジストパターンの形成方法も提供される。本発明のネガ型レジストパターンの形成方法は、下記の工程:
本発明のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、そして
露光後ベークの完了後、前記レジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴とする。
【0031】
さらに、本発明によれば、本発明のネガ型レジスト組成物を使用した電子デバイスの製造方法も提供される。本発明の電子デバイスの製造方法は、本発明のネガ型レジスト組成物から形成されたレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去して予め定められた機能性要素層を形成する工程を含むことを特徴とする。
【0032】
本発明の電子デバイスの製造方法は、下記の工程:
前記ネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより選択的に除去して前記機能性要素層を形成すること、
を含んでなることが好ましい。
【0033】
【発明の実施の形態】
引き続いて、本発明をそれぞれの発明のネガ型レジスト組成物と、そのレジスト組成物を使用したレジストパターンの形成方法及び電子デバイスの製造方法について詳細に説明する。なお、それぞれの発明のネガ型レジスト組成物において、共通的に使用し得る成分の説明については、説明の重複を避けて、省略もしくは簡略化する場合もある。
【0034】
本発明(第1の発明)によるネガ型レジスト組成物は、上記したように、アルカリ可溶性基を有する第1のモノマー単位及び前記アルカリ可溶性基と反応し得るアルコール構造を有する第2のモノマー単位を含む自体塩基性水溶液に可溶な皮膜形成性重合体を基材樹脂として含むことを必須の構成要件としている。ここで、「重合体」とは、広義で用いられており、以下において具体的に説明するけれども、2成分共重合体、3成分共重合体などの共重合体はもちろんのこと、単独重合体(ホモポリマー)も包含する。すなわち、単独重合体の場合、第1のモノマー単位と第2のモノマー単位は共通であることができ、1つのモノマー単位にアルカリ可溶性基とそのアルカリ可溶性基と反応し得るアルコール構造とが共存することとなる。このような皮膜形成性重合体は、基本的に、現像液として使用される塩基性水溶液に対して適切なアルカリ可溶性を保持できる限りにおいてどのような構造を有していてもよい。さらに、その重合体が3成分共重合体などのような多元共重合体の形態であっても、それが適切なアルカリ可溶性を保持できる限りにおいてどのような構造を有していてもよい。
【0035】
本発明のレジスト組成物において基材樹脂として用いられる皮膜形成性重合体は、その重合体主鎖としていろいろなものを包含することができ、したがって、その第1及び第2のモノマー単位は、好ましくは、(メタ)アクリル酸系モノマー単位、イタコン酸系モノマー単位、ビニルフェノール系モノマー単位、ビニル安息酸系モノマー単位、スチレン系モノマー単位、ビシクロ〔2.2.1〕ヘプト−5−エン−2−カルボン酸系モノマー単位、N−置換マレイミド系モノマー単位及び複数個もしくは多環式の脂環式炭化水素部分を含むエステル基を有するモノマー単位などである。これらのモノマー単位は、ノボラックレジスト並みのドライエッチング耐性を得ることができる面でも有用である。なお、第1及び第2のモノマー単位は、同一であっても異なっていてもよい。また、先にも述べたように、第1及び第2のモノマー単位が共通な時には、そのモノマー単位は上記したもののいずれであってもよい。
【0036】
上記したようなモノマー単位のなかで、特に(メタ)アクリレート系モノマー単位は、露光光源として深紫外線を使用する場合に深紫外領域の波長の光の吸収が小さい点において重要である。換言すると、深紫外線を露光光源とする場合には、一般的に、深紫外領域の光を大きく吸収する芳香族環や、共役二重結合等のモル吸光係数の大きい発色団を含まないような構造を有する共重合体を使用することが望ましい。
【0037】
皮膜形成性重合体の第1のモノマー単位は、その構造中にアルカリ可溶性基を有することが必須である。ここで導入し得るアルカリ可溶性基は、化学増幅型レジストの分野で基材樹脂としての重合体に一般的に導入されているいろいろな基を包含することができるというものの、通常、カルボン酸基、スルホン酸基、アミド基、イミド基、フェノール基、酸無水物基、チオール基、ラクトン酸エステル基、アザラクトン基、ヒドロキシアミド基、オキサゾン基、ピロニドン基、ヒドロキシオキシム基などが好ましく、さらに好ましくは、カルボン酸基、スルホン酸、アミド基、イミド基、そしてヒドロキシアミド基である。
【0038】
本発明の皮膜形成性重合体において、その重合体中に上記第1のモノマー単位が占める割合は、重合体自体が適切なアルカリ可溶性を示す限りにおいて特に限定されるものではないが、本発明で意図しているネガレジストとして実現可能と考えられる適切なアルカリ溶解速度(ADR)(2.38%水酸化テトラメチルアンモニウムヒドロキシド水溶液で測定して、100〜10000Å/ sec)を得ることを考慮すると、例えば、2成分もしくはそれ以上の多成分共重合体でアルカリ可溶性基としてカルボン酸を含有する共重合体の場合、10〜90モル%の範囲であるのが好ましく、より好ましくは、30〜70モル%の範囲である。この第1のモノマー単位の含有率が10モル%を下回ると、アルカリ溶解性が不十分となるため、満足のいくパターニングを行うことが不可能となる。反対に、第1のモノマー単位の含有率が90モル%を上回ると、アルカリ溶解性が強くなりすぎるため、塩基性水溶液への溶解速度が速すぎてしまい、極性変化に依存してパターニングを行うことが不可能となる。かかる第1のモノマー単位の最も好ましい含有率は、30〜50モル%の範囲である。
【0039】
また、皮膜形成性重合体の第1のモノマー単位がアルカリ可溶性基としてフェノール性水酸基を含有するような場合、そのモノマー単位の含有率は、好ましくは、30〜99モル%の範囲であり、さらに好ましくは、50〜95モル%の範囲である。この第1のモノマー単位の含有率が30モル%を下回ると、アルカリ溶解性が不十分となるため、満足のいくパターニングを行うことが不可能となる。同様に、第1のモノマー単位の含有率が99モル%を上回っても、満足のいくパターニングを行うことが不可能となる。かかる第1のモノマー単位の最も好ましい含有率は、80〜95モル%の範囲である。
【0040】
また、皮膜形成性重合体の第2のモノマー単位は、第1のモノマー単位のアルカリ可溶性基と反応し得るアルコール構造をその側鎖に有することが必須である。ここで導入し得るアルコール構造は、所望とする効果などに応じて広く変更することができるというものの、本発明者らの知見によれば、3級アルコール構造が特に有用である。3級アルコール構造は、例えば第2アルコール構造に比較した場合、脱水反応を起こしやすいからである。
【0041】
本発明の実施において好適な3級アルコール構造は、次式(I)〜(IV)のいずれかにより表されるものである。
好ましい3級アルコール構造(1):
【0042】
【化10】
Figure 0004648526
【0043】
上式において、Rは、当該モノマー単位の主鎖に繋がりかつ前記第1のモノマー単位と共重合可能な任意の結合基を表す。この結合基Rは、したがって、アルカリ可溶性基を有するモノマー単位と共重合可能なものであり、本発明の意図する効果に対して悪影響を及ぼすことがなければ、その構造は特に問われない。適当な結合基Rは、例えば、1〜6個の炭素原子を有する直鎖もしくは分岐鎖の炭化水素基、例えば、メチル基、エチル基など、あるいは−O−基などである。
【0044】
R1及びR2は、同一もしくは異なっていてもよく、それぞれ、直鎖もしくは分岐鎖状あるいは環状の炭化水素基、例えば、1〜8個の炭素原子を有するアルキル基、例えばメチル基、エチル基など、あるいは脂環式又は芳香族の炭化水素基、例えばフェニル基などを表し、さもなければ、以下に説明するように、2つの置換基R1及びR2が一緒になって1つの環系、例えば脂環式又は芳香族の炭化水素基あるいは複素環式基を構成していてもよい。
好ましい3級アルコール構造(2):
【0045】
【化11】
Figure 0004648526
【0046】
上式において、Rは前記定義に同じである。
x は、1〜8個の炭素原子を有する炭化水素基、例えば、直鎖もしくは分岐鎖状あるいは環状の炭化水素基、例えばメチル基、エチル基などあるいはフェニル基などを表し、そしてpは2〜9の整数である。
好ましい3級アルコール構造(3):
【0047】
【化12】
Figure 0004648526
【0048】
上式において、Rは前記定義に同じである。
Yは、水素原子を表すかもしくは、アルキル基、アルコキシカルボニル基、ケトン基、水酸基及びシアノ基からなる群から選ばれた任意の置換基を表す。この置換基Yの、下記の脂環炭化水素基Zに対する結合位置は特に限定されない。
Zは、脂環式炭化水素基を完成するのに必要な複数個の原子を表す。ここで、脂環式炭化水素基は、いろいろな基を包含することができるけれども、好ましくは、次のような化合物を骨格とするものである。
【0049】
アダマンタン及びその誘導体、
ノルボルナン及びその誘導体、
パーヒドロアントラセン及びその誘導体、
パーヒドロナフタレン及びその誘導体、
トリシクロ〔5.2.1.02,6 〕デカン及びその誘導体、
ビシクロヘキサン及びその誘導体、
スピロ〔4,4〕ノナン及びその誘導体、
スピロ〔4,5〕デカン及びその誘導体など。
このような脂環式炭化水素基のなかでも、特に好ましいものは、アダマンタン及びその誘導体を骨格とするものであり、一例を示すと、次式(III −1)で表すことができる:
【0050】
【化13】
Figure 0004648526
【0051】
上式において、R及びYは、それぞれ、前記定義に同じである。
好ましい3級アルコール構造(4):
【0052】
【化14】
Figure 0004648526
【0053】
上式において、R及びYは、それぞれ、前記定義に同じである。
BAは、ビシクロアルカン環を完成するのに必要な複数個の原子を表す。ここで、ビシクロアルカン環は、いろいろな基を包含することができるけれども、好ましくは、ビシクロヘキサン、ビシクロオクタン、ビシクロデカンなどであり、特にビシクロオクタンが好ましい。ビシクロオクタンは、次式(IV−1)で表すことができる:
【0054】
【化15】
Figure 0004648526
【0055】
上式において、R及びYは、それぞれ、前記定義に同じである。
本発明の皮膜形成性重合体において、その重合体中に上記第2のモノマー単位が占める割合は、レジスト組成物に所望とされる特性などに応じて広く変更することができるというものの、通常、当該皮膜形成性重合体の全量を基準にして0.1〜70モル%の範囲であることが好ましい。
【0056】
本発明で基材樹脂として使用される皮膜形成性重合体は、上記したように第1及び第2のモノマー単位を有している。本発明の好ましい態様に従うと、この第1又は第2のモノマー単位あるいはこれらの2つのモノマー単位は、第1のモノマー単位に含まれるべきアルカリ可溶性基に追加して、それよりも弱いアルカリ可溶性基をさらに含有することができる。このような追加のアルカリ可溶性基は、通常、モノマー単位の側鎖に結合せしめられる。適当なアルカリ可溶性基としては、以下に列挙するものに限定されるわけではないけれども、例えば、ラクトン環、イミド環、酸無水物などを挙げることができる。なお、本発明の皮膜形成性重合体では、この追加のアルカリ可溶性基は、場合によって、第1、第2のモノマー単位に追加して用いられる第3、第4、…のモノマー単位に含まれていてもよい。
【0057】
以上において、本発明のネガ型レジスト組成物において基材樹脂として使用される皮膜形成性重合体の概略を説明した。ここで、本発明のさらなる理解のため、本発明のレジスト組成物における化学増幅のメカニズムを特定の皮膜形成性重合体を使用した例を参照して説明すると、次の通りである:
ここで参照する皮膜形成性重合体は、下記の反応式に示されるように、フェノール基をアルカリ可溶性基として側鎖に含む第1のモノマー単位と、前式(III −1)に類似のアダマンチル基を3級アルコール構造として側鎖に含む第2のモノマー単位とからなる2成分共重合体である。式中、Yは前記定義に同じであり、Xは任意の置換基、例えば、水素原子、ハロゲン原子(例えば、塩素又は臭素)、低級アルキル基(例えば、メチル基又はエチル基)などである。また、m及びnは、当該共重合体に求められる所定の分子量を得るのに必要なモノマー単位(繰り返し単位)の数である。
【0058】
このような皮膜形成性重合体と光酸発生剤(PAG)とを組み合わせて含むレジスト組成物を被処理基板上に塗布し、レジスト膜をプリベークした後に結像用放射線に露光とすると、レジスト組成物中のPAGがその放射線を吸収し、分解して酸を発生する。次いで、この露光後のレジスト膜を加熱(PEB)すると、先に生じた酸が触媒的に作用して、膜の露光部において図示ような反応が発生する。すなわち、皮膜形成性重合体の第2のモノマー単位の3級アルコール構造で脱水反応が起こり、その反応の生成物の3級アルコール構造がさらに近傍のフェノール環と反応する。複数の反応が同時的に進行した結果、図示のように、フェノール環と3級アルコール構造とが反応した生成物と、フェノール環が3級アルコール構造で保護された生成物とが生成し、よって、重合体のアルカリ可溶性が変化する。
【0059】
【化16】
Figure 0004648526
【0060】
この反応では、脱水反応後のカチオンは、ビニルフェノール環の水酸基、またはその環のオルト位の炭素との間で求電子置換反応を発生する。前者では、カチオンがアルカリ可溶性基と直接に反応して、アルカリ溶解性を低下させ、後者では、アダマンチル基の強い疎水性とその立体障害によって、アルカリ溶解性を低下させる。したがって、露光部ではアルカリ溶解性が著しく低下し、ネガ型パターンが与えられる。
【0061】
いま1つの例は、下記の反応式に示されるように、カルボキシル基をアルカリ可溶性基として側鎖に含む第1のモノマー単位と、前例に同じアダマンチル基を3級アルコール構造として側鎖に含む第2のモノマー単位とからなる2成分共重合体を基材樹脂として使用した例である。式中、Y、X、m及びnは、それぞれ、前記定義に同じである。この2成分共重合体を含むレジスト組成物の場合にも、前記した反応と同様、結像用放射線の照射の結果としてアルコールの脱水反応が起こり、3級アルコール構造が近傍のカルボキシル基と反応する。反応の結果、重合体のアルカリ可溶性が低下せしめられる。したがって、露光部ではアルカリ溶解性が著しく低下し、ネガ型パターンが与えられる。
【0062】
【化17】
Figure 0004648526
【0063】
本発明のレジスト組成物は、基材樹脂として使用する皮膜形成性重合体中にアルコール構造を含み、その反応によってプロトン酸を再生することができる、いわゆる増幅型の組成物であるので、高感度を達成することができる。また、このレジスト組成物では、感応基が保護された後はアルカリ可溶性基が消失する(具体的には、エーテルやエステルに変化する)ので、レジスト膜の露光部はアルカリ不溶となり、したがって、塩基性水溶液で現像後、ネガ型のパターンが形成できる。さらに、本発明では重合体において生じる極性変化を用いてパターン形成を行っているので、膨潤を伴わないでパターン形成を行うことができる。
【0064】
また、本発明のレジスト組成物において基材樹脂として用いられる皮膜形成性重合体において、もしもその重合体が3成分共重合体の形態をとるような場合には、好ましくは、その第1のモノマー単位にカルボン酸やフェノールに代表される比較的強いアルカリ可溶性基を導入し、かつその第2のモノマー単位に例えばラクトン構造、酸無水物、例えば、コハク酸無水物、グルタル酸無水物、イミド環構造などを有する弱いアルカリ可溶性基を導入することができる。このような場合には、それぞれのモノマー単位に含まれる強いアルカリ可溶性基と弱いアルカリ可溶性基の含有量をコントロールすることによって、基材樹脂のアルカリ溶解速度を好ましい値に調整することが容易になる。また、第3のモノマー単位には、好ましくは、エッチング耐性を有する官能基を有するものを用いることができる。このように、それぞれのモノマー単位に導入される置換基を適切に選択し、それぞれの官能基の機能の使い分けを効果的に実施することにより、より高性能なレジストを具現することができる。
【0065】
さらに、本レジスト組成物の皮膜形成性重合体に含まれるアルコール構造は、好ましくは、3級アルコール構造である。3級アルコール構造が存在していると、脱水反応がより起こりやすくなるからである。また、本発明では、上記したようにアルコール構造を重合体のモノマー単位に導入することに加えて、上記したような反応が期待できるアルコール構造を有する化合物(本発明では、「アルコール構造含有化合物」という)を添加剤としてレジスト組成物中に含ませることも可能である。ここで、添加するアルコール構造含有化合物の構造は特に限定されないけれども、エッチング耐性の向上に寄与させることが主たる目的であることを考慮すると、多環性脂環式化合物や分子内にベンゼン環を有する化合物が好ましい。さらに、このような化合物が、酸で脱水しやすい3級アルコール構造を有していることがさらに好ましい。
【0066】
再び皮膜形成性重合体の説明に戻り、本発明の実施に好適な重合体の好ましい構造などを以下に説明する。
本発明のレジスト組成物において基材樹脂として使用される皮膜形成性重合体は、上記したような条件、特に適切なアルカリ溶解速度を有するという条件を満たす限りにおいて、特に限定されるものではない。特にノボラックレジスト並みのドライエッチング耐性を得ることを考慮に入れた場合、有用な皮膜形成性重合体は、以下に列挙するものに限定されるわけではないけれども、多環性脂環式炭化水素系化合物をエステル基に有する(メタ)アクリレート系重合体、ビニルフェノール系重合体、ビニル安息酸系重合体、N−置換マレイミド系重合体、スチレン系重合体、ビシクロ〔2.2.1〕ヘプト−5−エン−2−カルボン酸系重合体などを包含する。
【0067】
上記したような皮膜形成性重合体のなかで、特に(メタ)アクリレート系重合体、すなわち、アクリレート系又はメタクリレート系重合体は、露光光源として深紫外線、特に220nm以下の波長をもつ光源を使用する場合に、そのような深紫外領域の波長の光の吸収が小さい点において重要である。換言すると、深紫外線を露光光源とする場合には、一般的に、深紫外領域の光を大きく吸収する芳香族環や、共役二重結合等のモル吸光係数の大きい発色団を含まないような構造を有する共重合体を使用することが望ましい。
【0068】
特にArFエキシマレーザのような極短波長領域の露光波長を光源として使用する場合には、ドライエッチング耐性とともに当該波長(193nm)における透明性が必要になるため、上記したように、ドライエッチング耐性の高いアダマンチル基、ビシクロ〔2.2.2〕オクタン基、ノルボルニル基等に代表されるような多環性脂環式炭化水素構造を有するエステル基を有する重合体を皮膜形成性重合体として使用することが推奨される。
【0069】
上記したような皮膜形成性重合体の分子量(重量平均分子量、Mw)は、その重合体の構造に応じて広い範囲で変更することができるけれども、通常、好ましくは2,000〜1,000,000の範囲であり、さらに好ましくは3,000〜50,000の範囲である。
上記したような皮膜形成性重合体中に含まれるべきアルコール構造を有するモノマー単位(第2のモノマー単位)は、以下に列挙するものに限定されるわけではないけれども、例えば、次のようなアルコール構造をエステル基あるいはエーテル基として有するビニルモノマーである。
【0070】
【化18】
Figure 0004648526
【0071】
【化19】
Figure 0004648526
【0072】
【化20】
Figure 0004648526
【0073】
【化21】
Figure 0004648526
【0074】
【化22】
Figure 0004648526
【0075】
上式において、Y及びRx はそれぞれ前記定義に同じであり、R6〜R8は、同一もしくは異なっていてもよく、それぞれ、水素原子を表すかもしくは、ハロゲン原子、例えば塩素、臭素等、シアノ基、1〜4個の炭素原子を有する直鎖もしくは分岐鎖あるいは環状のアルキル基、例えばメチル基、エチル基等、メチロール基などの任意の置換基を表し、これらの置換基は必要に応じてさらに置換されていてもよく、そしてp及びqは、それぞれ、1〜6の整数を表す。
【0076】
本発明の実施において有利に使用することのできる皮膜形成性重合体は、以下に列挙するものに限定されるわけではないけれども、好ましくは、次のような重合体を包含する。なお、下記の一般式において、X、Y及びRx は、それぞれ、前記定義に同じであり、ALCは先に定義し説明したアルコール構造を表し、そしてl、m及びnは、上記した重量平均分子量を得るのに必要なモノマー単位(繰り返し単位)の数である。
(1)アクリレート系又はメタクリレート系重合体
【0077】
【化23】
Figure 0004648526
【0078】
【化24】
Figure 0004648526
【0079】
【化25】
Figure 0004648526
【0080】
【化26】
Figure 0004648526
【0081】
【化27】
Figure 0004648526
【0082】
【化28】
Figure 0004648526
【0083】
(2)ノルボルネン系重合体
【0084】
【化29】
Figure 0004648526
【0085】
【化30】
Figure 0004648526
【0086】
(3)ビニルフェノール系重合体
【0087】
【化31】
Figure 0004648526
【0088】
(4)ビニル安息酸系重合体
【0089】
【化32】
Figure 0004648526
【0090】
【化33】
Figure 0004648526
【0091】
本発明の実施に当たっては、上記したような典型的な皮膜形成性重合体の他に、マレイン酸やフマル酸のハーフエステル、イタコン酸のモノエステルなども有利に使用することができる。
本発明において基材樹脂として使用する皮膜形成性重合体は、高分子化学の分野において一般的に用いられている重合法を使用して調製することができる。例えば、(メタ)アクリレート系重合体の場合、その調製に必要とされる所定のモノマーをフリーラジカル開始剤の存在下で加熱することによって、フリーラジカル重合を経て有利に調製することができる。フリーラジカル開始剤としては、例えば、2,2′−アゾビスイソブチロニトリル(AIBN)、ジメチル−2,2−アゾイソビスブチラート(MAIB)などを挙げることができる。また、(メタ)アクリレート系重合体以外の皮膜形成性重合体も、同様に常用の重合法に従って有利に調製することができる。
【0092】
本発明のレジスト組成物は、先にも触れたように、上記した皮膜形成性重合体に追加して、分子内にアルコール構造を有する化合物をさらに含有することが好ましい。ここで追加的に添加されるアルコール構造含有化合物のアルコール構造は、2級アルコール構造あるいは3級アルコール構造のいずれであってもよいが、3級アルコール構造であるほうが有利である。3級アルコール構造は、前記したものに同じであることができ、場合によっては別のものでもよい。また、このアルコール構造含有化合物は、少なくとも130℃の沸点を有することが好ましい。アルコール構造含有化合物の沸点が130℃を下回ると、例えば、露光に先がけて実施するプリベーク工程での加熱で化合物そのものが飛散してしまい、期待する効果を得ることができないからである。
【0093】
アルコール構造含有化合物は、好ましくは、脂環式構造あるいは多環性脂環式構造を含むことができる。また、この化合物は、皮膜形成性重合体の第2のモノマー単位のアルコール構造中に含まれる置換基Yと同様な置換基、例えば水酸基、ケトン基、アルコキシカルボニル基などを追加的に含むことが好ましい。本発明の実施において有用なアルコール構造含有化合物の例は、以下に一般式で示すものに限定されるわけではないけれども、次のような化合物を包含する。なお、下記の一般式において、Y及びRx は、それぞれ、前記定義に同じであり、そしてpは1〜6の整数である。
【0094】
【化34】
Figure 0004648526
【0095】
本発明のレジスト組成物において、上記したようなアルコール構造含有化合物の占める割合は、皮膜形成性重合体中に含まれるアルカリ可溶性基の量、換言すると、当該重合体のアルカリ溶解速度に大きく依存するけれども、先に説明したような適切なアルカリ溶解速度を有する重合体に関しては、その重合体の全量を基準にして、1〜100重量%の範囲の添加量であるのが好ましく、さらに好ましくは、10〜50重量%の範囲である。
【0096】
アルコール構造含有化合物の併用に関してさらに説明すると、本発明の実施において有用な皮膜形成性重合体のなかで、(メタ)アクリレート重合体は、深紫外領域で高い透明性を有することが良く知られており、この重合体の構造と、それに併用するアルコール構造含有化合物の構造において、露光波長付近でモル吸光係数の大きな発色団を含まない構造を適宜選択すれば、適量の光酸発生剤と組み合わせて、深紫外線を用いた露光にも有利に対応できる高感度なレジスト組成物を提供することができる。
【0097】
また、本発明の化学増幅型レジストにおいて上記したような皮膜形成性重合体と組み合わせて用いられる光酸発生剤(PAG)は、レジストの化学において一般的に用いられている光酸発生剤、すなわち、紫外線、遠紫外線、真空紫外線、電子線、X線、レーザ光などの放射線の照射によりプロトン酸を生じる物質であることができる。本発明の実施において使用できる適当な光酸発生剤は、以下に列挙するものに限定されないけれども、次式により表されるようなものを包含する。
(1)オニウム塩類、例えば:
【0098】
【化35】
Figure 0004648526
【0099】
(上式において、
R1は、同一もしくは異なっていてもよく、例えば、置換もしくは非置換の芳香族基、例えばフェニル基、ハロゲン、メチル基、t−ブチル基、アリール基等で置換されたフェニル基など、又は脂環式基を表し、そして
X1は、例えば、BF4 、BF6 、PF6 、AsF6 、SbF6 、CF3 SO3 、ClO4 などを表す)。
【0100】
オニウム塩類は、単純な構造を有するにもかかわらず、特に縮合反応を誘発する効果が大きいので、これらの塩類の使用が特に望ましい。なかんずく、特に効果が大きい点で注目に値するのは、次式により表される化合物である。
【0101】
【化36】
Figure 0004648526
【0102】
【化37】
Figure 0004648526
【0103】
(式中のX1は、前記定義に同じである)。
(2)スルホン酸エステル類、例えば:
【0104】
【化38】
Figure 0004648526
【0105】
【化39】
Figure 0004648526
【0106】
【化40】
Figure 0004648526
【0107】
【化41】
Figure 0004648526
【0108】
【化42】
Figure 0004648526
【0109】
【化43】
Figure 0004648526
【0110】
【化44】
Figure 0004648526
【0111】
【化45】
Figure 0004648526
【0112】
(3)ハロゲン化物類、例えば:
【0113】
【化46】
Figure 0004648526
【0114】
(上式において、
X2は、ハロゲン原子、例えばCl、Br又はIを表し、同一もしくは異なっていてもよく、但し、上式中の−C (X2)3 基の1つは置換もしくは非置換のアリール基又はアルケニル基であってもよい)。
特に、分子内にハロゲン原子を含有するトリアジン類又はイソシアヌレート類、例えば、次のような化合物を有利に使用することができる。
【0115】
【化47】
Figure 0004648526
【0116】
【化48】
Figure 0004648526
【0117】
【化49】
Figure 0004648526
【0118】
【化50】
Figure 0004648526
【0119】
【化51】
Figure 0004648526
【0120】
これらの光酸発生剤の他に、必要に応じて、例えば特開平9−90637号公報及び特開平9−73173号公報に開示されているような光酸発生剤も使用することができる。
上記したような光酸発生剤は、本発明のレジスト組成物中において、所望とする効果などに応じていろいろな量で使用することができる。本発明者らの知見によれば、光酸発生剤の使用量は、好ましくは、基材樹脂として使用する皮膜形成性重合体の全量を基準にして、0.1〜50重量%の範囲である。この光酸発生剤の量が50重量%を上回ると、過度に光が吸収されることの結果として、もはやパターニングを行うことができなくなる。光酸発生剤の使用量は、さらに好ましくは、当該重合体の全量を基準にして1〜15重量%の範囲である。
【0121】
また、本発明のレジスト組成物では、それが露光波長において特定の透過率を有すること、すなわち、レジスト組成物を石英基板に施してその基板上に膜厚1μm のレジスト皮膜を形成した時、深紫外領域の露光光源の波長(180〜300nm)における吸光度が1.75μm-1以下であることが好ましいので、そのような透過率が得られるように、皮膜形成性重合体及び光酸発生剤の構造ならびに光酸発生剤の使用量を考慮することが望ましい。当然のことながら、露光光源として電子線を使用する場合には、透明性の問題を回避することができるので、使用する光酸発生剤の使用量に特に配慮する必要はない。
【0122】
本発明のレジスト組成物は、通常、前記した皮膜形成性重合体及び光酸発生剤、そして、必要に応じて、同じく前記したアルコール構造含有化合物及びその他の任意の添加剤を適当な有機溶媒に溶解して、レジスト溶液の形で有利に使用することができる。レジスト溶液の調製に有用な有機溶媒は、例えば、乳酸エチル、メチルアミルケトン、メチル−3−メトキシプロピオネート、エチル−3−エトキシプロピオネート、プロピレングリコールメチルエーテルアセテートなどであるが、これらの溶媒に限定されるものではない。これらの溶媒は、単独で使用してもよく、必要に応じて、2種類以上の溶媒を混合して使用してもよい。これらの溶媒の使用量は、特に限定されないが、スピンコート等の実施に適当な粘度及び所望のレジスト膜厚を得るのに十分な量で使用するのが好ましい。
【0123】
本発明のレジスト溶液では、必要に応じて、上記したような溶媒(本発明では、追加的に使用される溶媒と区別するため、「主溶媒」とも呼ぶ)に加えて補助溶媒を使用してもよい。補助溶媒の使用は、溶質の溶解性が良好な時や溶液を均一に塗布可能な時には必要ないが、溶解度の低い溶質を用いた場合や溶液を所望なように均一に塗布できない場合に、通常、主溶媒に対して1〜30重量%の量で添加するのが好ましく、より好ましくは10〜20重量%である。有用な補助溶媒の例は、これも以下に列挙するものに限定されないけれども、酢酸ブチル、γ−ブチロラクトン、プロピレングリコールメチルエーテルなどである。これらの補助溶媒も、上記した主溶媒と同様、単独で使用してもよくあるいは混合して使用してもよい。
【0124】
本発明(第2の発明)によるネガ型レジスト組成物は、上記したように、レジストパターンの形成のための反応に直接的に関与し得る成分として、
(1)アルカリ可溶性の重合体からなる基材樹脂、
(2)結像用放射線を吸収して分解し、酸を発生可能な光酸発生剤、及び
(3)前記光酸発生剤から発生せしめられた酸の存在下、前記基材樹脂の重合体と脱水結合反応を行い得る反応部位を有している脂環族系アルコール、
を組み合わせて有している。
【0125】
それぞれの反応成分は以下において詳細に説明するけれども、その前に、本発明の理解を容易にするため、本発明のレジスト組成物における酸触媒反応を説明する。
脂環族系アルコールは、その分子内にアルコール性水酸基などの高極性基を有している。このような物質は、酸触媒の存在により、基材樹脂の極性基(フェノール性水酸基など)と反応してエステル化又はエーテル化する。ここで、基材樹脂としてポリビニルフェノールを使用し、これに脂環族系アルコールとしての1−アダマンタノールを添加した場合について考察すると、酸触媒によって、主に次のような反応が生じている。
【0126】
【化52】
Figure 0004648526
【0127】
この一回の反応によって、基材樹脂のフェノール性水酸基と脂環族系アルコールのアルコール性水酸基の両方がエーテル化して極性が変化し、ともにアルカリ不溶性となる。すなわち、この反応を通じて、本発明のレジストの課題「露光前は樹脂、添加剤ともに極性が高く、露光後において樹脂、添加剤ともに低極性になること」が解決されるのである。
【0128】
また、本発明のレジスト組成物における酸触媒反応の経路は、上記した1経路のみではなく、その他の反応も付随的に発生可能である。例えば、基材樹脂のフェノール性水酸基に隣接して位置する炭素原子にアダマンタノールが付加する反応や、アダマンタノールどうしが縮合する反応などを挙げることができる。これらの付随的な反応も、水酸基がエーテルになることや、嵩張る脂環族基が水酸基に隣接することによる立体障害などに由来して、極性の低下に寄与することができる。
【0129】
本発明のレジスト組成物において、その第3の反応成分として用いられる脂環族系アルコールは、第2の反応成分としての光酸発生剤から発生せしめられた酸の存在下、第1の反応成分としての基材樹脂(アルカリ可溶性重合体)と脱水結合反応を行い得る反応部位を有しているものである。本発明で脂環族系アルコールを使用することのメリットは、以下の説明から明らかとなるように、
1)嵩張る構造のため、アルカリ可溶性重合体に付加した時の極性変化が大きいこと、
2)レジストとして使用する際、高いエッチング耐性が得られること、
が挙げられる。
【0130】
本発明の実施において、脂環族系アルコールは、その反応部位として1個のアルコール性水酸基を有していてもよく、さもなければ、2個もしくはそれ以上のアルコール性水酸基を有していてもよい。1分子中に複数個のアルコール性水酸基が含まれると、例えば、極性の変化に基づく効果にプラスして、架橋に基づく効果を期待することができる。
【0131】
また、使用する脂環族系アルコールでは、その脂環族骨格と、その脂環族骨格に結合したアルコール性水酸基との間に任意の結合基が介在せしめられていることが好ましい。適当な結合基としては、1〜6の原子を有する基、例えば直鎖もしくは分岐鎖あるいは環状の炭化水素基、例えばアルキル基などを挙げることができる。このようなアルコールは、したがって、1級アルコール、2級アルコール、立体的に固定されていないアルコールなども包含する。
【0132】
さらに、脂環族系アルコールは、いろいろな構造を有するものを単独もしくは組み合わせて使用することができる。基本的に、本発明の実施に使用する脂環族系アルコールは、嵩高の構造を有するものが好適である。具体的には、有用な脂環族系アルコールは、4個以上の炭素原子を有する単環式アルコール化合物、例えば分子内にシクロヘキサン構造を有するアルコール化合物、6個以上の炭素原子を有する多環式アルコール化合物、例えば6個以上の炭素原子を有する二環式アルコール化合物、例えば分子内にノルボルネン構造、ビシクロ〔2.2.2〕オクタン構造などを有するアルコール化合物など、あるいは例えば8個以上の炭素原子を有する三環式アルコール化合物、例えば分子内にパーヒドロアントラセン構造、パーヒドロフェナントレン構造などを有するアルコール化合物など、を包含する。なかんずく、本発明の実施において好適な脂環族系アルコールは、その分子中にアダマンタン構造を有するアルコール、特に好ましくは、1−アダマンタノール又はその誘導体である。1−アダマンタノール又はその誘導体は、商業的に容易に入手可能であるという面でも有用である。
【0133】
さらにまた、脂環族系アルコールは、少なくとも130℃の沸点を有することが好ましい。このアルコールの沸点が130℃を下回ると、例えば、露光に先がけて実施するプリベーク工程での加熱で化合物そのものが飛散してしまい、所期の効果を得ることができない可能性もでてくるからである。換言すると、レジストプロセスで適用が予定されているプリベーク工程の加熱温度を予め考慮して、それを上回る沸点を有する脂環族系アルコールを選択することが推奨される。
【0134】
以下に一般式で示すものは、本発明の実施において有利に使用することのできる脂環族系アルコールの典型例である。
【0135】
【化53】
Figure 0004648526
【0136】
このような脂環族系アルコールに追加して、本発明者らの研究の結果、本発明の実施に最も好適で最も高い効果をもたし得る脂環族系アルコールは、立体化学的に固定された構造を有する3級アルコールであるということが判明した。これは、基材樹脂のフェノール性水酸基と3級アルコールとが反応することにより生成したエーテル結合が、一度結合した後に再び分解して、以下に示すようにフェノール性水酸基に戻ることが困難であるため、と考察される。
【0137】
【化54】
Figure 0004648526
【0138】
ここで、エーテル結合が再び分解してフェノール性水酸基となるためには、アルキルの部分がピラミッド状態から平面状態に変化することが必要であると考えられている。1級アルコール、2級アルコールや、3級アルコールでも、tert.−ブチル基のような立体化学的にみて固定されていないものは、自由に平面状態をとることができる。このため、分解によるフェノール性水酸基の再生成が競争的に起こり、反応が思うように進まなくなると考えられる。
【0139】
これに対して、本発明で使用する1−アダマンタノールやその誘導体などは、平面状態をとりにくい構造であるが故に、引き抜きによるフェノール性水酸基の再生成のようなことは非常に起こりずらいと考えられる(下式参照)。
【0140】
【化55】
Figure 0004648526
【0141】
本発明では、上記のような置換基のことを、特に「立体化学的に固定された」置換基あるいは単に「硬い(rigid)置換基」という名称で呼んでいる。
本発明で有利に使用することのできる1−アダマンタノールのいくつかの例は、上記した通りである。これに追加して、同じく有利に使用することのできる1−アダマンタノールの誘導体の例は、以下に列挙するものに限定されるわけではないけれども、次のような化合物を包含する。
【0142】
【化56】
Figure 0004648526
【0143】
また、本発明で有利に使用することのできるその他の脂環族系アルコールには、次のようなものがある。
【0144】
【化57】
Figure 0004648526
【0145】
上記した脂環族系アルコールのいずれもが、平面構造をとり難い、換言すると、立体学的に固定された3級アルコールである。
本発明のレジスト組成物において、上記したような脂環族系アルコールは、所望とする効果などに応じていろいろな量で使用することができる。脂環族系アルコールの使用量は、通常、基材樹脂として使用するアルカリ可溶性重合体の全量を基準にして2〜60重量%の範囲であることが好ましく、さらに好ましくは、15〜40重量%の範囲である。脂環族系アルコールの使用量が2重量%を下回ると、たとえ反応が生じたとしても、極性変化が小さく、ネガレジストとして必要なコントラストを得ることができない。反対に、脂環族系アルコールの使用量が60重量%を上回ると、置換基の反応完了に多くの露光量が必要となるだけであり、不経済である。加えて、それほどに多量の脂環族系アルコールを添加すると、レジスト全体の熱特性が劣化したり、レジスト塗布中に析出などのトラブルが発生する場合もあり、好ましくない。
【0146】
本発明のレジスト組成物では、第1の反応成分として基材樹脂、すなわち、アルカリ可溶性の皮膜形成性重合体が用いられる。ここで、「重合体」とは、先にも説明したように広義で用いられており、1種類のモノマーのみから形成された単独重合体(ホモポリマー)はもちろんのこと、2成分共重合体、3成分共重合体などの共重合体も包含する。必要に応じて、脂環族系アルコールと反応しないような重合体も追加の基材樹脂として使用してもよい。
【0147】
本発明の実施に使用することのできる皮膜形成性重合体は、基本的に、現像液として使用される塩基性水溶液に対して適切なアルカリ可溶性を保持でき、また、脂環族系アルコールとの脱水結合反応に関与し得る限りにおいてどのような構造を有していてもよい。特にノボラックレジスト並みのドライエッチング耐性を得ることを考慮に入れた場合、有用なアルカリ可溶性重合体は、以下に列挙するものに限定されるわけではないけれども、(メタ)アクリレート系重合体、フェノール系重合体(ビニルフェノール系重合体、ビニル安息酸系重合体なども含む)、N−置換マレイミド系重合体、スチレン系重合体、ビシクロ〔2.2.1〕ヘプト−5−エン−2−カルボン酸系重合体などを包含する。これらの重合体は、単独で使用してもよく、さもなければ、2種類もしくはそれ以上の重合体を組み合わせて使用してもよい。本発明の実施には、入手の容易性などから、(メタ)アクリレート系重合体やフェノール系重合体を使用することが推奨される。
【0148】
また、このようなアルカリ可溶性重合体は、アルカリ可溶性を保持するため、その構造中にアルカリ可溶性基を有することが必要である。ここで導入し得るアルカリ可溶性基は、化学増幅型レジストの分野で基材樹脂としての重合体に一般的に導入されているいろいろな基を包含することができるというものの、通常、フェノール性水酸基、カルボン酸基、スルホン酸基、アミド基、イミド基、酸無水物基、チオール基、ラクトン酸エステル基、アザラクトン基、ヒドロキシアミド基、オキサゾン基、ピロニドン基、ヒドロキシオキシム基などが好ましく、さらに好ましくは、フェノール性水酸基、カルボン酸基、スルホン酸、アミド基、ヒドロキシアミド基、そしてイミド基である。
【0149】
さらに、このアルカリ可溶性の皮膜形成性重合体において、アルカリ可溶性基に由来するアルカリ溶解速度(ADR)は、重合体自体が適切なアルカリ溶解性を示す限りにおいて特に限定されるものではないが、2.38%水酸化テトラメチルアンモニウムヒドロキシド水溶液で測定して、100〜10000Å/ secの範囲が、本発明で意図しているネガレジストとして実現可能と考えられる適切な範囲である。なお、例えば、2成分もしくはそれ以上の多成分共重合体でアルカリ可溶性基としてカルボン酸を含有する共重合体の場合、そのカルボン酸を有するモノマー単位の割合は、通常、10〜90モル%の範囲であるのが好ましく、より好ましくは、30〜70モル%の範囲である。このモノマー単位の含有率が10モル%を下回ると、アルカリ溶解性が不十分となるため、満足のいくパターニングを行うことが不可能となる。反対に、このモノマー単位の含有率が90モル%を上回ると、アルカリ溶解性が強くなりすぎるため、塩基性水溶液への溶解速度が速すぎてしまい、極性変化に依存してパターニングを行うことが不可能となる可能性が出てくる。
【0150】
また、アルカリ可溶性重合体の1つのモノマー単位がアルカリ可溶性基としてフェノール性水酸基を含有するような場合、そのモノマー単位の含有率は、好ましくは、30〜99モル%の範囲であり、さらに好ましくは、50〜95モル%の範囲である。このモノマー単位の含有率が30モル%を下回ると、アルカリ溶解性が不十分となるため、満足のいくパターニングを行うことが不可能となる。同様に、このモノマー単位の含有率が99モル%を上回っても、満足のいくパターニングを行うことが不可能となる。
【0151】
また、アルカリ可溶性重合体が3成分共重合体の形態をとるような場合には、好ましくは、その第1のモノマー単位にカルボン酸やフェノールに代表される比較的に強いアルカリ可溶性基を導入し、かつその第2のモノマー単位に例えばラクトン構造、酸無水物、例えば、コハク酸無水物、グルタル酸無水物、イミド環構造などを有する弱いアルカリ可溶性基を導入することができる。このような場合には、それぞれのモノマー単位に含まれる強いアルカリ可溶性基と弱いアルカリ可溶性基の含有量をコントロールすることによって、基材樹脂のアルカリ溶解速度を好ましい値に調整することが容易になる。また、第3のモノマー単位には、好ましくは、エッチング耐性を有する官能基を有するものを用いることができる。このように、それぞれのモノマー単位に導入される置換基を適切に選択し、それぞれの官能基の機能の使い分けを効果的に実施することにより、より高性能なレジストを具現することができる。
【0152】
上記したようなアルカリ可溶性重合体のなかで、(メタ)アクリレート系重合体、すなわち、アクリレート系又はメタクリレート系重合体(ポリアクリレート、ポリメタクリレート、アクリルと他のモノマーとの共重合体など)は、露光光源として深紫外線、特に220nm以下の波長をもつ光源を使用する場合に、そのような深紫外領域の波長の光の吸収が小さい点において重要である。換言すると、深紫外線を露光光源とする場合には、一般的に、深紫外領域の光を大きく吸収する芳香族環や、共役二重結合等のモル吸光係数の大きい発色団を含まないような構造を有する共重合体を使用することが望ましい。
【0153】
特にArFエキシマレーザのような極短波長領域の露光波長を光源として使用する場合には、ドライエッチング耐性とともに当該波長(193nm)における透明性が必要になるため、ドライエッチング耐性の高いアダマンチル基、ビシクロ〔2.2.2〕オクタン基、ノルボルニル基等に代表されるような多環性脂環式炭化水素構造を有するエステル基を有する(メタ)アクリレート系重合体を使用することが推奨される。
【0154】
また、第3の反応成分としての脂環族系アルコールの併用に関して説明すると、(メタ)アクリレート系重合体は、深紫外領域で高い透明性を有することが良く知られており、この重合体の構造と、それに併用する脂環族系アルコールの構造において、露光波長付近でモル吸光係数の大きな発色団を含まない構造を適宜選択すれば、適量の光酸発生剤(第2の反応成分)と組み合わせて、深紫外線を用いた露光にも有利に対応できる高感度なレジスト組成物を提供することができる。
【0155】
フェノール系重合体としては、例えば、ポリビニルフェノール、フェノール−ノボラック共重合体、クレゾール−ノボラック共重合体などを特に有利に使用することができる。また、フェノール性水酸基を有するモノマーと他のモノマーとの共重合体も使用可能である。さらに、溶解性の調整のため、フェノール性水酸基の一部をエーテル化した樹脂も用いることができる。
【0156】
また、フェノール系重合体以外でも、基材樹脂として使用する重合体がカルボキシル基を有していれば、添加する脂環族系アルコールのアルコール性水酸基との間にエステル化反応を生じることができるので、所期の極性変化を達成することができる(次式を参照されたい)。
−COOH + HO−R → −COO−R
上記したようなアルカリ可溶性重合体の分子量(重量平均分子量、Mw)は、その重合体の構造に応じて広い範囲で変更することができるけれども、通常、好ましくは2,000〜1,000,000の範囲であり、さらに好ましくは3,000〜50,000の範囲である。
【0157】
本発明において基材樹脂として使用するアルカリ可溶性重合体は、高分子化学の分野において一般的に用いられている重合法を使用して調製することができる。例えば、(メタ)アクリレート系重合体の場合、その調製に必要とされる所定のモノマーをフリーラジカル開始剤の存在下で加熱することによって、フリーラジカル重合を経て有利に調製することができる。フリーラジカル開始剤としては、例えば、2,2′−アゾビスイソブチロニトリル(AIBN)、ジメチル−2,2−アゾイソビスブチラート(MAIB)などを挙げることができる。また、(メタ)アクリレート系重合体以外の皮膜形成性重合体も、同様に常用の重合法に従って有利に調製することができる。
【0158】
また、本発明のレジスト組成物において第3の反応成分として用いられる光酸発生剤(PAG)は、レジストの化学において一般的に知られかつ用いられている光酸発生剤である。本発明の実施に使用するのに適当な光酸発生剤の詳細については、特に限定されるものではないけれども、具体例を挙げた先の説明を参照されたい。
【0159】
本発明のレジスト組成物では、前記した第1の発明のレジスト組成物と同様に、そのレジスト組成物が露光波長において特定の透過率を有すること、すなわち、レジスト組成物を石英基板に施してその基板上に膜厚1μm のレジスト皮膜を形成した時、深紫外領域の露光光源の波長(180〜300nm)における吸光度が1.75μm-1もしくはそれ以下であることが好ましいので、そのような透過率が得られるように、アルカリ可溶性重合体及び光酸発生剤の構造ならびに光酸発生剤の使用量を考慮することが望ましい。当然のことながら、露光光源として電子線を使用する場合には、透明性の問題を回避することができるので、使用する光酸発生剤の使用量に特に配慮する必要はない。
【0160】
本発明のレジスト組成物は、通常、前記した3種類の反応成分、すなわち、アルカリ可溶性重合体、光酸発生剤及び脂環族系アルコールならびにその他の任意の添加剤を適当な有機溶媒に溶解して、レジスト溶液の形で有利に使用することができる。レジスト溶液の調製に有用な有機溶媒の詳細は、前記した通りである。
【0161】
本発明は、そのもう1つの面において、上記したような本発明のレジスト組成物を使用して、被処理基板上にレジストパターン、特にネガ型のレジストパターンを形成する方法も提供する。本発明によるネガ型レジストパターンの形成方法は、先にも説明したように、下記の工程:
本発明のレジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、そして
露光後のレジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴としている。なお、さらに説明するまでもなく、本発明方法で使用されるレジスト組成物は、先にいろいろな面から説明したように、各種のネガ型レジスト組成物を包含する。
【0162】
本発明によるレジストパターンの形成方法では、被処理基板上に形成されたレジスト膜は、それを結像用放射線に選択的に露光する前とその後、加熱処理(いわゆるベーキング)に供することが好ましい。すなわち、本発明方法では、レジスト膜をその露光前にプリベーク処理するとともに、露光の後であって現像を行う前、先に露光後ベーク(PEB)として説明したところの加熱処理を行うことができる。なお、これらの加熱処理は、常法にしたがって有利に実施することができる。
【0163】
本発明のネガ型レジストパターンの形成方法は、通常、次のようにして有利に実施することができる。
先ず、被処理基板上に本発明のレジスト組成物を塗布してレジスト膜を形成する。被処理基板は、半導体装置やその他の装置の製造において通常用いられている基板であることができ、そのいくつかの例として、シリコン基板、ガラス基板、非磁性セラミックス基板、化合物半導体基板、アルミナ等の絶縁性結晶基板などを挙げることができる。また、これらの基板の上には、必要に応じて、追加の層、例えばシリコン酸化物層、配線用金属層、層間絶縁膜、磁性膜などが存在していてもよく、また、各種の配線、回路等が作り込まれていてもよい。さらに、これらの基板は、それに対するレジスト膜の密着性を高めるため、常法に従って疎水化処理されていてもよい。適当な疎水化処理剤としては、例えば、1,1,1,3,3,3−ヘキサメチルジシラザン(HMDS)などを挙げることができる。
【0164】
レジスト組成物は、上記したように、それをレジスト溶液として被処理基板上に塗布するのが一般的である。レジスト溶液の塗布は、スピンコート、ロールコート、ディップコートなどの常用の技法に従って行うことができるが、特にスピンコートが有用である。レジスト膜の膜厚は、特に限定されるものではないが、通常、約0.1〜200μmの範囲であるのが好ましく、また、例えばKrF、ArFなどのエキシマレーザを露光光源として使用するような場合には、約0.1〜1.5μmの範囲であるのが推奨される。なお、形成されるレジスト膜の膜厚は、そのレジスト膜の使途などのファクタに応じて広く変更することができるということは言うまでもない。
【0165】
基板上に塗布したレジスト膜は、それを結像用放射線に選択的に露光する前に、約60〜180℃の温度で約30〜120秒間にわたってプリベークすることが好ましい。このプリベークは、レジストプロセスで常用の加熱手段を用いて実施することができる。適当な加熱手段として、例えば、ホットプレート、赤外線加熱オーブンなどを挙げることができる。
【0166】
次いで、プリベーク後のレジスト膜を常用の露光装置で結像用の放射線に選択的に露光する。適当な露光装置は、市販の紫外線(遠紫外線,深紫外線)露光装置、X線露光装置、電子ビーム露光装置、エキシマステッパ、その他である。露光条件は、その都度、適当な条件を選択することができる。特に、本発明では、先にも述べたように、エキシマレーザ(波長248nmのKrFレーザ及び波長193nmのArFレーザ等)を露光光源として有利に使用することができる。付言すると、本願明細書では、先にも説明したように、「放射線」なる語を用いた場合、これらのいろいろな光源からの光、すなわち、紫外線、遠紫外線、深紫外線、電子ビーム(EB)、X線、レーザ光等を意味する。この選択的露光の結果として、レジスト膜の露光領域に含まれる皮膜形成性重合体が上記したメカニズムに従って放射線を吸収し、分解されて酸を発生する。
【0167】
次いで、露光後のレジスト膜を露光後ベーク(PEB)することによって、酸を触媒としたアルカリ可溶性基の保護反応を生じさせる。この露光後ベークの条件は、所望とする保護反応が引き起こされ、十分に進行するならば、特に限定されるものではなく、例えば、先のプリベークと同様な条件の下で行うことができる。例えば、露光後ベークのベーク温度は約60〜180℃、好ましくは約100〜150℃であり、また、ベーク時間は約30〜120秒間である。このような露光後ベークの条件は、所望のパターンサイズ、形状などによって調節することが好ましい。
【0168】
露光後ベークを完了した後、露光後のレジスト膜を現像剤としての塩基性水溶液で現像する。この現像のため、スピンデベロッパ、ディップデベロッパ、スプレーデベロッパ等の常用の現像装置を使用することができる。ここで、現像液として有利に使用することのできる塩基性水溶液は、水酸化カリウム等に代表される周期律表のI族あるいはII族に属する金属の水酸化物の水溶液や、水酸化テトラアルキルアンモニウム等の金属イオンを含有しない有機塩基の水溶液である。塩基性水溶液は、より好ましくは、テトラメチルアンモニウムハイドロオキシド(TMAH)、テトラエチルアンモニウムハイドロオキシド(TEAH)等の水溶液である。また、かかる塩基性水溶液は、その現像効果の向上のため、界面活性剤のような添加物を含有していてもよい。現像の結果として、レジスト膜の未露光領域が溶解除去せしめられて、露光領域のみがレジストパターンとして基板上に残留する。すなわち、本発明方法に従うと、ネガ型の微細なレジストパターンを得ることができる。特に重要なことには、本発明に従し得ることのできるレジストパターンは、0.15μmもしくはそれ以下の狭い線幅を有する配線パターンの形成に有利に使用することができる。
【0169】
本発明は、また、本発明のネガ型レジスト組成物を使用した電子デバイスの製造方法と、それによって製造される電子デバイスにある。ここで、電子デバイスとは、半導体装置や、磁気記録ヘッドなどを含めた広範な電子機器を意味し、特定の構造の機器に限定されるものではない。また、ここで使用するネガ型レジスト組成物は、さらに説明するまでもなく、先にいろいろな面から説明した各種のネガ型レジスト組成物(本発明による)を包含する。
【0170】
本発明の電子デバイスの製造方法は、本発明のネガ型レジスト組成物に由来するレジストパターンをマスキング手段として使用して、その下地の基板や薄膜を選択的に除去して予め定められた機能性要素層を形成する工程を含むことを特徴とする。被処理基板の選択的な除去には、好ましくは、エッチング法が用いられる。
【0171】
ここで、エッチングによって選択的に除去される下地の基板や薄膜は、先にレジストパターンの形成のところでも触れたように、総称して「被処理基板」とも呼ぶ。すなわち、被処理基板とは、半導体装置や、磁気記録ヘッド等の電子デバイスの製造においてエッチングに供されるすべての基板又は薄膜を意味する。適当な被処理基板の例は、以下に列挙するものに限定されるわけではないけれども、シリコン基板GaAs基板、等の半導体基板や、化合物半導体、アルミナ(Al2 3 )等の絶縁性結晶基板のほか、次のような各種の薄膜がある。
【0172】
PSG、TEOS、SiON、TiN、アモルファスカーボン、Al−Si、Al−Si−Cu、WSi等の金属シリサイド、ポリシリコン(Poly−Si)、アモルファスシリコン、SiO2 、GaAs、TiW、その他。
さらには、Cu、Co、FeMn、NiFe、LaSrMnO等を含む(巨大)磁気抵抗効果膜も被処理基板の範疇に含まれる。
【0173】
また、本発明の電子デバイスの製造方法によると、被処理基板がパターン化された層の状態で残留するが、このようなパターン化された層は、それが含まれる電子デバイスにおいて所定の作用効果を奏するので、本願明細書では特に、「機能性要素層」と呼ぶことにする。
本発明による電子デバイスの製造方法は、好ましくは、下記の工程:
本発明のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより選択的に除去して予め定められた機能性要素層を形成すること、
に従って実施することができる。
【0174】
レジスト膜の露光工程で使用される結像用放射線は、すでに説明したように、半導体装置等の製造においてレジストプロセスで使用されるすべての光源を意味し、具体的には、g線、i線等の水銀ランプ、KrF、ArFをはじめとするエキシマレーザ、電子線、X線などがある。
また、本発明によると、本発明のネガ型レジスト組成物に由来するレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去することによって形成された、パターン化された層(機能性要素層)が任意の位置に備わっていることを特徴とする電子デバイスも提供される。
【0175】
引き続いて、本発明の電子デバイスとその製造方法を、特に半導体装置及び磁気記録ヘッドを例にとって説明する。
本発明による半導体装置の製造方法は、好ましくは、下記の工程:
本発明のレジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより除去すること、
に従って実施することができる。
【0176】
この半導体装置の製造方法において、レジスト膜の形成工程、放射線による選択的露光工程、そしてレジストパターンの形成工程は、それぞれ、先に説明した本発明のレジストパターンの形成方法に従って有利に実施することができる。
引き続くレジストパターンのエッチング工程は、常法の技法に従ってウェットエッチングあるいはドライエッチングで実施することができ、しかし、近年における微細化のさらなる進歩や無公害化などの観点から、ドライエッチングで実施するのが有利である。ドライエッチングは、周知の通り、気相中で被処理基板をエッチングするものであり、また、適当なドライエッチングは、例えば、プラズマエッチング、例えば反応性イオンエッチング(RIE)、反応性イオンビームエッチング(RIBE)、イオンビームエッチングなどである。これらのドライエッチングは、商業的に入手可能なエッチング装置を使用して、所定の条件の下で実施することができる。
【0177】
本発明方法によって形成されるレジストパターンは、通常、上記したように下地の被処理基板を選択的にエッチング除去する際のマスキング手段として有利に利用することができるけれども、そのレジストパターンが、特性等に関する所定の要件を満たすものであるならば、半導体装置の1つの機能性要素層として、例えば絶縁膜そのものなどとして利用することもできる。
【0178】
ここで、「半導体装置」とは、それを本願明細書において用いた場合、半導体装置一般を指していて特に限定されるものではない。典型的な半導体装置は、この技術分野において一般的に認識されているように、IC、LSI、VLSI等の半導体集積回路一般あるいはその他の関連のデバイスである。
さらに具体的に説明すると、半導体装置の典型例であるMOSトランジスタは、本発明に従うと、例えば、次のようにして製造することができる。
【0179】
先ず、シリコン基板の上に、トランジスタの構成に必要なゲート酸化膜、ポリシリコン膜、そしてWSi膜を順次薄膜で成膜する。これらの薄膜の形成には、熱酸化、化学蒸着法(CVD法)などの常用の薄膜形成法を使用することができる。
次いで、WSi膜の上に本発明のレジスト組成物を塗布して所定の膜厚を有するレジスト膜を形成する。このレジスト膜に、そのパターニングに適した放射線を選択的に露光し、さらに、露光部を溶解除去するため、塩基性水溶液で現像する。さらに詳しくは、これまでの一連の工程は、レジストパターンの形成に関して先に説明したようにして実施することができる。
【0180】
ゲート電極構造を形成するため、上記のようにして形成したレジストパターンをマスクとして、その下地のWSi膜とさらにその下のポリシリコン膜を同時にドライエッチングする。そして、ポリシリコン膜及びWSi膜からなるゲート電極をこのようにして形成した後、イオン注入によりリンを注入してLDD構造のN- 拡散層を形成する。
【0181】
引き続いて、先の工程で使用したレジストパターンをゲート電極から剥離除去した後、CVD法により、基板の表面に酸化膜を全面的に形成し、さらに、形成されたCVD酸化膜を異方性エッチングし、ポリシリコン膜及びWSi膜からなるゲート電極の側壁部にサイドウォールを形成する。さらに続けて、WSi膜とサイドウォールをマスクとしてイオン注入を行ってN+ 拡散層を形成し、そしてゲート電極を熱酸化膜で被覆する。
【0182】
最後に、基板の最上層に層間絶縁膜をCVD法により全面的に形成し、本発明のレジスト組成物を再度塗布して選択的にエッチングし、配線形成部にホールパターン(レジストパターン)を形成する。さらに、このレジストパターンをマスクとして下地の層間絶縁膜をエッチングし、コンタクトホールを開孔する。次いで、形成されたコンタクトホールにアルミニウム(Al)配線を埋め込む。このようにして、Nチャネルの微細なMOSトランジスタが完成する。
【0183】
本発明は、上記したような半導体装置に追加して、磁気記録ヘッドも電子デバイスの1形態として包含する。すなわち、本発明のネガ型レジスト組成物を使用してレジストプロセスを実施することによって、高性能で薄膜の磁気記録ヘッドを提供することができる。磁気記録ヘッドは、磁気ディスク装置、磁気テープ装置等の磁気記録再生装置において有利に使用することができる。
【0184】
本発明による磁気記録ヘッドの製造方法は、好ましくは、下記の工程:
本発明のレジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより除去して機能性要素層を形成すること、
に従って実施することができる。
【0185】
磁気記録ヘッドについて説明すると、近年の磁気ディスク装置等の磁気記録再生装置の小型化、高記録密度化の進行に伴い、そのような装置の再生用ヘッドとして、磁気記録媒体からの信号磁界の変化を電気抵抗率の変化に変換可能な磁気抵抗効果を利用したヘッド(いわゆるMRヘッド)が広く用いられている。また、MRヘッドのなかでも、磁気記録媒体の移動速度に依存せず、高い出力が得られるGMRヘッドが注目されている。特に、スピンバルブ磁気抵抗効果を利用したスピンバルブヘッドは、比較的に容易に作製することができ、しかも低磁場での電気抵抗の変化率が他のMRヘッドに比較して大きいので、すでに実用化されている。本発明のネガ型レジスト組成物は、これらの各種の薄膜磁気ヘッドの製造において、ヘッドを構成する機能性要素を薄膜で微細にパターニングするのに有利に使用することができる。
【0186】
また、スピンバルブヘッドは、通常、周知のように、磁気抵抗効果膜(スピンバルブ膜)と、スピンバルブ膜に電気的に接合されたものであって、信号検知領域を画定しかつこの信号検知領域に信号検知電流を流す一対の電極と、スピンバルブ膜に対して縦バイアス磁界を印加する一対の縦バイアス磁界印加層とを備えている。縦バイアス磁界印加層は、通常、CoPt、CoPtCr等の硬磁性薄膜から形成されている。このように、硬磁性薄膜からなる縦バイアス磁界印加層をスピンバルブヘッドの感磁部(信号検知領域)以外の部分に、それがスピンバルブ膜の両側あるいは上側に位置するように配置することによって、スピンバルブ膜のフリー磁性層の磁壁移動に起因するバルクハウゼンノイズを抑制することができ、よって、ノイズのない安定した再生波形を得ることができる。
【0187】
さらに、スピンバルブ膜は、通常、下地層の上に、順次、フリー磁性層、非磁性中間層、ピンド磁性層、そして規則系反強磁性層を積層した構成で有している。このような層構成を採用することによって、非磁性中間層を介して積層された2つの磁性層(フリー磁性層及びピンド磁性層)の磁化方向のなす角度を調節することによって、電気抵抗を所望なように変化させることができる。
【0188】
さらに具体的に説明すると、スピンバルブ膜は、通常、アルチック基板、すなわち、TiC基体の表面にアルミナ膜が形成されてなる基板の上に形成される。最下層の下地層には、Ta膜などが使用される。Ta膜は、フリー磁性層に良好な結晶性を付与できるという効果があるからである。Ta膜やその他の下地層は、通常、スパッタリング法、蒸着法、化学的気相堆積法(CVD法)などの常用の成膜法を使用して形成することができる。
【0189】
フリー磁性層は、任意の軟磁性の材料から形成することができる。例えば、フリー磁性層の形成に一般的に使用されているCoFe合金を使用してもよい。また、これに限定されるわけではないけれども、好ましくは面心立方格子構造をそなえた(Coy Fe100-y 100-x x 合金(式中、Zは、Co及びFe以外の任意の元素を表し、好ましくは、硼素B又は炭素Cであり、x及びyはそれぞれ原子分率at%を表す)からフリー磁性層を形成するのが好適である。高出力、高磁界感度、耐熱性のヘッドを提供できるからである。フリー磁性層は、単層で形成するよりも、2層構造で形成するほうが、得られる特性などの面から好ましい。フリー磁性層も、通常、スパッタリング法などの常用の成膜法を使用して形成することができる。
【0190】
スピンバルブ膜では、フリー磁性層と後述のピンド磁性層とで非磁性の中間層をサンドイッチした構成を採用するのが好ましい。非磁性の中間層としては、通常、非磁性の金属材料、例えば、銅(Cu)などが用いられる。Cu中間層も、スパッタリング法などの常用の成膜法を使用して形成することができる。
ピンド磁性層は、フリー磁性層の場合と同様に、任意の軟磁性の材料から形成することができる。すなわち、ピンド磁性層の形成にCoFe合金を使用してもよく、しかし、好ましくは面心立方格子構造をそなえた(Coy Fe100-y 100-x x 合金(式中、Zは、Co及びFe以外の任意の元素を表し、好ましくは、硼素B又は炭素Cであり、x及びyはそれぞれ原子分率at%を表す)からピンド磁性層を形成するのが好適である。高出力、高磁界感度、耐熱性のヘッドを提供できるからである。ピンド磁性層も、通常、スパッタリング法などの常用の成膜法を使用して形成することができる。
【0191】
ピンド磁性層の上には、規則系反強磁性層が形成される。この反強磁性層は、通常、FeMn膜、NiMn膜、PtMn膜、PdMn膜、PdPtMn膜、CrMn膜、IrMn膜などから形成することができる。この反強磁性層も、上述の層と同様に、通常、スパッタリング法などの常用の成膜法を使用して形成することができる。
【0192】
また、スピンバルブ膜は、通常、その最上層にキャップ層を有する。キャップ層は、例えば、Ta膜から形成することができる。キャップ層も、上記した各層と同様に、常用の成膜法を使用して形成することができる。
スピンバルブヘッドは、いろいろな常用の技法に従って製造することができる。本発明では特に、そのヘッドの製造の途中の任意の段階で、本発明のネガ型レジスト組成物を使用したレジストプロセスを組み込み、上記したような機能性要素層を所望のパターンで正確にかつ微細に形成することができる。以下に、スピンバルブヘッドの製造方法の一例を示す。
【0193】
まず、アルチック基板の上にTaをスパッタリング法で堆積してTa下地層を形成する。次いで、Ta下地層上の、信号検知領域の感磁部以外の部分に、Au等からなる電極を介して、下記の層をリフトオフ法、イオンミリング法等の技法を使用して順次形成する。
下地層(Ta/NiFe系合金の膜、NiFe系合金:NiFe、NiFeCr、NiFeNb、NiFeMo等)、
縦バイアス磁界印加層(PtMn、PdPtMn、NiMn、CrMn、CrPtMn等の反強磁性材料の膜)、
下地層(NiFe系合金の膜)。
【0194】
次いで、スパッタエッチング法、イオンミリング法等の技法を使用して、表面に存在する汚染物質(いわゆるコンタミ層)が完全に除去される程度にTa系下地層及びNiFe系下地層の最表面をクリーニングする。
クリーニング工程の完了後、フリー磁性層、非磁性中間層、ピンド磁性層、そして規則系反強磁性層を順次成膜してスピンバルブ膜を完成する。それぞれの層の成膜は、スパッタリング法、蒸着法、CVD法などで行う。
また、所望とするパターンでスピンバルブ膜を得るため、縦バイアス磁界印加層の上の全体にスピンバルブ膜を形成した後、本発明のネガ型レジスト組成物を使用して予め定められたパターンでレジスト膜を形成し、イオンミリング法等により所望とする以外の領域のスピンバルブ膜を除去する。
【0195】
スピンバルブ膜の形成後、そのスピンバルブ膜の上の、信号検知領域の感磁部以外の部分に電極を一対となるように形成する。電極は、好ましくは、Au膜をリフトオフすることによって形成することができる。また、電極材料はAuに限られるものではなく、その他の常用の電極材料を必要に応じて使用してもよい。
【0196】
【実施例】
次いで、本発明をレジスト組成物の調製、レジストパターンの形成、そして半導体装置、薄膜磁気記録ヘッド等の電子デバイスの製造に関する実施例を参照して説明する。なお、下記の実施例によって本発明の範囲が限定されるものではないことを理解されたい。ただし、例1〜例7、例16〜例39は参考例に読み替えるものとする。
【0197】
次いで、このレジストのドライエッチング耐性を評価するため、上記と同様にして膜厚1μmでレジストを塗布したシリコン基板を平行平板型RIE装置に収容し、Pμ=200W、圧力=0.02Torr、CF4 ガス=100sccmの条件下で5分間にわたってCF4 スパッタエッチングを行った。下記の表に示すように、エッチングレートは689Å/分であることが確認された。
【0198】
比較のため、市販のノボラックレジストである長瀬ポジティブレジストNPR−820(長瀬産業社製)及びポリメチルメタクリレート(PMMA)について上記と同様にしてドライエッチング耐性の評価を行ったところ、次のような結果が得られた。
Figure 0004648526
上記した結果から理解されるように、本発明によるレジスト組成物のドライエッチング耐性はノボラックレジストのそれに近いものであり、PMMAよりは格段に優れている。
例2
前記例1に記載の手法を繰り返したが、本例では、露光装置として、KrFエキシマレーザステッパに代えてArFエキシマレーザ露光装置(NA=0.55)を使用した。本例の場合、6.2mJ/cm2 の露光量で、0.20μmL/Sパターンが解像できた。得られたネガ型レジストパターンのその他の特性も、前記例1の特性に比較可能な満足し得るものであった。
例3
前記例1に記載の手法を繰り返したが、本例では、露光装置として、KrFエキシマレーザステッパに代えて電子ビーム露光装置(出力50kV)を使用した。本例の場合、10μC/cm2 の露光量で、0.15μmL/Sパターンが解像できた。得られたネガ型レジストパターンのその他の特性も、前記例1の特性に比較可能な満足し得るものであった。
例4
3−ヒドロキシ−アダマンチルメタクリレート/γ−ブチロラクトン−2−イルメタクリレート/メタクリル酸共重合体(組成比6:1:3)をPGMEAに溶解して15重量%溶液とした。なお、この共重合体溶液には、共重合体に対して20重量%の1−アダマンタノール(アルコール構造含有化合物として)及び10重量%のγ−ブチロラクトン(補助溶媒として)も含ませた。得られた溶液に、共重合体に対して2重量%の量のジフェニルヨードニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μm のテフロンTMメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上に2000rpm でスピンコートし、110℃で60秒間プリベークした。
膜厚0.5μmのレジスト皮膜が得られた。このレジスト皮膜をArFエキシマレーザ露光装置(NA=0.55)で露光した後、130℃で60秒間にわたって露光後ベーク(PEB)し、そして2.38%のTMAH水溶液で現像し、脱イオン水で60秒間リンスした。得られたネガ型レジストパターンの解像度を測定したところ、3.4mJ/cm2 の露光量で、0.18μmL/Sパターンが解像できたことが確認された。また、このレジストパターンには、少しの膨潤も認められなかった。
【0199】
次いで、このレジストのドライエッチング耐性を前記例1に記載の手法に従って評価したところ、下記の表に示すように、エッチングレートは678Å/分であることが確認された。なお、下記の表には、長瀬ポジティブレジストNPR−820及びPMMAのエッチングレートも併記する。
Figure 0004648526
上記した結果から理解されるように、本発明によるレジスト組成物のドライエッチング耐性はノボラックレジストのそれに近いものであり、PMMAよりは格段に優れている。
例5
3−ヒドロキシ−アダマンチルメタクリレート/γ−ブチロラクトン−2−イルメタクリレート/メタクリル酸共重合体(組成比6:1:3)をPGMEAに溶解して15重量%溶液とした。なお、この共重合体溶液には、共重合体に対して20重量%の3−ヒドロキシビシクロ〔2.2.2〕オクタン(アルコール構造含有化合物として)及び10重量%のγ−ブチロラクトン(補助溶媒として)も含ませた。得られた溶液に、共重合体に対して2重量%の量のジフェニルヨードニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μm のテフロンTMメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上に2000rpm でスピンコートし、110℃で60秒間プリベークした。膜厚0.5μmのレジスト皮膜が得られた。このレジスト皮膜をArFエキシマレーザ露光装置(NA=0.55)で露光した後、120℃で60秒間にわたって露光後ベーク(PEB)し、そして2.38%のTMAH水溶液で現像し、脱イオン水で60秒間リンスした。得られたネガ型レジストパターンの解像度を測定したところ、4.0mJ/cm2 の露光量で、0.18μmL/Sパターンが解像できたことが確認された。また、このレジストパターンには、少しの膨潤も認められなかった。
例6
前記例5に記載の手法を繰り返したが、本例では、露光装置として、ArFエキシマ露光装置に代えて電子ビーム露光装置(出力50kV)を使用した。本例の場合、8μC/cm2 の露光量で、0.15μmL/Sパターンが解像できた。
また、このレジストパターンでも、少しの膨潤も認められなかった。
例7
3−ヒドロキシ−アダマンチルメタクリレート/γ−ブチロラクトン−2−イルメタクリレート/メタクリル酸共重合体(組成比6:1:3)をPGMEAに溶解して15重量%溶液とした。なお、この共重合体溶液には、共重合体に対して15重量%の2,6−ジメチル−2−ヘプタノール(アルコール構造含有化合物として)及び10重量%のγ−ブチロラクトン(補助溶媒として)も含ませた。得られた溶液に、共重合体に対して2重量%の量のジフェニルヨードニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μm のテフロンTMメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上に2000rpm でスピンコートし、110℃で60秒間プリベークした。膜厚0.5μmのレジスト皮膜が得られた。このレジスト皮膜をArFエキシマレーザ露光装置(NA=0.55)で露光した後、110℃で60秒間にわたって露光後ベーク(PEB)し、そして2.38%のTMAH水溶液で現像し、脱イオン水で60秒間リンスした。得られたネガ型レジストパターンの解像度を測定したところ、5.2mJ/cm2 の露光量で、0.20μmL/Sパターンが解像できたことが確認された。また、このレジストパターンには、少しの膨潤も認められなかった。
例8
3−ヒドロキシ−アダマンチルメタクリレートと4−アセトキシスチレンを仕込み比1:9で仕込んで重合させた後、これをさらにアルカリ溶液で処理し、アセチル基を加溶媒分解した。得られた3−ヒドロキシ−アダマンチルメタクリレート/ビニルフェノール共重合体(組成比1:9)をPGMEAに溶解して15重量%溶液とした。得られた溶液に、共重合体に対して5重量%の量のトリフェニルスルホニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μm のテフロンTMメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上に2000rpm でスピンコートし、110℃で60秒間プリベークした。膜厚0.5μmのレジスト皮膜が得られた。このレジスト皮膜をKrFエキシマレーザステッパ(NA=0.45)で露光した後、120℃で60秒間にわたって露光後ベーク(PEB)し、そして2.38%のTMAH水溶液で現像し、脱イオン水で60秒間リンスした。得られたネガ型レジストパターンの解像度を測定したところ、6.8mJ/cm2 の露光量で、0.25μmL/Sパターンが解像できたことが確認された。また、このレジストパターンには、少しの膨潤も認められなかった。
【0200】
次いで、このレジストのドライエッチング耐性を前記例1に記載の手法に従って評価したところ、下記の表に示すように、エッチングレートは620Å/分であることが確認された。なお、下記の表には、長瀬ポジティブレジストNPR−820及びPMMAのエッチングレートも併記する。
Figure 0004648526
上記した結果から理解されるように、本発明によるレジスト組成物のドライエッチング耐性はノボラックレジストのそれに非常に近いものであり、PMMAよりは格段に優れている。
例9
前記例8に記載の手法を繰り返したが、本例では、露光装置として、KrFエキシマレーザステッパに代えて電子ビーム露光装置(出力50kV)を使用した。本例の場合、8μC/cm2 の露光量で、0.12μmL/Sパターンが解像できた。得られたネガ型レジストパターンのその他の特性も、前記例8の特性に比較可能な満足し得るものであった。
例10
3−ヒドロキシ−アダマンチルメタクリレート/ビニルフェノール共重合体(組成比1:9)をPGMEAに溶解して15重量%溶液とした。なお、この共重合体溶液には、共重合体に対して20重量%の1−アダマンタノール(アルコール構造含有化合物として)も含ませた。得られた溶液に、共重合体に対して5重量%の量のトリフェニルスルホニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μm のテフロンTMメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上に2000rpm でスピンコートし、110℃で60秒間プリベークした。膜厚0.5μmのレジスト皮膜が得られた。このレジスト皮膜をKrFエキシマレーザステッパ(NA=0.45)で露光した後、110℃で60秒間にわたって露光後ベーク(PEB)し、そして2.38%のTMAH水溶液で現像し、脱イオン水で60秒間リンスした。得られたネガ型レジストパターンの解像度を測定したところ、6.4mJ/cm2 の露光量で、0.25μmL/Sパターンが解像できたことが確認された。また、このレジストパターンには、少しの膨潤も認められなかった。
【0201】
次いで、このレジストのドライエッチング耐性を前記例1に記載の手法に従って評価したところ、下記の表に示すように、エッチングレートは599Å/分であることが確認された。なお、下記の表には、長瀬ポジティブレジストNPR−820及びPMMAのエッチングレートも併記する。
Figure 0004648526
上記した結果から理解されるように、本発明によるレジスト組成物のドライエッチング耐性はノボラックレジストのそれに比較可能なものであり、PMMAよりは格段に優れている。
例11
前記例8に記載の手法を繰り返したが、本例では、共重合体溶液を調製するに際して、共重合体に対して20重量%の3−ヒドロキシビシクロ〔2.2.2〕オクタン(アルコール構造含有化合物として)も含ませた。KrFエキシマレーザステッパを使用した露光の後、110℃で60秒間にわたって露光後ベーク(PEB)を行った。得られたネガ型レジストパターンの解像度を測定したところ、7.2mJ/cm2 の露光量で、0.25μmL/Sパターンが解像できたことが確認された。得られたネガ型レジストパターンのその他の特性も、前記例8の特性に比較可能な満足し得るものであった。
例12
前記例10に記載の手法を繰り返したが、本例では、露光装置として、KrFエキシマレーザステッパに代えて電子ビーム露光装置(出力50kV)を使用し、また、120℃で60秒間にわたって露光後ベーク(PEB)を行った。本例の場合、7μC/cm2 の露光量で、0.11μmL/Sパターンが解像できた。得られたネガ型レジストパターンのその他の特性も、前記例10の特性に比較可能な満足し得るものであった。
例13
前記例11に記載の手法を繰り返したが、本例では、露光装置として、KrFエキシマレーザステッパに代えて電子ビーム露光装置(出力50kV)を使用し、また、120℃で60秒間にわたって露光後ベーク(PEB)を行った。本例の場合、8μC/cm2 の露光量で、0.12μmL/Sパターンが解像できた。得られたネガ型レジストパターンのその他の特性も、前記例11の特性に比較可能な満足し得るものであった。
例14
安息香酸ビニル/3−ヒドロキシ−アダマンチルメタクリレート共重合体(組成比3:7)をPGMEAに溶解して15重量%溶液とした。なお、この共重合体溶液には、共重合体に対して20重量%の1−アダマンタノール(アルコール構造含有化合物として)及び10重量%のγ−ブチロラクトン(補助溶媒として)も含ませた。得られた溶液に、共重合体に対して2重量%の量のトリフェニルスルホニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μm のテフロンTMメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上に2000rpm でスピンコートし、110℃で60秒間プリベークした。膜厚0.5μmのレジスト皮膜が得られた。このレジスト皮膜をKrFエキシマレーザステッパ(NA=0.45)で露光した後、130℃で60秒間にわたって露光後ベーク(PEB)し、そして2.38%のTMAH水溶液で現像し、脱イオン水で60秒間リンスした。得られたネガ型レジストパターンの解像度を測定したところ、17.5mJ/cm2 の露光量で、0.28μmL/Sパターンが解像できたことが確認された。また、このレジストパターンには、少しの膨潤も認められなかった。
例15
前記例14に記載の手法を繰り返したが、本例では、露光装置として、KrFエキシマレーザステッパに代えて電子ビーム露光装置(出力50kV)を使用し、また、120℃で60秒間にわたって露光後ベーク(PEB)を行った。本例の場合、10μC/cm2 の露光量で、0.12μmL/Sパターンが解像できた。また、このレジストパターンでも、少しの膨潤も認められなかった。
例16
下記の物質をレジスト成分として用意した。
基材樹脂1
ポリビニルフェノール(重量平均分子量12,000、分散度2.0)
添加剤1(脂環族系アルコールとして)
1−アダマンタノール
【0202】
【化58】
Figure 0004648526
【0203】
PAG1(光酸発生剤として)
トリフェニルスルホニウムトリフルオロメタンスルホネート
【0204】
【化59】
Figure 0004648526
【0205】
基材樹脂1、添加剤1及びPAG1を重量比10:2:1で乳酸エチルに溶解することによってレジスト溶液を調製した。得られたレジスト溶液を0.2μm のテフロンTMメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上に2000rpm でスピンコートし、110℃で2分間プリベークした。膜厚0.8μmのレジスト皮膜が得られた。このレジスト皮膜を下記の3種類の露光装置:
i線露光装置(波長365nm)
KrFエキシマレーザステッパ(NA=0.45、波長248nm)
電子線露光装置(出力50kV)
でパターン露光した。露光パターンは、i線が0.4μmライン・アンド・スペース(L/S)、KrFレーザが0.25μmL/S、そして電子線が0.25L/Sであった。引き続いて、120℃で2分間にわたって露光後ベーク(PEB)した後、2.38%のテトラメチルアンモニウムハイドロオキシド(TMAH)水溶液で30秒間現像し、脱イオン水で60秒間リンスした。得られたネガ型レジストパターンの解像性を評価したところ、次のような結果が得られた。
【0206】
i線: 露光量=22mJ/cm2 、解像性=◎
KrFレーザ: 露光量=16mJ/cm2 、解像性=◎
電子線: 露光量=7μC/cm2 、解像性=◎
なお、解像性の評価に当たっては、次のような基準に従って4段階で評価した。
【0207】
◎: 断面形状が矩形。パターントップの寸法とパターンボトムの寸法の差が露光パターンの寸法の1%未満。
○: 断面形状がほぼ矩形。パターントップの寸法とパターンボトムの寸法の差が露光パターンの寸法の1〜5%以内。
△: 断面形状がややテーパ状。パターントップの寸法とパターンボトムの寸
【0208】
法の差が露光パターンの寸法の5%よりも大きく、10%以内。
×: 断面形状がテーパ状。パターントップの寸法とパターンボトムの寸法の差が露光パターンの寸法の10%よりも大きい。
上記の評価結果は、他のレジスト組成物との比較のため、下記の第1表にも記載する。
【0209】
次いで、このレジストのドライエッチング耐性を評価するため、上記と同様にして膜厚1μmでレジストを塗布したシリコン基板を平行平板型RIE装置に収容し、Pμ=200W、圧力=0.02Torr、CF4 ガス=100sccmの条件下で5分間にわたってCF4 スパッタエッチングを行った。エッチングレートは689Å/分であり、ドライエッチング耐性にも優れていることが確認された。
例17〜例39
前記例16に記載の手法を繰り返したが、本例では、下記の第1表に記載するように、基材樹脂、添加剤(脂環族系アルコール)及びPAG(光酸発生剤)を変更した。本例で使用した成分は、それぞれ、次の通りである。
基材樹脂2
メタクリレート/メチルメタクリレート共重合体(共重合比35:65、
重量平均分子量10,000、分散度2.3)
添加剤2(脂環族系アルコールとして)
【0210】
【化60】
Figure 0004648526
【0211】
添加剤3(脂環族系アルコールとして)
【0212】
【化61】
Figure 0004648526
【0213】
添加剤4(脂環族系アルコールとして)
【0214】
【化62】
Figure 0004648526
【0215】
PAG2(光酸発生剤として)
【0216】
【化63】
Figure 0004648526
【0217】
PAG3(光酸発生剤として)
【0218】
【化64】
Figure 0004648526
【0219】
下記の第1表は、それぞれの例におけるレジストの組成と評価結果をまとめたものである。
比較例1〜比較例4
前記例16に記載の手法を繰り返したが、本例では、比較のため、下記の第1表に記載するように、市販の3種類のネガ型メラミン系レジスト(組成の詳細は不明)及び比較用に調製したピナコール系レジストを使用した。ピナコール系レジストで使用したピナコールは、次式のものである。
【0220】
【化65】
Figure 0004648526
【0221】
下記の第1表は、それぞれの比較例におけるレジストの組成と評価結果をまとめたものである。
【0222】
【表1】
Figure 0004648526
【0223】
上記第1表に記載の結果から、本発明例のレジスト組成物の場合、従来品(比較例のレジスト)に比較して高感度であるうえに、解像性も非常に良好である。
これは、極性変化が大きいことにより、露光部のレジストが容易にネガ化し、溶解速度差が大きくなったためであると考察される。
例40
MOSトランジスタの製造
図1の工程(1A)に示すように、シリコン基板1の表面にゲート酸化膜2を形成し、その上にポリシリコン膜(Poly−Si膜)3をCVD法によって形成した。Poly−Si膜3の形成後、リンなどのn型の不純物を注入し、低抵抗化した。その後、スパッタリング法(CVD法などでもよい)で、WSi膜4を形成した。
【0224】
次いで、工程(1B)に示すようにPoly−Si膜3及びWSi膜4をパターニングするため、先の工程で形成したWSi膜4の上に本発明のネガ型レジスト組成物を全面的に塗布した。レジスト膜5をプリベークした後、KrFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、0.25μm 幅のレジストパターンが得られた。このレジストパターンをマスクとして異方性エッチングで、WSi膜4及びPoly−Si膜3を順次エッチングした。Poly−Si膜3及びWSi膜4からなるゲート電極が得られた。その後、イオン注入によりリンを注入して、LDD構造のN- 拡散層6を形成した。工程(1B)に示すパターンが得られた後、レジスト膜5を剥離液で除去した。
【0225】
ゲート電極の形成に続けて、 工程(1C)に示すように、酸化膜7をCVD法によって全面に形成した。
次いで、図2の工程(1D)に示すように、酸化膜7を異方性エッチングし、WSi膜4及びPoly−Si膜3からなるゲート電極側サイドウォール8を形成した。次に、WSi膜4及びサイドウォール8をマスクとしてイオン注入を行い、N+ 拡散層9を形成した。
【0226】
その後、N+ 拡散層9を活性化するため、窒素雰囲気中で熱処理を行い、さらに酸素雰囲気中で加熱した。工程(1E)に示すように、ゲート電極が熱酸化膜10で覆われた。
引き続いて、工程(1F)に示すように、層間絶縁膜11をCVD法によって形成し、再び本発明のネガ型レジスト組成物を使用して層間絶縁膜11をパターニングした。層間絶縁膜11の上に本発明のレジスト組成物を全面的に塗布した後、レジスト膜(図示せず)をプリベークし、ArFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、0.20μm 幅のホール状レジストパターンが得られた。このレジストパターンをマスクとして異方性エッチングで、層間絶縁膜11にコンタクトホールを開孔した。コンタクトホールにアルミニウム(Al)配線12を形成した。図示のような、Nチャネルの微細MOSトランジスタ20が完成した。
例41
薄膜磁気ヘッドの製造
図3の工程(2A)に示すように、アルチック基板21の上に、FeNからなるシールド膜22、シリコン酸化膜からなるギャップ絶縁膜23を順次積層し、その上に膜厚400nmの磁気抵抗効果膜24をFeNiからスパッタリング法で形成した。磁気抵抗効果膜24の上に汎用のPMGIレジスト(米国Microlithography Chemical Co.製)を塗布して下層レジスト膜25を形成した後、その上にさらに本発明のネガ型レジスト組成物を塗布した。上層レジスト膜26が形成された。
【0227】
上記のようにして上層及び下層の2層構造のレジスト膜を形成した後、上層レジスト膜26をプリベークし、KrFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、0.25μm 幅のレジストパターンが得られた。また、このアルカリ現像と同時に、下地として存在する下層レジスト膜25が等方的に現像され、工程(2B)に示すように、アンダーカット形状が完成した。
【0228】
次に、工程(2C)に示すように、得られたレジストパターンをマスクとしたイオンミリングにより、下地の磁気抵抗効果膜24をテーパー状にエッチングした。
次に、図4の工程(2D)に示すように、被処理面の全面にTiW膜27をスパッタリング法で形成した。TiW膜27の膜厚は、800nmであった。
【0229】
TiW膜27の形成が完了した後、リフトオフ法を行い、下層レジスト膜25と、その上の上層レジスト膜26及びTiW膜27を除去した。工程(2E)に示すように、TiW膜27が露出した状態となった。
その後、図示しないが、本発明のネガ型レジスト組成物を使用して上記と同様な手法に従って磁気抵抗効果膜24及びTiW膜27をパターニングした。工程(2F)に示すように、電極28及びMR素子29が完成した。
【0230】
引き続いて、図5の工程(2G)に示すように、被処理面の全面に膜厚50nmのSiO2 膜からなるギャップ絶縁膜31を形成した。
次いで、工程(2H)に示すように、ギャップ絶縁膜31の形成に続けて、その全面に膜厚3.5μm のFeNi膜からなるシールド膜32、膜厚0.5μm のAl2 3 膜からなるギャップ層33を順次形成し、さらにその上に、膜厚3μm のFeNi膜34を形成した。その後、FeNi膜34をパターニングしてライト磁極を形成するため、FeNi膜34の全面に本発明のネガ型レジスト組成物を塗布し、レジスト膜36を形成した。
【0231】
最後に、上記のようにしてFeNi膜34上に形成したレジスト膜をプリベークし、KrFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、ライト磁極の部位が開口した微細なレジストパターンが得られた。このレジストパターンをマスクとして等方性エッチングで、FeNi膜をエッチングした。工程(2I)に示すように、ライト磁極35を備えた薄膜磁気ヘッド40が完成した。
【0232】
以上、本発明を詳細に説明した。本発明のさらなる理解のために本発明の好ましい態様を付記すると、以下の通りである。
(付記1) (1)アルカリ可溶性基を有する第1のモノマー単位及び前記アルカリ可溶性基と反応し得るアルコール構造を有する第2のモノマー単位を含む自体塩基性水溶液に可溶な皮膜形成性重合体と、
(2)結像用放射線を吸収して分解すると、前記第2のモノマー単位のアルコール構造と前記第1のモノマー単位のアルカリ可溶性基との反応を誘起し得るかもしくは前記第1のモノマー単位のアルカリ可溶性基を保護し得る酸を発生可能な光酸発生剤とを含んでなり、かつ
自体塩基性水溶液に可溶であるが、前記結像用放射線に露光されると、前記光酸発生剤の作用の帰結として露光部が塩基性水溶液に不溶となることを特徴とする、塩基性水溶液で現像可能なネガ型レジスト組成物。
(付記2) 前記第2のモノマー単位のアルコール構造が、次式(I)〜(IV)のいずれかにより表される3級アルコール構造:
【0233】
【化66】
Figure 0004648526
【0234】
(上式において、
Rは、当該モノマー単位の主鎖に繋がりかつ前記第1のモノマー単位と共重合可能な結合基を表し、そして
R1及びR2は、同一もしくは異なっていてもよく、直鎖もしくは分岐鎖あるいは環状の炭化水素基を表す)
【0235】
【化67】
Figure 0004648526
【0236】
(上式において、
Rは前記定義に同じであり、
x は、1〜8個の炭素原子を有する炭化水素基を表し、そして
pは2〜9の整数である)
【0237】
【化68】
Figure 0004648526
【0238】
(上式において、
Rは前記定義に同じであり、
Yは、水素原子を表すかもしくは、アルキル基、アルコキシカルボニル基、ケトン基、水酸基及びシアノ基からなる群から選ばれた任意の置換基を表し、そして
Zは、脂環式炭化水素基を完成するのに必要な複数個の原子を表す)
【0239】
【化69】
Figure 0004648526
【0240】
(上式において、
R及びYはそれぞれ前記定義に同じであり、そして
BAは、ビシクロアルカン環を完成するのに必要な複数個の原子を表す)
であることを特徴とする付記1に記載のネガ型レジスト組成物。
(付記3) 前記第2のモノマー単位の占める割合が、当該共重合体の全量を基準にして0.1〜70モル%の範囲であることを特徴とする付記1又は2に記載のネガ型レジスト組成物。
(付記4) 前記第1及び第2のモノマー単位は、同一もしくは異なっていてもよく、それぞれ、(メタ)アクリル酸系モノマー単位、イタコン酸系モノマー単位、ビニルフェノール系モノマー単位、ビニル安息酸系モノマー単位、スチレン系モノマー単位、ビシクロ〔2.2.1〕ヘプト−5−エン−2−カルボン酸系モノマー単位、N−置換マレイミド系モノマー単位及び複数個もしくは多環式の脂環式炭化水素部分を含むエステル基を有するモノマー単位からなる群から選ばれた1員であることを特徴とする付記1〜3のいずれか1項に記載のネガ型レジスト組成物。
(付記5) 石英基板に施してその基板上に膜厚1μm の皮膜を形成した時、使用される露光光源の波長における吸光度が1.75μm-1以下であることを特徴とする付記1〜4のいずれか1項に記載のネガ型レジスト組成物。
(付記6) 第1及び(又は)第2のモノマー単位が、その側鎖に結合した、ラクトン環、イミド環及び酸無水物からなる群から選ばれる弱いアルカリ可溶性基をさらに有することを特徴とする付記1に記載のネガ型レジスト組成物。
(付記7) 分子内にアルコール構造を有する化合物をさらに含有することを特徴とする付記1に記載のネガ型レジスト組成物。
(付記8) 上記化合物のアルコール構造が3級アルコール構造であることを特徴とする付記7に記載のネガ型レジスト組成物。
(付記9) 上記アルコール構造含有化合物が少なくとも130℃の沸点を示すことを特徴とする付記7又は8に記載のネガ型レジスト組成物。
(付記10) 乳酸エチル、メチルアミルケトン、メチル−3−メトキシプロピオネート、エチル−3−エトキシプロピオネート、プロピレングリコールメチルエーテルアセテート及びその混合物からなる群から選ばれた溶媒を含むことを特徴とする付記1に記載のネガ型レジスト組成物。
(付記11) 酢酸ブチル、γ−ブチロラクトン、プロピレングリコールメチルエーテル及びその混合物からなる群から選ばれた溶媒を補助溶媒としてさらに含むことを特徴とする付記10に記載のネガ型レジスト組成物。
(付記12) 0.15μm以下の線幅の配線パターンの形成に用いられることを特徴とする付記1に記載のネガ型レジスト組成物。
(付記13) 下記の工程:
付記1〜12のいずれか1項に記載のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、そして
露光後のレジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴とする、ネガ型レジストパターンの形成方法。
(付記14) 付記1〜12のいずれか1項に記載のネガ型レジスト組成物から形成されたレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去して予め定められた機能性要素層を形成する工程を含むことを特徴とする、電子デバイスの製造方法。
(付記15) 下記の工程:
前記ネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより選択的に除去して前記機能性要素層を形成すること、
を含んでなることを特徴とする、付記14に記載の電子デバイスの製造方法。
(付記16) 下記の反応成分:
(1)アルカリ可溶性の重合体からなる基材樹脂、
(2)結像用放射線を吸収して分解し、酸を発生可能な光酸発生剤、及び
(3)前記光酸発生剤から発生せしめられた酸の存在下、前記基材樹脂の重合体と脱水結合反応を行い得る反応部位を有している脂環族系アルコール、
を組み合わせて含んでなることを特徴とするネガ型レジスト組成物。
(付記17) 前記脂環族系アルコールが1個もしくはそれ以上のアルコール性水酸基を含むことを特徴とする付記16に記載のネガ型レジスト組成物。
(付記18) 前記脂環族系アルコールにおいて、その脂環族骨格と水酸基の間に1〜6の原子が介在せしめられていることを特徴とする付記16又は17に記載のネガ型レジスト組成物。
(付記19) 前記脂環族系アルコールが、4個以上の炭素原子を有する単環式化合物、6個以上の炭素原子を有する多環式化合物あるいはその混合物であることを特徴とする付記16〜18のいずれか1項に記載のネガ型レジスト組成物。
(付記20) 前記脂環族系アルコールが、その分子中にアダマンタン構造を有するアルコールであることを特徴とする付記16に記載のネガ型レジスト組成物。
(付記21) 前記脂環族系アルコールが、立体化学的に固定された構造を有する3級アルコールであることを特徴とする付記16に記載のネガ型レジスト組成物。
(付記22) 下記の工程:
(1)アルカリ可溶性の重合体からなる基材樹脂、
(2)結像用放射線を吸収して分解し、酸を発生可能な光酸発生剤、及び
(3)前記光酸発生剤から発生せしめられた酸の存在下、前記基材樹脂の重合体と脱水結合反応を行い得る反応部位を有している脂環族系アルコール、
を組み合わせて含んでなるネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、そして
露光後ベークの完了後、前記レジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴とする、ネガ型レジストパターンの形成方法。
(付記23) 付記16〜21のいずれか1項に記載のネガ型レジスト組成物から形成されたレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去して予め定められた機能性要素層を形成する工程を含むことを特徴とする、電子デバイスの製造方法。
(付記24) 下記の工程:
前記ネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより選択的に除去して前記機能性要素層を形成すること、
を含んでなることを特徴とする、付記23に記載の電子デバイスの製造方法。
【0241】
また、本発明(第2の発明によるネガ型レジスト組成物)では、上記の付記の欄に記載されるものに追加して、基材樹脂が、フェノール系重合体、(メタ)アクリレート系重合体又はその混合物からなることを特徴とするネガ型レジスト組成物を、好ましい態様として挙げることができる。
【0242】
【発明の効果】
以上に説明したように、本発明によるレジスト組成物(第1の発明によるネガ型レジスト組成物)を使用すると、現像液として塩基性水溶液を使用することができ、実用可能な感度を有していて、膨潤のない微細なネガ型レジストパターンを形成することができる。また、本発明のレジスト組成物は、KrFあるいはArFエキシマレーザ等に代表される深紫外領域の結像用放射線や電子線にも対応可能で、ドライエッチング耐性にもすぐれている。そして、本発明のレジストを使用すると、露光部と未露光部の極性の差を大きくして、高感度と、高コントラストと、高解像度とを兼ね備える微細なネガ型パターンを形成することができる。
【0243】
また、本発明によるレジスト組成物(第2の発明によるネガ型レジスト組成物)を使用すると、露光部と未露光部の極性の差を大きくして、高感度と、高コントラストと、高解像度とを兼ね備える微細なネガ型レジストパターンを形成することができる。また、このレジストパターンの形成のため、塩基性水溶液を現像液として使用することができる。また、本発明のレジスト組成物は、KrFエキシマレーザ等に代表される深紫外領域の結像用放射線や電子線にも対応可能で、ドライエッチング耐性にもすぐれている。そして、本発明のレジストを使用するとLSI等の半導体装置の製造において微細な配線パターンを歩留りよく形成することができる。
【0244】
さらに、本発明によると、本発明による各種のネガ型レジスト組成物を使用すると、デバイスに含まれる微細な機能性要素層を正確にかつ歩留りよく製造することができるので、半導体装置、磁気記録ヘッド等の電子デバイスを有利に製造することができる。
【図面の簡単な説明】
【図1】本発明に従うMOSトランジスタの製造工程の前半を順を追って示した断面図である。
【図2】図1に示したMOSトランジスタの製造工程の後半を順を追って示した断面図である。
【図3】本発明に従う薄膜磁気ヘッドの製造工程の最初の段階を順を追って示した断面図である。
【図4】図3に示した薄膜磁気ヘッドの製造工程の中間の段階を順を追って示した断面図である。
【図5】図3に示した薄膜磁気ヘッドの製造工程の最後の段階を順を追って示した断面図である。
【符号の説明】
1…シリコン基板
2…ゲート電極
3…ポリシリコン膜
4…WSi膜
5…レジスト膜
6…N- 拡散層
7…CVD酸化膜
8…サイドウォール
9…N+ 拡散層
10…熱酸化膜
11…層間絶縁膜
12…配線
20…MOSトランジスタ
21…基板
22…シールド膜
23…ギャップ絶縁層
24…磁気抵抗(MR)効果膜
25…下層レジスト膜
26…上層レジスト膜
27…TiW膜
28…電極
29…MR素子
31…ギャップ絶縁層
32…シールド膜
33…ギャップ層
34…FeNe膜
35…ライト磁極
40…薄膜磁気ヘッド[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a resist composition, and more particularly to a chemically amplified negative resist composition that can be developed with a basic aqueous solution after exposure. The present invention also relates to a method for forming a negative resist pattern using such a resist composition. When the resist composition of the present invention is used, it is possible to form a fine negative resist pattern having practical sensitivity and no swelling. The present invention further relates to various electronic devices including a fine semiconductor device such as LSI, a magnetic recording head, and the like manufactured using the resist composition of the present invention, and a manufacturing method thereof.
[0002]
[Prior art]
At present, semiconductor integrated circuits have been highly integrated and LSIs and VLSIs have been put into practical use, and the minimum line width of wiring patterns extends to an area of 0.2 μm or less. For this reason, it is essential to establish a microfabrication technology. In the lithography field, as a solution to that requirement, the wavelength of the UV light of the exposure light source has been shifted to a short wavelength in the far UV region, and further to the deep UV region. It is said that an exposure technique using a light source of a wavelength will soon be adopted in the mass production process. Along with this, there is an urgent need to develop a resist material that absorbs light at a short wavelength as described above, has good sensitivity, and has high dry etching resistance.
[0003]
In recent years, photolithography using a krypton fluoride excimer laser (wavelength: 248 nm, hereinafter abbreviated as KrF) as an exposure light source has been actively studied and actively used for mass production as a new exposure technique in the manufacture of semiconductor devices. Further, as a resist having high sensitivity and high resolution that can be used for such a short wavelength light source, a resist composition using a concept called a chemical amplification type is disclosed by H.A. Already presented by Ito et al. (E.g. JMJ Frechet et al.,Proc. Microcircuit Eng.260 (1982), H.C. Ito et al., Digest of Technical Papers of 1982 Symposium on VLSI Technology, 86 (1983), H. et al. Ito et al., “Polymers in Electronics”, ACS Symposium Series 242, T. et al. (See Davidson, ACS, 11 (1984), and U.S. Pat. No. 4,491,628). The basic concept of this chemically amplified resist composition is, as can be easily understood from the above-mentioned literature, etc., by causing a catalytic reaction in the resist film, improving the apparent quantum yield and increasing the sensitivity. There is to plan.
[0004]
A photoacid generator (PAG, an abbreviation of Photo Acid Generator) having an action of generating an acid by light is added to t-butoxycarbonylpolyvinylphenol (t-BOCPVP), which has been studied and used very widely until now. Taking a chemically amplified resist as an example, in the exposed portion of the resist, the t-BOC group is eliminated by heating after exposure, so-called “PEB (post-exposure baking)”, and becomes isobutene and carbon dioxide. In addition, the protonic acid generated during the removal of t-BOC serves as a catalyst, and the above deprotection reaction proceeds in a chain manner, so that the polarity of the exposed portion changes greatly. Therefore, a fine resist pattern without swelling can be formed by selecting an appropriate developer that can cope with a large change in the polarity of the exposed portion.
[0005]
By the way, one of the super-resolution techniques that has been actively studied in recent years is a method using a mask that changes the phase of light, which is called a phase shift mask or a Levenson-type mask. And it is promising as a method of obtaining sufficient depth of focus. When these masks are used, negative resists are often suitable due to the limitations of the mask pattern, and therefore there has been a strong demand for providing negative resists. When KrF is used as a light source, these masks are expected to be applied when a resolution of 0.20 μm or less is required. As described above, these masks have high performance capable of resolving fine patterns without swelling. There was an urgent need to develop a resist. Furthermore, research on lithography using an argon fluoride excimer laser (wavelength: 193 nm, hereinafter abbreviated as ArF) and an electron beam (EB) having a wavelength shorter than that of KrF is also active, and is essential for pattern formation of 0.13 μm or less. Technology. Therefore, development of a negative resist that can be applied to ArF, EB, and the like, which are expected to be further finely processed, is extremely useful industrially.
[0006]
The negative resist for KrF or EB that can be developed with an alkali uses a polar reaction caused by an acid-catalyzed reaction [for example, H. Ito et al., Proc. SPIE, 1466, 408 (1991), S.E. Uchino et al. Photopolym. Sci. Technol. 11 (4), 553-564 (1998), etc.] and those utilizing an acid-catalyzed cross-linking reaction [for example, J. W. Thickeray et al., Proc. SPIE, 1086, 34 (1989), M.M. T.A. Allen et al. Photopolym. Sci. Technol. , 7, 4 (3), 379-387 (1991), Liu H. et al. I. J. et al. Vac. Sci. Technol. , B6, 379 (1988), etc.]. Moreover, as the negative resist for ArF, a cross-linked type is known [for example, A. Katsuyama et al., Abstracted Papers of Third International Symposium on 193 nm Lithography, 51 (1997), K. et al. Maeda et al. Photopolym. Sci. Technol. 11 (4), 507-512 (1998), etc.].
[0007]
However, as described above, there is a strong demand for a high-performance negative resist that can be used in super-resolution technology using a phase shift mask or a Levenson-type mask and can be applied to KrF, ArF, EB, etc. Regardless, the negative resist currently in practical use is exclusively the latter cross-linked resist. The cross-linked negative resist uses a cross-linking reaction in the exposed area to increase the molecular weight, thereby causing a difference in solubility in the developer from the unexposed area, and patterning. Unlike resists using polar reactions caused by acid-catalyzed reactions, it is impossible to avoid the limit of fine processing due to pattern swelling.
[0008]
As described above, negative-type chemically amplified resists are roughly classified into alkali-soluble base resins, photoacid generators that absorb and decompose imaging radiation and release acids, and acid-catalyzed reactions. A cross-linking reaction between a resin that contains a substance that changes polarity in the resist, an alkali-soluble base resin, a photoacid generator that absorbs and decomposes imaging radiation, and releases acid. There is a type in which a substance that can be made to be contained in a resist. The chemically amplified resist using the former polar reaction typically uses a pinacol transfer reaction. Sooriyakumaran et al., SPIE, 1466, 419 (1991) and S. Uchino et al., SPIE, 1466, 429 (1991). The acid catalyzed reaction in such a resist proceeds as follows.
[0009]
[Chemical formula 5]
Figure 0004648526
[0010]
That is, pinacol which is alkali-soluble is changed to alkali-insoluble under the influence of acid and heat. However, this type of chemically amplified resist has a problem in resolution. This is because pinacol itself changes to alkali-insoluble by the acid-catalyzed reaction, but the alkali-soluble base resin itself does not react, so that a sufficient difference in dissolution rate cannot be obtained.
[0011]
Chemically amplified resists are also disclosed in JP-A-4-165359, JP-A-7-104473, JP-A-11-133606, and the like. For example, JP-A-4-165359 includes an alkali-soluble polymer compound, a secondary or tertiary alcohol having a hydroxyl group on carbon directly bonded to an aromatic ring, and an acid precursor that generates an acid upon irradiation with radiation. A radiation sensitive composition is disclosed. The secondary or tertiary alcohol used here is, for example, a phenylmethanol derivative represented by the following formula.
[0012]
[Chemical 6]
Figure 0004648526
[0013]
(In the above formula, A represents an alkyl group or methylol group having 4 or less carbon atoms).
[0014]
[Chemical 7]
Figure 0004648526
[0015]
(In the above formula, RFourAnd RFiveMay be the same or different and each represents a hydrogen atom or a phenyl group). The acid catalyzed reaction in this resist proceeds as follows.
[0016]
[Chemical 8]
Figure 0004648526
[0017]
As described above, the secondary or tertiary alcohol is dehydrated by the influence of acid and heat on the alkali-soluble polymer compound, and becomes alkali-insoluble. However, in this chemically amplified resist, it is considered to exist for the purpose of improving etching resistance. However, since an aromatic ring is contained in a secondary or tertiary alcohol that can participate in an acid-catalyzed reaction, an exposure light source There is a problem of restricting. This is because the aromatic ring has a large light absorption and is difficult to apply to a KrF laser or ArF (Argon fluoride excimer laser, wavelength 193 nm) having a short wavelength. In addition, it is considered that a double bond generated by dehydration is conjugated and stabilized by an aromatic ring, but a hydroxyl group is bonded to carbon directly bonded to the aromatic ring. With such a configuration, the dehydration of alcohol in the molecule becomes the main reaction and does not react with the polar groups (such as phenolic hydroxyl groups) of the base resin, so that the intended polarity change will be small. Furthermore, since primary alcohols do not generate double bonds due to dehydration, they are limited to secondary or tertiary alcohols. However, it is desirable to eliminate such restrictions in order to expand the application range. .
[0018]
Chemical amplification resists utilizing the latter acid-catalyzed crosslinking reaction typically utilize a crosslinking reaction of an alkali-soluble resin with a melamine-based crosslinking agent such as methoxymethylolmelamine. T.A. Allen et al. Photopolym. Sci. Technol. 7, 4 (3), 379-387 (1991). The crosslinking reaction in such a resist proceeds as follows.
[0019]
[Chemical 9]
Figure 0004648526
[0020]
When a melamine-based crosslinking agent is used as in this type of chemically amplified resist, the base resin gelation reaction (increase in molecular weight due to resin crosslinking) and the resin's polar group (phenolic hydroxyl group) crosslinking The effect that alkali solubility is lowered by depolarization derived from can be expected. However, methoxymethylol melamine used here as a cross-linking agent has a low polarity in the first place, so that a sufficient difference in dissolution rate cannot be generated. It is desirable to provide a resist in which both the resin and the additive have high polarity before exposure, and both the resin and the additive can exhibit low polarity after exposure.
[0021]
[Problems to be solved by the invention]
The present invention seeks to solve the above-mentioned problems of the chemically amplified resist from various aspects.
In one aspect, the object of the present invention is to use a basic aqueous solution (standard alkaline developer) as a developing solution, have a practical sensitivity, and have a fine negative resist pattern without swelling. It is an object of the present invention to provide a novel resist composition that can be formed.
[0022]
Another object of the present invention is to provide a novel resist composition that can cope with imaging radiation and electron beams in the deep ultraviolet region typified by KrF or ArF excimer laser, and has excellent dry etching resistance. There is.
A further object of the present invention is to provide a novel resist composition capable of forming a fine pattern having both high sensitivity, high contrast and high resolution by increasing the difference in polarity between the exposed and unexposed areas. Is to provide.
[0023]
In another aspect, the object of the present invention is to increase the difference in dissolution rate between the exposed portion and the unexposed portion to form a fine pattern having high sensitivity, high contrast, and high resolution. The object is to provide a novel resist composition.
Another object of the present invention is to provide a novel resist composition that can use a basic aqueous solution (standard alkaline developer) as a developer.
[0024]
It is another object of the present invention to provide a resist composition that can cope with imaging radiation and electron beams in the deep ultraviolet region typified by KrF or ArF excimer laser and has excellent dry etching resistance. is there.
Another object of the present invention is to provide a method for forming a resist pattern using the novel resist composition of the present invention.
[0025]
Furthermore, the objective of this invention is providing the method of manufacturing an electronic device using the novel resist composition of this invention, and the electronic device manufactured by such a method.
The above and other objects of the present invention will be readily understood from the following detailed description.
[0026]
[Means for Solving the Problems]
As a result of diligent research to solve the problem of the first aspect described above, the present inventors have found that a chemically amplified resist composition has an alkali-soluble group in the molecule and is soluble in a basic aqueous solution. The present inventors have found that it is important to use a formable polymer as a base resin and to include a monomer unit having an alcohol structure, preferably a tertiary alcohol structure in the side chain, in the polymer. It came to complete. In the resist composition of the present invention, when the photoacid generator used in combination with the film-forming polymer absorbs the imaging radiation and decomposes, an acid is generated, and the acid is a polymer monomer. It is possible to cause a reaction between the alcohol structure part of the side chain of the unit and the part having an alkali-soluble group of the same polymer, or it is possible to protect the alkali-soluble group. As a result, the exposed portion that has absorbed the imaging radiation becomes insoluble in alkali, and a negative resist pattern can be formed.
[0027]
Therefore, according to the present invention (first invention), (1) the basic monomer itself comprising the first monomer unit having an alkali-soluble group and the second monomer unit having an alcohol structure capable of reacting with the alkali-soluble group. A film-forming polymer soluble in an aqueous solution;
(2) When the imaging radiation is absorbed and decomposed, a reaction between the alcohol structure of the second monomer unit and the alkali-soluble group of the first monomer unit can be induced, or the first monomer unit A photoacid generator capable of generating an acid capable of protecting an alkali-soluble group, and
Basically soluble in a basic aqueous solution, but exposed to the imaging radiation, the exposed portion becomes insoluble in the basic aqueous solution as a result of the action of the photoacid generator. A negative resist composition developable with an aqueous solution is provided.
[0028]
In addition to the above-described invention, the present inventors have intensively studied to solve the problem of the second aspect described above, and as a result, in the chemically amplified resist composition, a base resin composed of an alkali-soluble polymer and An alicyclic alcohol, especially a stereochemically fixed structure as an additive that can be combined with a photoacid generator capable of absorbing and decomposing imaging radiation and generating acid to turn the resist into alkali-insoluble. The present invention was completed by discovering that it is effective to include a tertiary alcohol having the following formula.
[0029]
Therefore, according to the present invention (second invention), the following reaction components:
(1) a base resin comprising an alkali-soluble polymer,
(2) a photoacid generator capable of absorbing and decomposing imaging radiation to generate an acid, and
(3) an alicyclic alcohol having a reaction site capable of performing a dehydration bond reaction with the polymer of the base resin in the presence of an acid generated from the photoacid generator;
A negative resist composition comprising a combination of the above is provided.
[0030]
Moreover, according to this invention, the formation method of the negative resist pattern which uses the negative resist composition of this invention is also provided. The negative resist pattern forming method of the present invention includes the following steps:
Apply the negative resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing degradation of the photoacid generator of the resist composition; and
After completion of post-exposure baking, developing the resist film with a basic aqueous solution;
It is characterized by comprising.
[0031]
Furthermore, according to this invention, the manufacturing method of the electronic device using the negative resist composition of this invention is also provided. The method for producing an electronic device of the present invention uses a resist pattern formed from the negative resist composition of the present invention as a masking means, and selectively removes the substrate to be processed as a predetermined function. And a step of forming a sex element layer.
[0032]
The method for producing an electronic device of the present invention includes the following steps:
The negative resist composition is applied onto a substrate to be processed,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and selectively removing the substrate to be processed by etching to form the functional element layer;
It is preferable to comprise.
[0033]
DETAILED DESCRIPTION OF THE INVENTION
Subsequently, the present invention will be described in detail with respect to the negative resist composition of each invention, a resist pattern forming method using the resist composition, and an electronic device manufacturing method. In addition, in the negative resist composition of each invention, description of components that can be used in common may be omitted or simplified in order to avoid duplication of description.
[0034]
As described above, the negative resist composition according to the present invention (first invention) includes a first monomer unit having an alkali-soluble group and a second monomer unit having an alcohol structure capable of reacting with the alkali-soluble group. The essential constituent requirement is to contain a film-forming polymer that is soluble in the basic aqueous solution contained as a base resin. Here, the term “polymer” is used in a broad sense and will be described in detail below, but it is not limited to a copolymer such as a two-component copolymer or a three-component copolymer, but a homopolymer. (Homopolymer) is also included. That is, in the case of a homopolymer, the first monomer unit and the second monomer unit can be common, and an alkali-soluble group and an alcohol structure capable of reacting with the alkali-soluble group coexist in one monomer unit. It will be. Such a film-forming polymer may basically have any structure as long as it can maintain appropriate alkali solubility in a basic aqueous solution used as a developer. Furthermore, even if the polymer is in the form of a multi-component copolymer such as a three-component copolymer, it may have any structure as long as it can maintain appropriate alkali solubility.
[0035]
The film-forming polymer used as the base resin in the resist composition of the present invention can include various polymers as the polymer main chain. Therefore, the first and second monomer units are preferably Are (meth) acrylic acid monomer units, itaconic acid monomer units, vinyl phenol monomer units, vinyl benzoic acid monomer units, styrene monomer units, bicyclo [2.2.1] hept-5-ene-2 A carboxylic acid monomer unit, an N-substituted maleimide monomer unit, and a monomer unit having an ester group containing a plurality of or polycyclic alicyclic hydrocarbon moieties. These monomer units are also useful in terms of obtaining dry etching resistance comparable to that of a novolak resist. The first and second monomer units may be the same or different. As described above, when the first and second monomer units are common, the monomer unit may be any of those described above.
[0036]
Among the monomer units described above, the (meth) acrylate monomer unit is particularly important in that absorption of light having a wavelength in the deep ultraviolet region is small when deep ultraviolet light is used as an exposure light source. In other words, in the case where deep ultraviolet light is used as the exposure light source, in general, it does not contain an aromatic ring that greatly absorbs light in the deep ultraviolet region or a chromophore having a large molar extinction coefficient such as a conjugated double bond. It is desirable to use a copolymer having a structure.
[0037]
It is essential that the first monomer unit of the film-forming polymer has an alkali-soluble group in its structure. Alkali-soluble groups that can be introduced here can include various groups that are generally introduced into polymers as base resins in the field of chemically amplified resists, but usually carboxylic acid groups, A sulfonic acid group, an amide group, an imide group, a phenol group, an acid anhydride group, a thiol group, a lactone acid ester group, an azalactone group, a hydroxyamide group, an oxazone group, a pyronidone group, a hydroxyoxime group, and the like are more preferable. Carboxylic acid group, sulfonic acid group, amide group, imide group, and hydroxyamide group.
[0038]
In the film-forming polymer of the present invention, the proportion of the first monomer unit in the polymer is not particularly limited as long as the polymer itself exhibits appropriate alkali solubility. Considering obtaining an appropriate alkali dissolution rate (ADR) that is considered feasible as the intended negative resist (100-10000 Å / sec as measured in 2.38% tetramethylammonium hydroxide aqueous solution) For example, in the case of a copolymer containing two or more components and a carboxylic acid as an alkali-soluble group, the content is preferably in the range of 10 to 90 mol%, more preferably 30 to 70. It is in the range of mol%. If the content of the first monomer unit is less than 10 mol%, the alkali solubility becomes insufficient, and it becomes impossible to perform satisfactory patterning. On the other hand, if the content of the first monomer unit exceeds 90 mol%, the alkali solubility becomes too strong, so that the dissolution rate in the basic aqueous solution is too high, and patterning is performed depending on the polarity change. It becomes impossible. The most preferred content of such first monomer units is in the range of 30-50 mol%.
[0039]
When the first monomer unit of the film-forming polymer contains a phenolic hydroxyl group as the alkali-soluble group, the content of the monomer unit is preferably in the range of 30 to 99 mol%, Preferably, it is the range of 50-95 mol%. If the content of the first monomer unit is less than 30 mol%, the alkali solubility becomes insufficient, and satisfactory patterning cannot be performed. Similarly, even if the content of the first monomer unit exceeds 99 mol%, satisfactory patterning cannot be performed. The most preferable content of the first monomer unit is in the range of 80 to 95 mol%.
[0040]
The second monomer unit of the film-forming polymer must have an alcohol structure in its side chain that can react with the alkali-soluble group of the first monomer unit. Although the alcohol structure that can be introduced here can be widely changed according to the desired effect, the tertiary alcohol structure is particularly useful according to the knowledge of the present inventors. This is because the tertiary alcohol structure tends to cause a dehydration reaction when compared with the secondary alcohol structure, for example.
[0041]
Preferred tertiary alcohol structures in the practice of the present invention are those represented by any of the following formulas (I) to (IV).
Preferred tertiary alcohol structure (1):
[0042]
Embedded image
Figure 0004648526
[0043]
In the above formula, R represents an arbitrary linking group that is connected to the main chain of the monomer unit and is copolymerizable with the first monomer unit. Therefore, the bonding group R can be copolymerized with a monomer unit having an alkali-soluble group, and its structure is not particularly limited as long as it does not adversely affect the intended effect of the present invention. A suitable linking group R is, for example, a linear or branched hydrocarbon group having 1 to 6 carbon atoms, such as a methyl group, an ethyl group, or an —O— group.
[0044]
R1And R2May be the same or different and each is a linear, branched or cyclic hydrocarbon group, for example, an alkyl group having 1 to 8 carbon atoms, such as a methyl group, an ethyl group, or an alicyclic ring. Represents a formula or aromatic hydrocarbon group, such as a phenyl group, or two substituents R, as described below.1And R2Together may form a ring system, for example, an alicyclic or aromatic hydrocarbon group or a heterocyclic group.
Preferred tertiary alcohol structure (2):
[0045]
Embedded image
Figure 0004648526
[0046]
In the above formula, R is as defined above.
RxRepresents a hydrocarbon group having 1 to 8 carbon atoms, for example, a linear, branched or cyclic hydrocarbon group such as a methyl group, an ethyl group or a phenyl group, and p is 2 to 9 Is an integer.
Preferred tertiary alcohol structure (3):
[0047]
Embedded image
Figure 0004648526
[0048]
In the above formula, R is as defined above.
Y represents a hydrogen atom or an arbitrary substituent selected from the group consisting of an alkyl group, an alkoxycarbonyl group, a ketone group, a hydroxyl group and a cyano group. The bonding position of the substituent Y to the following alicyclic hydrocarbon group Z is not particularly limited.
Z represents a plurality of atoms necessary for completing the alicyclic hydrocarbon group. Here, the alicyclic hydrocarbon group may include various groups, but preferably has the following compound as a skeleton.
[0049]
Adamantane and its derivatives,
Norbornane and its derivatives,
Perhydroanthracene and its derivatives,
Perhydronaphthalene and its derivatives,
Tricyclo [5.2.1.02,6Decane and its derivatives,
Bicyclohexane and its derivatives,
Spiro [4,4] nonane and its derivatives,
Spiro [4,5] decane and its derivatives.
Among these alicyclic hydrocarbon groups, particularly preferred are those having adamantane and derivatives thereof as a skeleton, and an example thereof can be represented by the following formula (III-1):
[0050]
Embedded image
Figure 0004648526
[0051]
In the above formula, R and Y are the same as defined above.
Preferred tertiary alcohol structure (4):
[0052]
Embedded image
Figure 0004648526
[0053]
In the above formula, R and Y are the same as defined above.
BA represents a plurality of atoms necessary to complete a bicycloalkane ring. Here, the bicycloalkane ring can include various groups, but is preferably bicyclohexane, bicyclooctane, bicyclodecane or the like, and bicyclooctane is particularly preferable. Bicyclooctane can be represented by the following formula (IV-1):
[0054]
Embedded image
Figure 0004648526
[0055]
In the above formula, R and Y are the same as defined above.
In the film-forming polymer of the present invention, the proportion of the second monomer unit in the polymer can be widely changed depending on the properties desired for the resist composition, but usually, It is preferably in the range of 0.1 to 70 mol% based on the total amount of the film-forming polymer.
[0056]
The film-forming polymer used as the base resin in the present invention has the first and second monomer units as described above. According to a preferred embodiment of the present invention, the first or second monomer unit or these two monomer units are added to the alkali-soluble group to be contained in the first monomer unit, and weaker alkali-soluble groups. Can further be contained. Such additional alkali-soluble groups are usually attached to the side chain of the monomer unit. Suitable alkali-soluble groups are not limited to those listed below, but examples include lactone rings, imide rings, and acid anhydrides. In the film-forming polymer of the present invention, this additional alkali-soluble group is optionally contained in the third, fourth,... Monomer units used in addition to the first, second monomer units. It may be.
[0057]
The outline of the film-forming polymer used as the base resin in the negative resist composition of the present invention has been described above. Here, for further understanding of the present invention, the mechanism of chemical amplification in the resist composition of the present invention will be described with reference to an example using a specific film-forming polymer as follows:
As shown in the following reaction formula, the film-forming polymer referred to here includes a first monomer unit containing a phenol group as an alkali-soluble group in the side chain, and an adamantyl similar to the above formula (III-1). It is a two-component copolymer comprising a second monomer unit containing a group in the side chain as a tertiary alcohol structure. In the formula, Y is the same as defined above, and X is an arbitrary substituent, for example, a hydrogen atom, a halogen atom (for example, chlorine or bromine), a lower alkyl group (for example, a methyl group or an ethyl group) and the like. M and n are the number of monomer units (repeating units) necessary to obtain a predetermined molecular weight required for the copolymer.
[0058]
When a resist composition containing a combination of such a film-forming polymer and a photoacid generator (PAG) is applied onto a substrate to be processed, the resist film is pre-baked, and then exposed to imaging radiation. The PAG in the object absorbs the radiation and decomposes to generate an acid. Next, when the resist film after exposure is heated (PEB), the acid generated previously acts catalytically, and a reaction as shown in the figure occurs in the exposed portion of the film. That is, a dehydration reaction occurs in the tertiary alcohol structure of the second monomer unit of the film-forming polymer, and the tertiary alcohol structure of the reaction product further reacts with a nearby phenol ring. As a result of the simultaneous progress of a plurality of reactions, as shown in the figure, a product in which a phenol ring and a tertiary alcohol structure are reacted and a product in which a phenol ring is protected with a tertiary alcohol structure are formed. , The alkali solubility of the polymer changes.
[0059]
Embedded image
Figure 0004648526
[0060]
In this reaction, the cation after the dehydration reaction generates an electrophilic substitution reaction with the hydroxyl group of the vinylphenol ring or the carbon at the ortho position of the ring. In the former, the cation reacts directly with the alkali-soluble group to reduce the alkali solubility, and in the latter, the alkali solubility is lowered due to the strong hydrophobicity of the adamantyl group and its steric hindrance. Accordingly, the alkali solubility in the exposed area is significantly reduced, and a negative pattern is provided.
[0061]
Another example is that, as shown in the following reaction formula, the first monomer unit containing a carboxyl group as an alkali-soluble group in the side chain and the same adamantyl group as the tertiary alcohol structure in the side chain as a tertiary alcohol structure in the previous example. This is an example in which a two-component copolymer composed of two monomer units is used as a base resin. In the formula, Y, X, m and n are the same as defined above. Also in the case of a resist composition containing this two-component copolymer, a dehydration reaction of alcohol occurs as a result of irradiation with imaging radiation, and a tertiary alcohol structure reacts with a nearby carboxyl group, as in the reaction described above. . As a result of the reaction, the alkali solubility of the polymer is lowered. Accordingly, the alkali solubility in the exposed area is significantly reduced, and a negative pattern is provided.
[0062]
Embedded image
Figure 0004648526
[0063]
Since the resist composition of the present invention is a so-called amplification-type composition that contains an alcohol structure in a film-forming polymer used as a base resin and can regenerate proton acid by the reaction, it has high sensitivity. Can be achieved. Further, in this resist composition, after the sensitive group is protected, the alkali-soluble group disappears (specifically, it changes to ether or ester), so that the exposed portion of the resist film becomes alkali-insoluble, and therefore the base After development with a neutral aqueous solution, a negative pattern can be formed. Furthermore, in the present invention, since pattern formation is performed using the polarity change that occurs in the polymer, the pattern formation can be performed without swelling.
[0064]
In the film-forming polymer used as the base resin in the resist composition of the present invention, if the polymer takes the form of a three-component copolymer, the first monomer is preferably used. A relatively strong alkali-soluble group represented by carboxylic acid or phenol is introduced into the unit, and a lactone structure, an acid anhydride, such as succinic acid anhydride, glutaric acid anhydride, an imide ring, is introduced into the second monomer unit. A weak alkali-soluble group having a structure or the like can be introduced. In such a case, it becomes easy to adjust the alkali dissolution rate of the base resin to a preferred value by controlling the contents of strong alkali-soluble groups and weak alkali-soluble groups contained in each monomer unit. . Further, as the third monomer unit, one having a functional group having etching resistance can be preferably used. As described above, a resist having higher performance can be realized by appropriately selecting a substituent to be introduced into each monomer unit and effectively using the function of each functional group.
[0065]
Furthermore, the alcohol structure contained in the film-forming polymer of the resist composition is preferably a tertiary alcohol structure. This is because if a tertiary alcohol structure is present, a dehydration reaction is more likely to occur. In addition, in the present invention, in addition to introducing an alcohol structure into a monomer unit of a polymer as described above, a compound having an alcohol structure in which the above-described reaction can be expected (in the present invention, an “alcohol structure-containing compound” Can be included in the resist composition as an additive. Here, the structure of the alcohol structure-containing compound to be added is not particularly limited, but considering that the main purpose is to contribute to the improvement of etching resistance, it has a polycyclic alicyclic compound or a benzene ring in the molecule. Compounds are preferred. Furthermore, it is more preferable that such a compound has a tertiary alcohol structure that can be easily dehydrated with an acid.
[0066]
Returning to the description of the film-forming polymer, the preferred structure of the polymer suitable for the practice of the present invention will be described below.
The film-forming polymer used as the base resin in the resist composition of the present invention is not particularly limited as long as it satisfies the above-described conditions, particularly the condition that it has an appropriate alkali dissolution rate. In particular, when taking into account the dry etching resistance equivalent to that of a novolak resist, useful film-forming polymers are not limited to those listed below, but polycyclic alicyclic hydrocarbons (Meth) acrylate polymer having a compound as an ester group, vinylphenol polymer, vinylbenzoic acid polymer, N-substituted maleimide polymer, styrene polymer, bicyclo [2.2.1] hept- 5-ene-2-carboxylic acid polymers and the like are included.
[0067]
Among the film-forming polymers as described above, in particular, (meth) acrylate-based polymers, that is, acrylate-based or methacrylate-based polymers use a light source having a deep ultraviolet ray, particularly a wavelength of 220 nm or less, as an exposure light source. In this case, it is important in that the absorption of light having such a wavelength in the deep ultraviolet region is small. In other words, in the case where deep ultraviolet light is used as the exposure light source, in general, it does not contain an aromatic ring that greatly absorbs light in the deep ultraviolet region or a chromophore having a large molar extinction coefficient such as a conjugated double bond. It is desirable to use a copolymer having a structure.
[0068]
In particular, when an exposure wavelength in an extremely short wavelength region such as an ArF excimer laser is used as a light source, it is necessary to have dry etching resistance and transparency at the wavelength (193 nm). A polymer having an ester group having a polycyclic alicyclic hydrocarbon structure represented by a high adamantyl group, bicyclo [2.2.2] octane group, norbornyl group or the like is used as a film-forming polymer. It is recommended.
[0069]
Although the molecular weight (weight average molecular weight, Mw) of the film-forming polymer as described above can be changed in a wide range depending on the structure of the polymer, it is usually preferably 2,000 to 1,000,000. 000, and more preferably in the range of 3,000 to 50,000.
Although the monomer unit (second monomer unit) having an alcohol structure to be included in the film-forming polymer as described above is not limited to those listed below, for example, the following alcohol It is a vinyl monomer having a structure as an ester group or an ether group.
[0070]
Embedded image
Figure 0004648526
[0071]
Embedded image
Figure 0004648526
[0072]
Embedded image
Figure 0004648526
[0073]
Embedded image
Figure 0004648526
[0074]
Embedded image
Figure 0004648526
[0075]
Where Y and RxAre the same as defined above and R6~ R8May be the same or different and each represents a hydrogen atom or a halogen atom such as chlorine, bromine and the like, a cyano group, a linear, branched or cyclic alkyl group having 1 to 4 carbon atoms Represents an arbitrary substituent such as a methyl group, an ethyl group, and a methylol group, and these substituents may be further substituted as necessary, and p and q are each an integer of 1 to 6 Represents.
[0076]
The film-forming polymers that can be advantageously used in the practice of the present invention are not limited to those listed below, but preferably include the following polymers. In the following general formula, X, Y and RxAre the same as defined above, ALC represents the alcohol structure defined and explained above, and l, m and n are the monomer units (repeating units) necessary to obtain the weight average molecular weight described above. Is a number.
(1) Acrylate or methacrylate polymer
[0077]
Embedded image
Figure 0004648526
[0078]
Embedded image
Figure 0004648526
[0079]
Embedded image
Figure 0004648526
[0080]
Embedded image
Figure 0004648526
[0081]
Embedded image
Figure 0004648526
[0082]
Embedded image
Figure 0004648526
[0083]
(2) Norbornene polymer
[0084]
Embedded image
Figure 0004648526
[0085]
Embedded image
Figure 0004648526
[0086]
(3) Vinylphenol polymer
[0087]
Embedded image
Figure 0004648526
[0088]
(4) Vinyl benzoic acid polymer
[0089]
Embedded image
Figure 0004648526
[0090]
Embedded image
Figure 0004648526
[0091]
In practicing the present invention, maleic acid, fumaric acid half esters, itaconic acid monoesters, and the like can be advantageously used in addition to the typical film-forming polymers described above.
The film-forming polymer used as the base resin in the present invention can be prepared by using a polymerization method generally used in the field of polymer chemistry. For example, in the case of a (meth) acrylate polymer, it can be advantageously prepared through free radical polymerization by heating a predetermined monomer required for its preparation in the presence of a free radical initiator. Examples of free radical initiators include 2,2′-azobisisobutyronitrile (AIBN) and dimethyl-2,2-azoisobisbutyrate (MAIB). Similarly, film-forming polymers other than (meth) acrylate polymers can be advantageously prepared according to conventional polymerization methods.
[0092]
As mentioned above, the resist composition of the present invention preferably further contains a compound having an alcohol structure in the molecule in addition to the above-described film-forming polymer. Here, the alcohol structure of the alcohol structure-containing compound additionally added may be either a secondary alcohol structure or a tertiary alcohol structure, but a tertiary alcohol structure is more advantageous. The tertiary alcohol structure can be the same as described above, and may be different in some cases. The alcohol structure-containing compound preferably has a boiling point of at least 130 ° C. This is because, if the boiling point of the alcohol structure-containing compound is lower than 130 ° C., for example, the compound itself is scattered by heating in a pre-baking step performed prior to exposure, and an expected effect cannot be obtained.
[0093]
The alcohol structure-containing compound can preferably contain an alicyclic structure or a polycyclic alicyclic structure. The compound may additionally contain a substituent similar to the substituent Y contained in the alcohol structure of the second monomer unit of the film-forming polymer, for example, a hydroxyl group, a ketone group, an alkoxycarbonyl group, and the like. preferable. Examples of alcohol structure-containing compounds useful in the practice of the present invention include, but are not limited to, those shown in the general formula below. In the following general formula, Y and RxEach is the same as defined above, and p is an integer of 1-6.
[0094]
Embedded image
Figure 0004648526
[0095]
In the resist composition of the present invention, the proportion of the alcohol structure-containing compound as described above largely depends on the amount of alkali-soluble groups contained in the film-forming polymer, in other words, the alkali dissolution rate of the polymer. However, regarding the polymer having an appropriate alkali dissolution rate as described above, it is preferable that the addition amount is in the range of 1 to 100% by weight, more preferably, based on the total amount of the polymer. It is in the range of 10 to 50% by weight.
[0096]
To further explain the combined use of the alcohol structure-containing compound, among the film-forming polymers useful in the practice of the present invention, it is well known that the (meth) acrylate polymer has high transparency in the deep ultraviolet region. In the structure of this polymer and the structure of the alcohol structure-containing compound used in combination, if a structure that does not contain a chromophore having a large molar extinction coefficient near the exposure wavelength is appropriately selected, it can be combined with an appropriate amount of a photoacid generator. In addition, it is possible to provide a highly sensitive resist composition that can advantageously cope with exposure using deep ultraviolet rays.
[0097]
Further, the photoacid generator (PAG) used in combination with the film-forming polymer as described above in the chemically amplified resist of the present invention is a photoacid generator generally used in resist chemistry, It can be a substance that generates protonic acid upon irradiation with radiation such as ultraviolet rays, far ultraviolet rays, vacuum ultraviolet rays, electron beams, X-rays, and laser beams. Suitable photoacid generators that can be used in the practice of the present invention are not limited to those listed below, but include those represented by the following formula:
(1) Onium salts, for example:
[0098]
Embedded image
Figure 0004648526
[0099]
(In the above formula,
R1May be the same or different, for example, a substituted or unsubstituted aromatic group such as a phenyl group substituted with a phenyl group, a halogen, a methyl group, a t-butyl group, an aryl group, or the like, or an alicyclic group Represents a group, and
X1For example, BFFour, BF6, PF6, AsF6, SbF6, CFThreeSOThree, ClOFourEtc.)
[0100]
Although onium salts have a simple structure, the use of these salts is particularly desirable because they are particularly effective in inducing condensation reactions. Above all, a compound represented by the following formula is particularly remarkable in that it has a particularly large effect.
[0101]
Embedded image
Figure 0004648526
[0102]
Embedded image
Figure 0004648526
[0103]
(X in the formula1Is the same as defined above).
(2) Sulfonic esters, for example:
[0104]
Embedded image
Figure 0004648526
[0105]
Embedded image
Figure 0004648526
[0106]
Embedded image
Figure 0004648526
[0107]
Embedded image
Figure 0004648526
[0108]
Embedded image
Figure 0004648526
[0109]
Embedded image
Figure 0004648526
[0110]
Embedded image
Figure 0004648526
[0111]
Embedded image
Figure 0004648526
[0112]
(3) Halides, for example:
[0113]
Embedded image
Figure 0004648526
[0114]
(In the above formula,
X2Represents a halogen atom, for example Cl, Br or I, which may be the same or different, provided that —C (X2)ThreeOne of the groups may be a substituted or unsubstituted aryl or alkenyl group).
In particular, triazines or isocyanurates containing a halogen atom in the molecule, for example, the following compounds can be advantageously used.
[0115]
Embedded image
Figure 0004648526
[0116]
Embedded image
Figure 0004648526
[0117]
Embedded image
Figure 0004648526
[0118]
Embedded image
Figure 0004648526
[0119]
Embedded image
Figure 0004648526
[0120]
In addition to these photoacid generators, photoacid generators as disclosed in, for example, JP-A-9-90637 and JP-A-9-73173 can be used as necessary.
The photoacid generator as described above can be used in various amounts in the resist composition of the present invention depending on the desired effect. According to the knowledge of the present inventors, the amount of the photoacid generator used is preferably in the range of 0.1 to 50% by weight, based on the total amount of the film-forming polymer used as the base resin. is there. If the amount of photoacid generator exceeds 50% by weight, patterning can no longer be performed as a result of excessive absorption of light. The amount of the photoacid generator used is more preferably in the range of 1 to 15% by weight based on the total amount of the polymer.
[0121]
In the resist composition of the present invention, when the resist composition has a specific transmittance at the exposure wavelength, that is, when a resist film having a thickness of 1 μm is formed on the quartz substrate by applying the resist composition to the quartz substrate, Absorbance at a wavelength of an exposure light source in the ultraviolet region (180 to 300 nm) is 1.75 μm-1Since the following is preferable, it is desirable to consider the structures of the film-forming polymer and the photoacid generator and the amount of the photoacid generator used so that such transmittance can be obtained. Of course, when an electron beam is used as the exposure light source, the problem of transparency can be avoided, so that it is not necessary to pay particular attention to the amount of photoacid generator used.
[0122]
The resist composition of the present invention usually contains the above-mentioned film-forming polymer and photoacid generator, and, if necessary, the above-mentioned alcohol structure-containing compound and other optional additives in a suitable organic solvent. It can be dissolved and used advantageously in the form of a resist solution. Organic solvents useful for preparing the resist solution are, for example, ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, propylene glycol methyl ether acetate, etc. The solvent is not limited. These solvents may be used alone, or two or more solvents may be mixed and used as necessary. The amount of these solvents to be used is not particularly limited, but it is preferably used in an amount sufficient to obtain a viscosity suitable for carrying out spin coating and the like and a desired resist film thickness.
[0123]
In the resist solution of the present invention, if necessary, an auxiliary solvent is used in addition to the above-described solvent (also referred to as “main solvent” in the present invention to distinguish it from the additionally used solvent). Also good. The use of a co-solvent is not necessary when the solubility of the solute is good or when the solution can be applied uniformly, but is usually used when using a solute with low solubility or when the solution cannot be applied uniformly as desired. It is preferable to add in an amount of 1 to 30% by weight relative to the main solvent, more preferably 10 to 20% by weight. Examples of useful cosolvents are butyl acetate, γ-butyrolactone, propylene glycol methyl ether, and the like, although not limited to those listed below. These co-solvents may be used alone or in combination as with the main solvent described above.
[0124]
As described above, the negative resist composition according to the present invention (second invention) is a component that can directly participate in the reaction for forming a resist pattern.
(1) a base resin comprising an alkali-soluble polymer,
(2) a photoacid generator capable of absorbing and decomposing imaging radiation to generate an acid, and
(3) an alicyclic alcohol having a reaction site capable of performing a dehydration bond reaction with the polymer of the base resin in the presence of an acid generated from the photoacid generator;
In combination.
[0125]
Each reaction component will be described in detail below. Before that, the acid-catalyzed reaction in the resist composition of the present invention will be described in order to facilitate understanding of the present invention.
The alicyclic alcohol has a highly polar group such as an alcoholic hydroxyl group in its molecule. Such substances react with polar groups (such as phenolic hydroxyl groups) of the base resin to be esterified or etherified in the presence of an acid catalyst. Here, considering the case where polyvinylphenol is used as the base resin and 1-adamantanol as the alicyclic alcohol is added thereto, the following reaction is mainly caused by the acid catalyst.
[0126]
Embedded image
Figure 0004648526
[0127]
By this single reaction, both the phenolic hydroxyl group of the base resin and the alcoholic hydroxyl group of the alicyclic alcohol are etherified to change the polarity, and both become insoluble in alkali. In other words, this reaction solves the problem of the resist of the present invention “both resin and additive have high polarity before exposure and both resin and additive have low polarity after exposure”.
[0128]
Further, the route of the acid-catalyzed reaction in the resist composition of the present invention is not limited to the above-described one route, and other reactions can be incidentally generated. Examples thereof include a reaction in which adamantanol is added to a carbon atom located adjacent to the phenolic hydroxyl group of the base resin and a reaction in which adamantanol is condensed. These incidental reactions can also contribute to a decrease in polarity due to the steric hindrance caused by the hydroxyl group becoming an ether or the bulky alicyclic group adjacent to the hydroxyl group.
[0129]
In the resist composition of the present invention, the alicyclic alcohol used as the third reaction component is the first reaction component in the presence of an acid generated from the photoacid generator as the second reaction component. And a base resin (alkali-soluble polymer) having a reactive site capable of performing a dehydration bond reaction. The merit of using the alicyclic alcohol in the present invention becomes clear from the following explanation,
1) Due to the bulky structure, the polarity change when added to an alkali-soluble polymer is large.
2) When used as a resist, high etching resistance is obtained,
Is mentioned.
[0130]
In the practice of the present invention, the alicyclic alcohol may have one alcoholic hydroxyl group as its reaction site, or may have two or more alcoholic hydroxyl groups. Good. When a plurality of alcoholic hydroxyl groups are contained in one molecule, for example, an effect based on crosslinking can be expected in addition to an effect based on a change in polarity.
[0131]
Moreover, in the alicyclic alcohol to be used, it is preferable that an arbitrary linking group is interposed between the alicyclic skeleton and the alcoholic hydroxyl group bonded to the alicyclic skeleton. Suitable linking groups include groups having 1 to 6 atoms, such as linear, branched or cyclic hydrocarbon groups such as alkyl groups. Such alcohols therefore include primary alcohols, secondary alcohols, alcohols that are not sterically fixed, and the like.
[0132]
Furthermore, as the alicyclic alcohol, those having various structures can be used alone or in combination. Basically, the alicyclic alcohol used in the practice of the present invention preferably has a bulky structure. Specifically, useful alicyclic alcohols are monocyclic alcohol compounds having 4 or more carbon atoms, such as alcohol compounds having a cyclohexane structure in the molecule, polycyclic having 6 or more carbon atoms. Alcohol compounds, such as bicyclic alcohol compounds having 6 or more carbon atoms, such as alcohol compounds having a norbornene structure, bicyclo [2.2.2] octane structure in the molecule, or such as 8 or more carbon atoms For example, an alcohol compound having a perhydroanthracene structure, a perhydrophenanthrene structure, or the like in the molecule. In particular, the alicyclic alcohol suitable for the practice of the present invention is an alcohol having an adamantane structure in the molecule, particularly preferably 1-adamantanol or a derivative thereof. 1-adamantanol or a derivative thereof is also useful in that it is readily available commercially.
[0133]
Furthermore, the alicyclic alcohol preferably has a boiling point of at least 130 ° C. If the boiling point of this alcohol is lower than 130 ° C., for example, the compound itself may be scattered by heating in a pre-baking process performed prior to exposure, and the desired effect may not be obtained. is there. In other words, it is recommended that an alicyclic alcohol having a boiling point higher than that is selected in consideration of the heating temperature in the pre-baking step scheduled to be applied in the resist process.
[0134]
The following general formulas are typical examples of alicyclic alcohols that can be advantageously used in the practice of the present invention.
[0135]
Embedded image
Figure 0004648526
[0136]
In addition to such alicyclic alcohols, as a result of the inventors' research, alicyclic alcohols that are most suitable for carrying out the present invention and have the highest effect are stereochemically fixed. It was found to be a tertiary alcohol having the structure described above. This is because it is difficult for the ether bond formed by the reaction between the phenolic hydroxyl group of the base resin and the tertiary alcohol to be decomposed again after being bonded once and to return to the phenolic hydroxyl group as shown below. Therefore, it is considered.
[0137]
Embedded image
Figure 0004648526
[0138]
Here, in order for the ether bond to decompose again to become a phenolic hydroxyl group, it is considered that the alkyl portion needs to change from a pyramid state to a planar state. Even primary alcohols, secondary alcohols, and tertiary alcohols, tert. A non-stereochemically fixed group such as a butyl group can be in a planar state freely. For this reason, it is thought that regeneration of the phenolic hydroxyl group by decomposition occurs competitively and the reaction does not proceed as expected.
[0139]
On the other hand, 1-adamantanol and its derivatives used in the present invention have a structure that is difficult to take a planar state, so that it is very difficult to regenerate phenolic hydroxyl groups by drawing. (See the following formula).
[0140]
Embedded image
Figure 0004648526
[0141]
In the present invention, such substituents are specifically referred to as “stereochemically fixed” substituents or simply “rigid substituents”.
Some examples of 1-adamantanol that can be advantageously used in the present invention are as described above. In addition to this, examples of derivatives of 1-adamantanol that can also be used advantageously include, but are not limited to, those listed below.
[0142]
Embedded image
Figure 0004648526
[0143]
Other alicyclic alcohols that can be advantageously used in the present invention include the following.
[0144]
Embedded image
Figure 0004648526
[0145]
Any of the alicyclic alcohols described above is difficult to have a planar structure, in other words, it is a tertiary alcohol that is stereologically fixed.
In the resist composition of the present invention, the alicyclic alcohol as described above can be used in various amounts depending on the desired effect. The amount of alicyclic alcohol used is preferably in the range of 2 to 60% by weight, more preferably 15 to 40% by weight, based on the total amount of the alkali-soluble polymer used as the base resin. Range. When the amount of the alicyclic alcohol used is less than 2% by weight, even if a reaction occurs, the polarity change is small, and the contrast necessary for the negative resist cannot be obtained. On the other hand, when the amount of the alicyclic alcohol used exceeds 60% by weight, only a large amount of exposure is required to complete the reaction of the substituent, which is uneconomical. In addition, it is not preferable to add a large amount of alicyclic alcohol, because the thermal characteristics of the resist as a whole may deteriorate or troubles such as precipitation may occur during resist coating.
[0146]
In the resist composition of the present invention, a base resin, that is, an alkali-soluble film-forming polymer is used as the first reaction component. Here, the “polymer” is used in a broad sense as described above, and is a two-component copolymer as well as a homopolymer formed from only one type of monomer. Copolymers such as ternary copolymers are also included. If necessary, a polymer that does not react with the alicyclic alcohol may be used as an additional base resin.
[0147]
The film-forming polymer that can be used in the practice of the present invention can basically retain appropriate alkali solubility in a basic aqueous solution used as a developer, and can be used together with an alicyclic alcohol. It may have any structure as long as it can participate in the dehydration bonding reaction. In particular, in consideration of obtaining dry etching resistance equivalent to that of a novolak resist, useful alkali-soluble polymers are not limited to those listed below, but (meth) acrylate-based polymers, phenol-based polymers Polymer (including vinylphenol polymer, vinylbenzoic acid polymer, etc.), N-substituted maleimide polymer, styrene polymer, bicyclo [2.2.1] hept-5-ene-2-carboxylic acid Includes acid polymers. These polymers may be used alone, or two or more polymers may be used in combination. In practicing the present invention, it is recommended to use a (meth) acrylate polymer or a phenol polymer because of its availability.
[0148]
In addition, such an alkali-soluble polymer needs to have an alkali-soluble group in its structure in order to maintain alkali solubility. The alkali-soluble groups that can be introduced here can include various groups that are generally introduced into polymers as base resins in the field of chemically amplified resists, but usually phenolic hydroxyl groups, Carboxylic acid groups, sulfonic acid groups, amide groups, imide groups, acid anhydride groups, thiol groups, lactone acid ester groups, azalactone groups, hydroxyamide groups, oxazone groups, pyronidone groups, hydroxyoxime groups, and the like are more preferable. A phenolic hydroxyl group, a carboxylic acid group, a sulfonic acid, an amide group, a hydroxyamide group, and an imide group.
[0149]
Further, in this alkali-soluble film-forming polymer, the alkali dissolution rate (ADR) derived from the alkali-soluble group is not particularly limited as long as the polymer itself exhibits appropriate alkali solubility. A range of 100 to 10,000 liters / sec as measured with a 38% tetramethylammonium hydroxide aqueous solution is an appropriate range that is considered feasible as a negative resist intended in the present invention. For example, in the case of a copolymer containing a carboxylic acid as an alkali-soluble group in a multicomponent copolymer of two or more components, the proportion of the monomer unit having the carboxylic acid is usually 10 to 90 mol%. It is preferable that it is a range, More preferably, it is the range of 30-70 mol%. If the monomer unit content is less than 10 mol%, the alkali solubility becomes insufficient, and satisfactory patterning cannot be performed. On the contrary, if the monomer unit content exceeds 90 mol%, the alkali solubility becomes too strong, so that the dissolution rate in the basic aqueous solution is too high, and patterning can be performed depending on the polarity change. There is a possibility that it becomes impossible.
[0150]
When one monomer unit of the alkali-soluble polymer contains a phenolic hydroxyl group as an alkali-soluble group, the content of the monomer unit is preferably in the range of 30 to 99 mol%, more preferably , 50 to 95 mol%. If the monomer unit content is less than 30 mol%, the alkali solubility becomes insufficient, and satisfactory patterning cannot be performed. Similarly, even if the monomer unit content exceeds 99 mol%, satisfactory patterning cannot be performed.
[0151]
When the alkali-soluble polymer takes the form of a three-component copolymer, it is preferable to introduce a relatively strong alkali-soluble group represented by carboxylic acid or phenol into the first monomer unit. In addition, a weak alkali-soluble group having, for example, a lactone structure, an acid anhydride, such as a succinic acid anhydride, a glutaric acid anhydride, or an imide ring structure can be introduced into the second monomer unit. In such a case, it becomes easy to adjust the alkali dissolution rate of the base resin to a preferred value by controlling the contents of strong alkali-soluble groups and weak alkali-soluble groups contained in each monomer unit. . Further, as the third monomer unit, one having a functional group having etching resistance can be preferably used. As described above, a resist having higher performance can be realized by appropriately selecting a substituent to be introduced into each monomer unit and effectively using the function of each functional group.
[0152]
Among the alkali-soluble polymers as described above, (meth) acrylate-based polymers, that is, acrylate-based or methacrylate-based polymers (polyacrylate, polymethacrylate, copolymers of acrylic and other monomers, etc.) In the case where a deep ultraviolet light, particularly a light source having a wavelength of 220 nm or less is used as the exposure light source, it is important in that the absorption of light having such a wavelength in the deep ultraviolet region is small. In other words, in the case where deep ultraviolet light is used as the exposure light source, in general, it does not contain an aromatic ring that greatly absorbs light in the deep ultraviolet region or a chromophore having a large molar extinction coefficient such as a conjugated double bond. It is desirable to use a copolymer having a structure.
[0153]
In particular, when an exposure wavelength in an extremely short wavelength region such as an ArF excimer laser is used as a light source, since it is necessary to have dry etching resistance and transparency at the wavelength (193 nm), an adamantyl group having high dry etching resistance and bicyclo [2.2.2] It is recommended to use a (meth) acrylate polymer having an ester group having a polycyclic alicyclic hydrocarbon structure represented by an octane group, a norbornyl group or the like.
[0154]
Further, regarding the combined use of the alicyclic alcohol as the third reaction component, it is well known that the (meth) acrylate polymer has high transparency in the deep ultraviolet region. In the structure and the structure of the alicyclic alcohol used in combination therewith, an appropriate amount of a photoacid generator (second reaction component) can be obtained by appropriately selecting a structure that does not contain a chromophore having a large molar extinction coefficient near the exposure wavelength. In combination, it is possible to provide a highly sensitive resist composition that can advantageously cope with exposure using deep ultraviolet rays.
[0155]
As the phenolic polymer, for example, polyvinylphenol, a phenol-novolak copolymer, a cresol-novolac copolymer, and the like can be used particularly advantageously. Also, a copolymer of a monomer having a phenolic hydroxyl group and another monomer can be used. Furthermore, for adjusting the solubility, a resin obtained by etherifying a part of the phenolic hydroxyl group can also be used.
[0156]
In addition to phenolic polymers, if the polymer used as the base resin has a carboxyl group, an esterification reaction can occur with the alcoholic hydroxyl group of the alicyclic alcohol to be added. So the desired polarity change can be achieved (see the following equation).
-COOH + HO-R → -COO-R
Although the molecular weight (weight average molecular weight, Mw) of the alkali-soluble polymer as described above can be changed in a wide range depending on the structure of the polymer, it is usually preferably 2,000 to 1,000,000. More preferably, it is in the range of 3,000 to 50,000.
[0157]
The alkali-soluble polymer used as the base resin in the present invention can be prepared using a polymerization method generally used in the field of polymer chemistry. For example, in the case of a (meth) acrylate polymer, it can be advantageously prepared through free radical polymerization by heating a predetermined monomer required for its preparation in the presence of a free radical initiator. Examples of free radical initiators include 2,2′-azobisisobutyronitrile (AIBN) and dimethyl-2,2-azoisobisbutyrate (MAIB). Similarly, film-forming polymers other than (meth) acrylate polymers can be advantageously prepared according to conventional polymerization methods.
[0158]
The photoacid generator (PAG) used as the third reaction component in the resist composition of the present invention is a photoacid generator generally known and used in resist chemistry. The details of the photoacid generator suitable for use in the practice of the present invention are not particularly limited, but reference is made to the previous description with specific examples.
[0159]
In the resist composition of the present invention, like the resist composition of the first invention described above, the resist composition has a specific transmittance at the exposure wavelength, that is, the resist composition is applied to a quartz substrate. When a 1 μm-thick resist film is formed on the substrate, the absorbance at the wavelength (180 to 300 nm) of the exposure light source in the deep ultraviolet region is 1.75 μm.-1Since it is preferable that the transmittance is obtained, it is desirable to consider the structures of the alkali-soluble polymer and the photoacid generator and the amount of the photoacid generator used so that such transmittance can be obtained. Of course, when an electron beam is used as the exposure light source, the problem of transparency can be avoided, so that it is not necessary to pay particular attention to the amount of photoacid generator used.
[0160]
The resist composition of the present invention is usually prepared by dissolving the above-mentioned three kinds of reaction components, that is, an alkali-soluble polymer, a photoacid generator, an alicyclic alcohol, and other optional additives in a suitable organic solvent. Thus, it can be advantageously used in the form of a resist solution. Details of the organic solvent useful for preparing the resist solution are as described above.
[0161]
In another aspect, the present invention also provides a method of forming a resist pattern, particularly a negative resist pattern, on a substrate to be processed using the resist composition of the present invention as described above. As described above, the negative resist pattern forming method according to the present invention includes the following steps:
Apply the resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing degradation of the photoacid generator of the resist composition; and
Developing the exposed resist film with a basic aqueous solution;
It is characterized by comprising. Needless to say, the resist composition used in the method of the present invention includes various negative resist compositions as described above from various aspects.
[0162]
In the method for forming a resist pattern according to the present invention, the resist film formed on the substrate to be processed is preferably subjected to heat treatment (so-called baking) before and after selectively exposing it to imaging radiation. That is, in the method of the present invention, the resist film is pre-baked before the exposure, and after the exposure and before the development, the heat treatment described previously as the post-exposure bake (PEB) can be performed. . In addition, these heat processing can be implemented advantageously according to a conventional method.
[0163]
The method for forming a negative resist pattern of the present invention can usually be advantageously carried out as follows.
First, the resist composition of the present invention is applied on a substrate to be processed to form a resist film. The substrate to be processed can be a substrate that is usually used in the manufacture of semiconductor devices and other devices. Some examples include a silicon substrate, a glass substrate, a nonmagnetic ceramic substrate, a compound semiconductor substrate, and alumina. And an insulating crystal substrate. Further, on these substrates, an additional layer, for example, a silicon oxide layer, a wiring metal layer, an interlayer insulating film, a magnetic film, or the like may be present as necessary. A circuit or the like may be built in. Further, these substrates may be subjected to a hydrophobic treatment according to a conventional method in order to enhance the adhesion of the resist film thereto. Examples of suitable hydrophobizing agents include 1,1,1,3,3,3-hexamethyldisilazane (HMDS).
[0164]
As described above, the resist composition is generally applied as a resist solution onto a substrate to be processed. Application of the resist solution can be performed according to conventional techniques such as spin coating, roll coating, dip coating, etc., but spin coating is particularly useful. Although the thickness of the resist film is not particularly limited, it is usually preferably in the range of about 0.1 to 200 μm. For example, an excimer laser such as KrF or ArF is used as an exposure light source. In some cases, a range of about 0.1 to 1.5 μm is recommended. Needless to say, the thickness of the resist film to be formed can be widely changed depending on factors such as the usage of the resist film.
[0165]
The resist film coated on the substrate is preferably pre-baked at a temperature of about 60-180 ° C. for about 30-120 seconds before it is selectively exposed to imaging radiation. This pre-baking can be performed using a heating means commonly used in a resist process. Examples of suitable heating means include a hot plate and an infrared heating oven.
[0166]
Next, the pre-baked resist film is selectively exposed to imaging radiation with a conventional exposure apparatus. Suitable exposure apparatuses are commercially available ultraviolet (far ultraviolet, deep ultraviolet) exposure apparatuses, X-ray exposure apparatuses, electron beam exposure apparatuses, excimer steppers, and the like. Appropriate conditions can be selected for each exposure condition. In particular, in the present invention, as described above, an excimer laser (such as a KrF laser having a wavelength of 248 nm and an ArF laser having a wavelength of 193 nm) can be advantageously used as an exposure light source. In addition, in the present specification, as described above, when the word “radiation” is used, light from these various light sources, that is, ultraviolet rays, far ultraviolet rays, deep ultraviolet rays, electron beams (EB). , X-rays, laser light and the like. As a result of this selective exposure, the film-forming polymer contained in the exposed region of the resist film absorbs radiation according to the mechanism described above and is decomposed to generate an acid.
[0167]
Next, the exposed resist film is post-exposure baked (PEB) to cause an alkali-soluble group protection reaction using an acid as a catalyst. The conditions for the post-exposure baking are not particularly limited as long as the desired protective reaction is caused and the reaction proceeds sufficiently. For example, the post-exposure baking can be performed under the same conditions as the previous pre-baking. For example, the baking temperature of the post-exposure baking is about 60 to 180 ° C., preferably about 100 to 150 ° C., and the baking time is about 30 to 120 seconds. Such post-exposure baking conditions are preferably adjusted according to the desired pattern size, shape, and the like.
[0168]
After completion of post-exposure baking, the exposed resist film is developed with a basic aqueous solution as a developer. For this development, a conventional developing device such as a spin developer, a dip developer, or a spray developer can be used. Here, the basic aqueous solution that can be advantageously used as a developing solution is an aqueous solution of a hydroxide of a metal belonging to Group I or Group II of the periodic table represented by potassium hydroxide or the like, or a tetraalkyl hydroxide. It is an aqueous solution of an organic base that does not contain metal ions such as ammonium. The basic aqueous solution is more preferably an aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), or the like. Further, the basic aqueous solution may contain an additive such as a surfactant in order to improve the developing effect. As a result of the development, the unexposed areas of the resist film are dissolved and removed, and only the exposed areas remain on the substrate as a resist pattern. That is, according to the method of the present invention, a negative fine resist pattern can be obtained. Of particular importance, the resist pattern that can be used in accordance with the present invention can be advantageously used to form a wiring pattern having a narrow line width of 0.15 μm or less.
[0169]
The present invention also resides in a method for producing an electronic device using the negative resist composition of the present invention and an electronic device produced thereby. Here, the electronic device means a wide range of electronic equipment including a semiconductor device and a magnetic recording head, and is not limited to equipment having a specific structure. Further, the negative resist composition used here includes various negative resist compositions (according to the present invention) described above from various aspects, without further explanation.
[0170]
The method for producing an electronic device of the present invention uses a resist pattern derived from the negative resist composition of the present invention as a masking means, and selectively removes the underlying substrate or thin film to provide a predetermined functionality. The method includes a step of forming an element layer. For selective removal of the substrate to be processed, an etching method is preferably used.
[0171]
Here, the underlying substrate or thin film that is selectively removed by etching is also collectively referred to as a “substrate to be processed” as mentioned earlier in the resist pattern formation. That is, the substrate to be processed means all substrates or thin films that are subjected to etching in the manufacture of electronic devices such as semiconductor devices and magnetic recording heads. Examples of suitable substrates to be processed are not limited to those listed below, but semiconductor substrates such as silicon substrates GaAs substrates, compound semiconductors, alumina (Al2OThreeIn addition to insulating crystal substrates such as), there are various thin films as follows.
[0172]
PSG, TEOS, SiON, TiN, amorphous carbon, metal silicide such as Al-Si, Al-Si-Cu, WSi, polysilicon (Poly-Si), amorphous silicon, SiO2, GaAs, TiW, etc.
Furthermore, a (giant) magnetoresistive effect film containing Cu, Co, FeMn, NiFe, LaSrMnO or the like is also included in the category of the substrate to be processed.
[0173]
Further, according to the method for manufacturing an electronic device of the present invention, the substrate to be processed remains in a patterned layer state, and such a patterned layer has a predetermined effect in the electronic device including the patterned layer. Therefore, in this specification, it is particularly referred to as a “functional element layer”.
The method for producing an electronic device according to the present invention preferably comprises the following steps:
Apply the negative resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and selectively removing the substrate to be processed by etching to form a predetermined functional element layer;
Can be implemented according to
[0174]
As described above, the imaging radiation used in the resist film exposure process means all light sources used in the resist process in the manufacture of semiconductor devices and the like, specifically, g-line and i-line. Mercury lamps such as KrF and ArF, excimer lasers, electron beams, and X-rays.
Further, according to the present invention, the resist pattern derived from the negative resist composition of the present invention is used as a masking means, and is patterned by selectively removing the substrate to be processed. There is also provided an electronic device characterized in that the layer (functional element layer) is provided at an arbitrary position.
[0175]
Subsequently, the electronic device and the manufacturing method thereof according to the present invention will be described by taking a semiconductor device and a magnetic recording head as examples.
The method for manufacturing a semiconductor device according to the present invention preferably comprises the following steps:
Apply the resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, removing the substrate to be processed underneath by etching,
Can be implemented according to
[0176]
In this semiconductor device manufacturing method, the resist film forming step, the selective exposure step using radiation, and the resist pattern forming step can be advantageously performed according to the resist pattern forming method of the present invention described above. it can.
The subsequent resist pattern etching process can be performed by wet etching or dry etching according to conventional techniques. However, in view of further progress in miniaturization and pollution-free in recent years, it may be performed by dry etching. It is advantageous. As is well known, dry etching is for etching a substrate to be processed in a gas phase, and suitable dry etching is, for example, plasma etching, for example, reactive ion etching (RIE), reactive ion beam etching ( RIBE), ion beam etching and the like. These dry etchings can be performed under predetermined conditions using a commercially available etching apparatus.
[0177]
Although the resist pattern formed by the method of the present invention can be advantageously used as a masking means for selectively etching away the substrate to be processed as described above, the resist pattern has characteristics such as characteristics. Can be used as one functional element layer of the semiconductor device, for example, as an insulating film itself.
[0178]
Here, “semiconductor device”, when used in this specification, refers to a general semiconductor device and is not particularly limited. A typical semiconductor device is a general semiconductor integrated circuit such as an IC, LSI, VLSI, or other related device, as is generally recognized in this technical field.
More specifically, according to the present invention, a MOS transistor which is a typical example of a semiconductor device can be manufactured as follows, for example.
[0179]
First, on a silicon substrate, a gate oxide film, a polysilicon film, and a WSi film necessary for the transistor configuration are sequentially formed as thin films. For forming these thin films, conventional thin film forming methods such as thermal oxidation and chemical vapor deposition (CVD) can be used.
Next, the resist composition of the present invention is applied on the WSi film to form a resist film having a predetermined thickness. The resist film is selectively exposed to radiation suitable for patterning, and further developed with a basic aqueous solution in order to dissolve and remove the exposed portion. More specifically, the series of steps so far can be performed as described above with respect to the formation of the resist pattern.
[0180]
In order to form the gate electrode structure, the underlying WSi film and the underlying polysilicon film are simultaneously dry-etched using the resist pattern formed as described above as a mask. Then, after forming a gate electrode made of a polysilicon film and a WSi film in this way, phosphorus is implanted by ion implantation to form an ND LDD structure.-A diffusion layer is formed.
[0181]
Subsequently, after peeling off and removing the resist pattern used in the previous step from the gate electrode, an oxide film is formed on the entire surface of the substrate by CVD, and the formed CVD oxide film is further anisotropically etched. Then, side walls are formed on the side walls of the gate electrode made of the polysilicon film and the WSi film. Subsequently, ion implantation is performed using the WSi film and the sidewalls as a mask.+A diffusion layer is formed and the gate electrode is covered with a thermal oxide film.
[0182]
Finally, an interlayer insulating film is entirely formed on the uppermost layer of the substrate by CVD, and the resist composition of the present invention is applied again and selectively etched to form a hole pattern (resist pattern) in the wiring formation portion To do. Further, using the resist pattern as a mask, the underlying interlayer insulating film is etched to form contact holes. Next, an aluminum (Al) wiring is embedded in the formed contact hole. In this way, an N-channel fine MOS transistor is completed.
[0183]
In addition to the semiconductor device as described above, the present invention includes a magnetic recording head as one form of an electronic device. That is, by performing a resist process using the negative resist composition of the present invention, a high performance thin film magnetic recording head can be provided. The magnetic recording head can be advantageously used in a magnetic recording / reproducing apparatus such as a magnetic disk device or a magnetic tape device.
[0184]
The method for manufacturing a magnetic recording head according to the present invention preferably comprises the following steps:
Apply the resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and removing the substrate to be processed by etching to form a functional element layer;
Can be implemented according to
[0185]
The magnetic recording head will be described. As a magnetic recording / reproducing apparatus such as a magnetic disk apparatus has recently been reduced in size and increased in recording density, a change in a signal magnetic field from a magnetic recording medium can be used as a reproducing head of such an apparatus. A head (so-called MR head) using a magnetoresistive effect capable of converting the above into a change in electric resistivity is widely used. Among MR heads, GMR heads that can obtain high output without depending on the moving speed of the magnetic recording medium have attracted attention. In particular, a spin valve head using the spin valve magnetoresistive effect can be manufactured relatively easily, and the rate of change of electric resistance in a low magnetic field is large compared to other MR heads, so that it is already in practical use. It has become. The negative resist composition of the present invention can be advantageously used to finely pattern the functional elements constituting the head with a thin film in the production of these various thin film magnetic heads.
[0186]
Also, as is well known, a spin valve head is usually electrically connected to a magnetoresistive film (spin valve film) and a spin valve film to define a signal detection region and detect this signal. A pair of electrodes for supplying a signal detection current to the region and a pair of longitudinal bias magnetic field application layers for applying a longitudinal bias magnetic field to the spin valve film are provided. The longitudinal bias magnetic field application layer is usually formed of a hard magnetic thin film such as CoPt or CoPtCr. In this way, by arranging the longitudinal bias magnetic field application layer made of a hard magnetic thin film at a portion other than the magnetic sensing portion (signal detection region) of the spin valve head so that it is located on both sides or above the spin valve film. Thus, Barkhausen noise caused by the domain wall motion of the free magnetic layer of the spin valve film can be suppressed, and a stable reproduction waveform free from noise can be obtained.
[0187]
Further, the spin valve film usually has a configuration in which a free magnetic layer, a nonmagnetic intermediate layer, a pinned magnetic layer, and an ordered antiferromagnetic layer are sequentially laminated on an underlayer. By adopting such a layer configuration, the electrical resistance can be set as desired by adjusting the angle formed by the magnetization directions of the two magnetic layers (free magnetic layer and pinned magnetic layer) laminated via the nonmagnetic intermediate layer. Can be changed.
[0188]
More specifically, the spin valve film is usually formed on an AlTiC substrate, that is, a substrate in which an alumina film is formed on the surface of a TiC substrate. A Ta film or the like is used for the lowermost underlayer. This is because the Ta film has an effect of imparting good crystallinity to the free magnetic layer. The Ta film and other underlayers can usually be formed using a conventional film forming method such as a sputtering method, a vapor deposition method, or a chemical vapor deposition method (CVD method).
[0189]
The free magnetic layer can be formed of any soft magnetic material. For example, a CoFe alloy generally used for forming the free magnetic layer may be used. Although not limited to this, it preferably has a face-centered cubic lattice structure (CoyFe100-y)100-xZxA free magnetic layer is formed from an alloy (wherein Z represents an arbitrary element other than Co and Fe, preferably boron B or carbon C, and x and y each represents an atomic fraction at%). Is preferred. This is because a head with high output, high magnetic field sensitivity, and heat resistance can be provided. The free magnetic layer is preferably formed in a two-layer structure from the viewpoint of the characteristics to be obtained, rather than a single layer. The free magnetic layer can also be usually formed using a conventional film forming method such as a sputtering method.
[0190]
The spin valve film preferably employs a structure in which a nonmagnetic intermediate layer is sandwiched between a free magnetic layer and a pinned magnetic layer described later. As the nonmagnetic intermediate layer, a nonmagnetic metal material such as copper (Cu) is usually used. The Cu intermediate layer can also be formed using a conventional film forming method such as a sputtering method.
The pinned magnetic layer can be formed of any soft magnetic material as in the case of the free magnetic layer. That is, a CoFe alloy may be used to form a pinned magnetic layer, but preferably has a face-centered cubic lattice structure (CoyFe100-y)100-xZxA pinned magnetic layer is formed from an alloy (wherein Z represents any element other than Co and Fe, preferably boron B or carbon C, and x and y each represent an atomic fraction at%). Is preferred. This is because a head with high output, high magnetic field sensitivity, and heat resistance can be provided. The pinned magnetic layer can also be usually formed using a conventional film forming method such as a sputtering method.
[0191]
An ordered antiferromagnetic layer is formed on the pinned magnetic layer. This antiferromagnetic layer can usually be formed of a FeMn film, a NiMn film, a PtMn film, a PdMn film, a PdPtMn film, a CrMn film, an IrMn film, or the like. This antiferromagnetic layer can also be formed using a conventional film forming method such as a sputtering method, in the same manner as the above layer.
[0192]
In addition, the spin valve film usually has a cap layer as its uppermost layer. The cap layer can be formed from, for example, a Ta film. The cap layer can also be formed using a conventional film forming method, as with each of the layers described above.
Spin valve heads can be manufactured according to various conventional techniques. In particular, in the present invention, a resist process using the negative resist composition of the present invention is incorporated at any stage during the manufacture of the head, and the functional element layer as described above is accurately and finely formed in a desired pattern. Can be formed. Below, an example of the manufacturing method of a spin valve head is shown.
[0193]
First, Ta is deposited on an AlTiC substrate by a sputtering method to form a Ta underlayer. Next, the following layers are sequentially formed on the Ta underlayer other than the magnetic sensing portion of the signal detection region by using a technique such as a lift-off method or an ion milling method through an electrode made of Au or the like.
Underlayer (Ta / NiFe alloy film, NiFe alloy: NiFe, NiFeCr, NiFeNb, NiFeMo, etc.),
Longitudinal bias magnetic field application layer (film of antiferromagnetic material such as PtMn, PdPtMn, NiMn, CrMn, CrPtMn),
Underlayer (NiFe alloy film).
[0194]
Next, the outermost surface of the Ta-based underlayer and NiFe-based underlayer are cleaned to such an extent that contaminants (so-called contamination layers) existing on the surface are completely removed by using a technique such as sputter etching or ion milling. To do.
After completion of the cleaning process, a free magnetic layer, a nonmagnetic intermediate layer, a pinned magnetic layer, and an ordered antiferromagnetic layer are sequentially formed to complete a spin valve film. Each layer is formed by sputtering, vapor deposition, CVD, or the like.
Further, in order to obtain a spin valve film in a desired pattern, a spin valve film is formed on the entire longitudinal bias magnetic field application layer, and then a predetermined pattern using the negative resist composition of the present invention is used. A resist film is formed, and the spin valve film in a region other than the desired region is removed by ion milling or the like.
[0195]
After the formation of the spin valve film, a pair of electrodes is formed on the spin valve film at a portion other than the magnetic sensing portion of the signal detection region. The electrode can be preferably formed by lifting off the Au film. Further, the electrode material is not limited to Au, and other conventional electrode materials may be used as necessary.
[0196]
【Example】
  The present invention will now be described with reference to examples relating to the preparation of resist compositions, the formation of resist patterns, and the manufacture of electronic devices such as semiconductor devices and thin film magnetic recording heads. It should be understood that the scope of the present invention is not limited by the following examples.However, Examples 1 to 7 and Examples 16 to 39 should be read as reference examples.
[0197]
Next, in order to evaluate the dry etching resistance of this resist, a silicon substrate coated with a resist having a film thickness of 1 μm is housed in a parallel plate RIE apparatus in the same manner as described above, Pμ = 200 W, pressure = 0.02 Torr, CFFourCF for 5 minutes under the condition of gas = 100 sccmFourSputter etching was performed. As shown in the following table, it was confirmed that the etching rate was 689 Å / min.
[0198]
For comparison, dry etching resistance was evaluated in the same manner as described above for the Nagase positive resist NPR-820 (manufactured by Nagase Sangyo Co., Ltd.) and polymethyl methacrylate (PMMA), which are commercially available novolak resists, and the following results were obtained. was gotten.
Figure 0004648526
As understood from the above results, the dry etching resistance of the resist composition according to the present invention is close to that of the novolak resist, and is far superior to PMMA.
Example 2
Although the method described in Example 1 was repeated, in this example, an ArF excimer laser exposure apparatus (NA = 0.55) was used as the exposure apparatus instead of the KrF excimer laser stepper. In this example, 6.2 mJ / cm2A 0.20 μmL / S pattern could be resolved with an exposure amount of. The other characteristics of the obtained negative resist pattern were satisfactory as compared with the characteristics of Example 1.
Example 3
The method described in Example 1 was repeated. In this example, an electron beam exposure apparatus (output 50 kV) was used as the exposure apparatus instead of the KrF excimer laser stepper. In this example, 10 μC / cm2A 0.15 μmL / S pattern could be resolved with an exposure amount of. The other characteristics of the obtained negative resist pattern were satisfactory as compared with the characteristics of Example 1.
Example 4
3-hydroxy-adamantyl methacrylate / γ-butyrolactone-2-yl methacrylate / methacrylic acid copolymer (composition ratio 6: 1: 3) was dissolved in PGMEA to give a 15% by weight solution. The copolymer solution also contained 20% by weight of 1-adamantanol (as an alcohol structure-containing compound) and 10% by weight of γ-butyrolactone (as an auxiliary solvent) based on the copolymer. To the obtained solution, diphenyliodonium trifluoromethanesulfonate in an amount of 2% by weight based on the copolymer was added and sufficiently dissolved. The resulting resist solution was added to 0.2 μm Teflon.TMAfter filtering with a membrane filter, it was spin-coated at 2,000 rpm on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds.
A resist film having a thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), post-exposure baked (PEB) at 130 ° C. for 60 seconds, and developed with a 2.38% TMAH aqueous solution. Rinse for 60 seconds. The resolution of the obtained negative resist pattern was measured to find 3.4 mJ / cm.2It was confirmed that a 0.18 μmL / S pattern could be resolved with an exposure amount of. Further, no swelling was observed in this resist pattern.
[0199]
Next, when the dry etching resistance of this resist was evaluated according to the method described in Example 1, it was confirmed that the etching rate was 678 Å / min as shown in the following table. In the table below, the etching rates of Nagase positive resist NPR-820 and PMMA are also shown.
Figure 0004648526
As understood from the above results, the dry etching resistance of the resist composition according to the present invention is close to that of the novolak resist, and is far superior to PMMA.
Example 5
3-hydroxy-adamantyl methacrylate / γ-butyrolactone-2-yl methacrylate / methacrylic acid copolymer (composition ratio 6: 1: 3) was dissolved in PGMEA to give a 15% by weight solution. The copolymer solution contains 20% by weight of 3-hydroxybicyclo [2.2.2] octane (as an alcohol structure-containing compound) and 10% by weight of γ-butyrolactone (cosolvent) based on the copolymer. As). To the obtained solution, diphenyliodonium trifluoromethanesulfonate in an amount of 2% by weight based on the copolymer was added and sufficiently dissolved. The resulting resist solution was added to 0.2 μm Teflon.TMAfter filtering with a membrane filter, it was spin-coated at 2,000 rpm on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds. A resist film having a thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), post-exposure baked (PEB) at 120 ° C. for 60 seconds, and developed with a 2.38% TMAH aqueous solution. Rinse for 60 seconds. When the resolution of the obtained negative resist pattern was measured, it was 4.0 mJ / cm.2It was confirmed that a 0.18 μmL / S pattern could be resolved with an exposure amount of. Further, no swelling was observed in this resist pattern.
Example 6
Although the method described in Example 5 was repeated, in this example, an electron beam exposure apparatus (output 50 kV) was used as the exposure apparatus instead of the ArF excimer exposure apparatus. In this example, 8 μC / cm2A 0.15 μmL / S pattern could be resolved with an exposure amount of.
Also, even this resist pattern did not show any swelling.
Example 7
3-hydroxy-adamantyl methacrylate / γ-butyrolactone-2-yl methacrylate / methacrylic acid copolymer (composition ratio 6: 1: 3) was dissolved in PGMEA to give a 15% by weight solution. The copolymer solution also contains 15% by weight of 2,6-dimethyl-2-heptanol (as an alcohol structure-containing compound) and 10% by weight of γ-butyrolactone (as an auxiliary solvent) based on the copolymer. Included. To the obtained solution, diphenyliodonium trifluoromethanesulfonate in an amount of 2% by weight based on the copolymer was added and sufficiently dissolved. The resulting resist solution was added to 0.2 μm Teflon.TMAfter filtering with a membrane filter, it was spin-coated at 2,000 rpm on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds. A resist film having a thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), post-exposure baked (PEB) at 110 ° C. for 60 seconds, and developed with a 2.38% TMAH aqueous solution. Rinse for 60 seconds. When the resolution of the obtained negative resist pattern was measured, it was 5.2 mJ / cm.2It was confirmed that a 0.20 μmL / S pattern could be resolved with an exposure amount of. Further, no swelling was observed in this resist pattern.
Example 8
3-hydroxy-adamantyl methacrylate and 4-acetoxystyrene were charged at a charge ratio of 1: 9 and polymerized, and then this was further treated with an alkaline solution to solvolyze the acetyl group. The obtained 3-hydroxy-adamantyl methacrylate / vinylphenol copolymer (composition ratio 1: 9) was dissolved in PGMEA to give a 15 wt% solution. To the obtained solution, 5% by weight of triphenylsulfonium trifluoromethanesulfonate was added and sufficiently dissolved. The resulting resist solution was added to 0.2 μm Teflon.TMAfter filtering with a membrane filter, it was spin-coated at 2,000 rpm on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds. A resist film having a thickness of 0.5 μm was obtained. The resist film was exposed with a KrF excimer laser stepper (NA = 0.45), post-exposure baked (PEB) at 120 ° C. for 60 seconds, developed with 2.38% aqueous TMAH solution, and deionized water. Rinse for 60 seconds. The resolution of the obtained negative resist pattern was measured and found to be 6.8 mJ / cm.2It was confirmed that the 0.25 μmL / S pattern could be resolved with the exposure amount of. Further, no swelling was observed in this resist pattern.
[0200]
Next, when the dry etching resistance of this resist was evaluated according to the method described in Example 1, it was confirmed that the etching rate was 620 kg / min as shown in the following table. In the table below, the etching rates of Nagase positive resist NPR-820 and PMMA are also shown.
Figure 0004648526
As can be understood from the above results, the dry etching resistance of the resist composition according to the present invention is very close to that of the novolak resist, and is far superior to PMMA.
Example 9
Although the method described in Example 8 was repeated, in this example, an electron beam exposure apparatus (output 50 kV) was used as the exposure apparatus instead of the KrF excimer laser stepper. In this example, 8 μC / cm2A 0.12 μmL / S pattern could be resolved with an exposure amount of. The other characteristics of the obtained negative resist pattern were satisfactory as compared with the characteristics of Example 8.
Example 10
A 3-hydroxy-adamantyl methacrylate / vinylphenol copolymer (composition ratio 1: 9) was dissolved in PGMEA to give a 15 wt% solution. In addition, 20 weight% 1-adamantanol (as an alcohol structure containing compound) was also contained in this copolymer solution with respect to the copolymer. To the obtained solution, 5% by weight of triphenylsulfonium trifluoromethanesulfonate was added and sufficiently dissolved. The resulting resist solution was added to 0.2 μm Teflon.TMAfter filtering with a membrane filter, it was spin-coated at 2,000 rpm on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds. A resist film having a thickness of 0.5 μm was obtained. The resist film was exposed with a KrF excimer laser stepper (NA = 0.45), post-exposure baked (PEB) at 110 ° C. for 60 seconds, developed with 2.38% aqueous TMAH solution, and deionized water. Rinse for 60 seconds. The resolution of the obtained negative resist pattern was measured and found to be 6.4 mJ / cm.2It was confirmed that the 0.25 μmL / S pattern could be resolved with the exposure amount of. Further, no swelling was observed in this resist pattern.
[0201]
Next, when the dry etching resistance of this resist was evaluated according to the method described in Example 1, it was confirmed that the etching rate was 599 L / min as shown in the following table. In the table below, the etching rates of Nagase positive resist NPR-820 and PMMA are also shown.
Figure 0004648526
As understood from the above results, the dry etching resistance of the resist composition according to the present invention is comparable to that of the novolak resist, and is far superior to PMMA.
Example 11
The procedure described in Example 8 was repeated. In this example, when preparing the copolymer solution, 20% by weight of 3-hydroxybicyclo [2.2.2] octane (alcohol structure) was used based on the copolymer. As a compound containing). After exposure using a KrF excimer laser stepper, post exposure bake (PEB) was performed at 110 ° C. for 60 seconds. The resolution of the obtained negative resist pattern was measured and found to be 7.2 mJ / cm.2It was confirmed that the 0.25 μmL / S pattern could be resolved with the exposure amount of. The other characteristics of the obtained negative resist pattern were satisfactory as compared with the characteristics of Example 8.
Example 12
Although the method described in Example 10 was repeated, in this example, an electron beam exposure apparatus (output 50 kV) was used instead of the KrF excimer laser stepper as the exposure apparatus, and post exposure baking was performed at 120 ° C. for 60 seconds. (PEB) was performed. In this example, 7 μC / cm2A 0.11 μmL / S pattern could be resolved with an exposure amount of. The other characteristics of the obtained negative resist pattern were satisfactory as compared with the characteristics of Example 10.
Example 13
Although the method described in Example 11 was repeated, in this example, an electron beam exposure apparatus (output 50 kV) was used instead of the KrF excimer laser stepper as an exposure apparatus, and post-exposure baking was performed at 120 ° C. for 60 seconds. (PEB) was performed. In this example, 8 μC / cm2A 0.12 μmL / S pattern could be resolved with an exposure amount of. The other characteristics of the obtained negative resist pattern were satisfactory and comparable to those of Example 11.
Example 14
A vinyl benzoate / 3-hydroxy-adamantyl methacrylate copolymer (composition ratio 3: 7) was dissolved in PGMEA to give a 15 wt% solution. The copolymer solution also contained 20% by weight of 1-adamantanol (as an alcohol structure-containing compound) and 10% by weight of γ-butyrolactone (as an auxiliary solvent) based on the copolymer. To the obtained solution, 2% by weight of triphenylsulfonium trifluoromethanesulfonate was added and sufficiently dissolved. The resulting resist solution was added to 0.2 μm Teflon.TMAfter filtering with a membrane filter, it was spin-coated at 2,000 rpm on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds. A resist film having a thickness of 0.5 μm was obtained. The resist film was exposed with a KrF excimer laser stepper (NA = 0.45), post-exposure baked (PEB) at 130 ° C. for 60 seconds, developed with 2.38% aqueous TMAH solution, and deionized water. Rinse for 60 seconds. When the resolution of the obtained negative resist pattern was measured, it was 17.5 mJ / cm.2It was confirmed that a 0.28 μmL / S pattern could be resolved with an exposure amount of. Further, no swelling was observed in this resist pattern.
Example 15
Although the method described in Example 14 was repeated, in this example, an electron beam exposure apparatus (output 50 kV) was used instead of the KrF excimer laser stepper as the exposure apparatus, and post exposure baking was performed at 120 ° C. for 60 seconds. (PEB) was performed. In this example, 10 μC / cm2A 0.12 μmL / S pattern could be resolved with an exposure amount of. Also, even this resist pattern did not show any swelling.
Example 16
The following substances were prepared as resist components.
Base resin 1
Polyvinylphenol (weight average molecular weight 12,000, dispersity 2.0)
Additive 1 (as alicyclic alcohol)
1-adamantanol
[0202]
Embedded image
Figure 0004648526
[0203]
PAG1 (as a photoacid generator)
Triphenylsulfonium trifluoromethanesulfonate
[0204]
Embedded image
Figure 0004648526
[0205]
A resist solution was prepared by dissolving base resin 1, additive 1 and PAG1 in ethyl lactate at a weight ratio of 10: 2: 1. The resulting resist solution was added to 0.2 μm Teflon.TMAfter filtering with a membrane filter, it was spin-coated at 2000 rpm on a silicon substrate subjected to HMDS treatment and pre-baked at 110 ° C. for 2 minutes. A resist film having a thickness of 0.8 μm was obtained. This resist film is exposed to the following three types of exposure apparatuses:
i-line exposure system (wavelength 365nm)
KrF excimer laser stepper (NA = 0.45, wavelength 248 nm)
Electron beam exposure device (output 50kV)
Pattern exposure. The exposure pattern was 0.4 μm line and space (L / S) for i-line, 0.25 μmL / S for KrF laser, and 0.25 L / S for electron beam. Subsequently, it was post-exposure baked (PEB) at 120 ° C. for 2 minutes, developed with 2.38% aqueous tetramethylammonium hydroxide (TMAH) for 30 seconds, and rinsed with deionized water for 60 seconds. When the resolution of the obtained negative resist pattern was evaluated, the following results were obtained.
[0206]
i-line: Exposure = 22 mJ / cm2, Resolution = ◎
KrF laser: Exposure = 16 mJ / cm2, Resolution = ◎
Electron beam: Exposure amount = 7μC / cm2, Resolution = ◎
Note that the resolution was evaluated in four stages according to the following criteria.
[0207]
A: The cross-sectional shape is rectangular. The difference between the pattern top dimension and the pattern bottom dimension is less than 1% of the exposure pattern dimension.
○: The cross-sectional shape is almost rectangular. The difference between the dimension of the pattern top and the dimension of the pattern bottom is within 1 to 5% of the dimension of the exposure pattern.
Δ: The cross-sectional shape is slightly tapered. Pattern top dimension and pattern bottom dimension
[0208]
The difference in the method is larger than 5% of the dimension of the exposure pattern and within 10%.
X: The cross-sectional shape is tapered. The difference between the dimension of the pattern top and the dimension of the pattern bottom is larger than 10% of the dimension of the exposure pattern.
The above evaluation results are also shown in Table 1 below for comparison with other resist compositions.
[0209]
Next, in order to evaluate the dry etching resistance of this resist, a silicon substrate coated with a resist having a film thickness of 1 μm is housed in a parallel plate RIE apparatus in the same manner as described above, Pμ = 200 W, pressure = 0.02 Torr, CFFourCF for 5 minutes under the condition of gas = 100 sccmFourSputter etching was performed. The etching rate was 689 Å / min, and it was confirmed that the etching resistance was excellent.
Examples 17-39
The procedure described in Example 16 was repeated, but in this example, the base resin, additive (alicyclic alcohol) and PAG (photoacid generator) were changed as described in Table 1 below. did. The components used in this example are as follows.
Base resin 2
Methacrylate / methyl methacrylate copolymer (copolymerization ratio 35:65,
Weight average molecular weight 10,000, dispersity 2.3)
Additive 2 (as alicyclic alcohol)
[0210]
Embedded image
Figure 0004648526
[0211]
Additive 3 (as alicyclic alcohol)
[0212]
Embedded image
Figure 0004648526
[0213]
Additive 4 (as alicyclic alcohol)
[0214]
Embedded image
Figure 0004648526
[0215]
PAG2 (as photoacid generator)
[0216]
Embedded image
Figure 0004648526
[0217]
PAG3 (as a photoacid generator)
[0218]
Embedded image
Figure 0004648526
[0219]
Table 1 below summarizes the resist compositions and evaluation results in each example.
Comparative Examples 1 to 4
Although the method described in Example 16 was repeated, in this example, for comparison, as described in Table 1 below, three types of commercially available negative melamine resists (details of composition are unknown) and comparison A pinacol-based resist prepared for use was used. The pinacol used in the pinacol resist is of the following formula.
[0220]
Embedded image
Figure 0004648526
[0221]
Table 1 below summarizes the resist compositions and evaluation results in the respective comparative examples.
[0222]
[Table 1]
Figure 0004648526
[0223]
From the results shown in Table 1 above, in the case of the resist composition of the present invention, the sensitivity is higher than that of the conventional product (the resist of the comparative example) and the resolution is very good.
This is considered to be because the resist in the exposed area was easily negated and the difference in dissolution rate was increased due to the large change in polarity.
Example 40
Manufacture of MOS transistors
As shown in step (1A) of FIG. 1, a gate oxide film 2 was formed on the surface of a silicon substrate 1, and a polysilicon film (Poly-Si film) 3 was formed thereon by a CVD method. After the formation of the Poly-Si film 3, n-type impurities such as phosphorus were implanted to reduce the resistance. Thereafter, the WSi film 4 was formed by a sputtering method (CVD method or the like).
[0224]
Next, in order to pattern the Poly-Si film 3 and the WSi film 4 as shown in step (1B), the negative resist composition of the present invention was applied over the entire surface of the WSi film 4 formed in the previous step. . After the resist film 5 was pre-baked, exposure was performed with a KrF excimer exposure apparatus, and then post-exposure baking (PEB) was performed. A resist pattern having a width of 0.25 μm was obtained by alkali development. Using this resist pattern as a mask, the WSi film 4 and the Poly-Si film 3 were sequentially etched by anisotropic etching. A gate electrode composed of the Poly-Si film 3 and the WSi film 4 was obtained. Thereafter, phosphorus is implanted by ion implantation to form ND of the LDD structure.-A diffusion layer 6 was formed. After the pattern shown in the step (1B) was obtained, the resist film 5 was removed with a stripping solution.
[0225]
Following the formation of the gate electrode, as shown in step (1C), an oxide film 7 was formed on the entire surface by the CVD method.
Next, as shown in step (1D) of FIG. 2, the oxide film 7 was anisotropically etched to form a gate electrode side wall 8 composed of the WSi film 4 and the Poly-Si film 3. Next, ion implantation is performed using the WSi film 4 and the sidewalls 8 as a mask, and N+A diffusion layer 9 was formed.
[0226]
Then N+In order to activate the diffusion layer 9, heat treatment was performed in a nitrogen atmosphere, and further, heating was performed in an oxygen atmosphere. As shown in step (1E), the gate electrode was covered with the thermal oxide film 10.
Subsequently, as shown in step (1F), an interlayer insulating film 11 was formed by a CVD method, and the interlayer insulating film 11 was patterned again using the negative resist composition of the present invention. After completely applying the resist composition of the present invention on the interlayer insulating film 11, a resist film (not shown) is pre-baked, exposed with an ArF excimer exposure apparatus, and further subjected to post-exposure baking (PEB). It was. A hole resist pattern having a width of 0.20 μm was obtained by alkali development. Contact holes were formed in the interlayer insulating film 11 by anisotropic etching using this resist pattern as a mask. Aluminum (Al) wiring 12 was formed in the contact hole. As shown, an N-channel fine MOS transistor 20 was completed.
Example 41
Manufacture of thin film magnetic head
As shown in step (2A) of FIG. 3, a shield film 22 made of FeN and a gap insulating film 23 made of a silicon oxide film are sequentially laminated on an AlTiC substrate 21, and a magnetoresistive effect having a thickness of 400 nm is formed thereon. The film 24 was formed from FeNi by sputtering. A general-purpose PMGI resist (manufactured by Microlithography Chemical Co., USA) was applied on the magnetoresistive effect film 24 to form a lower resist film 25, and then the negative resist composition of the present invention was further applied thereon. An upper resist film 26 was formed.
[0227]
After forming the upper layer and lower layer resist films as described above, the upper layer resist film 26 was pre-baked, exposed with a KrF excimer exposure apparatus, and further subjected to post-exposure baking (PEB). A resist pattern having a width of 0.25 μm was obtained by alkali development. At the same time as the alkali development, the lower resist film 25 existing as a base was developed isotropically to complete the undercut shape as shown in the step (2B).
[0228]
Next, as shown in step (2C), the underlying magnetoresistive film 24 was etched into a tapered shape by ion milling using the obtained resist pattern as a mask.
Next, as shown in step (2D) of FIG. 4, a TiW film 27 was formed on the entire surface to be processed by a sputtering method. The film thickness of the TiW film 27 was 800 nm.
[0229]
After the formation of the TiW film 27 was completed, a lift-off method was performed to remove the lower resist film 25, the upper resist film 26 and the TiW film 27 thereon. As shown in step (2E), the TiW film 27 was exposed.
Thereafter, although not shown, the magnetoresistive film 24 and the TiW film 27 were patterned using the negative resist composition of the present invention according to the same method as described above. As shown in the step (2F), the electrode 28 and the MR element 29 were completed.
[0230]
Subsequently, as shown in the step (2G) of FIG.2A gap insulating film 31 made of a film was formed.
Next, as shown in the step (2H), following the formation of the gap insulating film 31, a shield film 32 made of a FeNi film with a film thickness of 3.5 μm and an Al film with a film thickness of 0.5 μm are formed on the entire surface.2OThreeA gap layer 33 made of a film was sequentially formed, and an FeNi film 34 having a thickness of 3 μm was further formed thereon. Thereafter, in order to pattern the FeNi film 34 to form a write magnetic pole, the negative resist composition of the present invention was applied to the entire surface of the FeNi film 34 to form a resist film 36.
[0231]
Finally, the resist film formed on the FeNi film 34 as described above was pre-baked, exposed with a KrF excimer exposure apparatus, and further subjected to post-exposure baking (PEB). By alkali development, a fine resist pattern having an opening at the write magnetic pole portion was obtained. The FeNi film was etched by isotropic etching using this resist pattern as a mask. As shown in step (2I), the thin film magnetic head 40 provided with the write magnetic pole 35 was completed.
[0232]
The present invention has been described in detail above. For further understanding of the present invention, preferred embodiments of the present invention will be described as follows.
(Supplementary Note 1) (1) A film-forming polymer soluble in a basic aqueous solution containing a first monomer unit having an alkali-soluble group and a second monomer unit having an alcohol structure capable of reacting with the alkali-soluble group. When,
(2) When the imaging radiation is absorbed and decomposed, a reaction between the alcohol structure of the second monomer unit and the alkali-soluble group of the first monomer unit can be induced, or the first monomer unit A photoacid generator capable of generating an acid capable of protecting an alkali-soluble group, and
Basically soluble in a basic aqueous solution, but exposed to the imaging radiation, the exposed portion becomes insoluble in the basic aqueous solution as a result of the action of the photoacid generator. A negative resist composition that can be developed with an aqueous solution.
(Supplementary Note 2) A tertiary alcohol structure in which the alcohol structure of the second monomer unit is represented by any one of the following formulas (I) to (IV):
[0233]
Embedded image
Figure 0004648526
[0234]
(In the above formula,
R represents a linking group connected to the main chain of the monomer unit and copolymerizable with the first monomer unit;
R1And R2May be the same or different and each represents a linear, branched or cyclic hydrocarbon group)
[0235]
Embedded image
Figure 0004648526
[0236]
(In the above formula,
R is as defined above,
RxRepresents a hydrocarbon group having 1 to 8 carbon atoms, and
p is an integer of 2 to 9)
[0237]
Embedded image
Figure 0004648526
[0238]
(In the above formula,
R is as defined above,
Y represents a hydrogen atom or an arbitrary substituent selected from the group consisting of an alkyl group, an alkoxycarbonyl group, a ketone group, a hydroxyl group and a cyano group, and
Z represents a plurality of atoms necessary to complete the alicyclic hydrocarbon group)
[0239]
Embedded image
Figure 0004648526
[0240]
(In the above formula,
R and Y are each as defined above, and
BA represents a plurality of atoms necessary to complete a bicycloalkane ring)
The negative resist composition as set forth in Appendix 1, wherein
(Additional remark 3) The ratio for which the said 2nd monomer unit accounts is the range of 0.1-70 mol% on the basis of the whole quantity of the said copolymer, The negative type | mold of Additional remark 1 or 2 characterized by the above-mentioned Resist composition.
(Supplementary Note 4) The first and second monomer units may be the same or different, and are respectively a (meth) acrylic acid monomer unit, an itaconic acid monomer unit, a vinylphenol monomer unit, and a vinyl benzoic acid system. Monomer unit, styrene monomer unit, bicyclo [2.2.1] hept-5-ene-2-carboxylic acid monomer unit, N-substituted maleimide monomer unit and plural or polycyclic alicyclic hydrocarbons 4. The negative resist composition according to any one of appendices 1 to 3, wherein the negative resist composition is one member selected from the group consisting of monomer units having an ester group containing a moiety.
(Additional remark 5) When it coats on a quartz substrate and forms the film | membrane with a film thickness of 1 micrometer on the board | substrate, the light absorbency in the wavelength of the exposure light source used is 1.75 micrometer.-1The negative resist composition according to any one of appendices 1 to 4, wherein the negative resist composition is:
(Appendix 6) The first and / or second monomer unit further has a weak alkali-soluble group selected from the group consisting of a lactone ring, an imide ring and an acid anhydride, which is bonded to the side chain. The negative resist composition as set forth in Appendix 1.
(Additional remark 7) The negative resist composition of Additional remark 1 characterized by further containing the compound which has alcohol structure in a molecule | numerator.
(Additional remark 8) The negative resist composition of Additional remark 7 characterized by the alcohol structure of the said compound being a tertiary alcohol structure.
(Additional remark 9) The negative resist composition of Additional remark 7 or 8 characterized by the above-mentioned alcohol structure containing compound showing the boiling point of at least 130 degreeC.
(Additional remark 10) It contains the solvent chosen from the group which consists of ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, propylene glycol methyl ether acetate, and its mixture. The negative resist composition as set forth in Appendix 1.
(Additional remark 11) The negative resist composition of Additional remark 10 characterized by including further the solvent chosen from the group which consists of butyl acetate, (gamma) -butyrolactone, propylene glycol methyl ether, and its mixture as an auxiliary solvent.
(Supplementary note 12) The negative resist composition as described in supplementary note 1, wherein the negative resist composition is used for forming a wiring pattern having a line width of 0.15 μm or less.
(Supplementary note 13) The following steps:
The negative resist composition according to any one of appendices 1 to 12 is applied on a substrate to be processed,
Selectively exposing the formed resist film with imaging radiation capable of inducing degradation of the photoacid generator of the resist composition; and
Developing the exposed resist film with a basic aqueous solution;
A method for forming a negative resist pattern, comprising:
(Additional remark 14) Using the resist pattern formed from the negative resist composition of any one of Additional remarks 1-12 as a masking means, the substrate to be processed is selectively removed and predetermined. The manufacturing method of the electronic device characterized by including the process of forming the functional element layer formed.
(Supplementary note 15) The following steps:
The negative resist composition is applied onto a substrate to be processed,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and selectively removing the substrate to be processed by etching to form the functional element layer;
15. The method for manufacturing an electronic device according to appendix 14, characterized by comprising:
(Supplementary Note 16) The following reaction components:
(1) a base resin comprising an alkali-soluble polymer,
(2) a photoacid generator capable of absorbing and decomposing imaging radiation to generate an acid, and
(3) an alicyclic alcohol having a reaction site capable of performing a dehydration bond reaction with the polymer of the base resin in the presence of an acid generated from the photoacid generator;
A negative resist composition comprising a combination of
(Supplementary note 17) The negative resist composition according to supplementary note 16, wherein the alicyclic alcohol contains one or more alcoholic hydroxyl groups.
(Supplementary note 18) The negative resist composition according to supplementary note 16 or 17, wherein in the alicyclic alcohol, 1 to 6 atoms are interposed between the alicyclic skeleton and the hydroxyl group. .
(Supplementary Note 19) The supplementary notes 16 to 16, wherein the alicyclic alcohol is a monocyclic compound having 4 or more carbon atoms, a polycyclic compound having 6 or more carbon atoms, or a mixture thereof. The negative resist composition according to any one of 18 above.
(Supplementary note 20) The negative resist composition according to supplementary note 16, wherein the alicyclic alcohol is an alcohol having an adamantane structure in the molecule.
(Supplementary note 21) The negative resist composition according to supplementary note 16, wherein the alicyclic alcohol is a tertiary alcohol having a stereochemically fixed structure.
(Appendix 22) The following steps:
(1) a base resin comprising an alkali-soluble polymer,
(2) a photoacid generator capable of absorbing and decomposing imaging radiation to generate an acid, and
(3) an alicyclic alcohol having a reaction site capable of performing a dehydration bond reaction with the polymer of the base resin in the presence of an acid generated from the photoacid generator;
A negative resist composition comprising a combination of
Selectively exposing the formed resist film with imaging radiation capable of inducing degradation of the photoacid generator of the resist composition; and
After completion of post-exposure baking, developing the resist film with a basic aqueous solution;
A method for forming a negative resist pattern, comprising:
(Additional remark 23) Using the resist pattern formed from the negative resist composition of any one of Additional remarks 16-21 as a masking means, the substrate to be processed is selectively removed and predetermined. The manufacturing method of the electronic device characterized by including the process of forming the functional element layer formed.
(Supplementary Note 24) The following steps:
The negative resist composition is applied onto a substrate to be processed,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and selectively removing the substrate to be processed by etching to form the functional element layer;
24. The method for manufacturing an electronic device according to appendix 23, comprising:
[0241]
In addition, in the present invention (negative resist composition according to the second invention), in addition to those described in the above-mentioned column, the base resin is a phenol-based polymer or a (meth) acrylate-based polymer. Alternatively, a negative resist composition characterized by comprising a mixture thereof can be mentioned as a preferred embodiment.
[0242]
【The invention's effect】
As described above, when the resist composition according to the present invention (negative resist composition according to the first invention) is used, a basic aqueous solution can be used as a developing solution, and the sensitivity is practical. Thus, a fine negative resist pattern without swelling can be formed. In addition, the resist composition of the present invention can cope with imaging radiation and electron beams in the deep ultraviolet region typified by KrF or ArF excimer laser, and has excellent dry etching resistance. And if the resist of this invention is used, the difference of the polarity of an exposure part and an unexposed part can be enlarged, and a fine negative pattern which has high sensitivity, high contrast, and high resolution can be formed.
[0243]
Further, when the resist composition according to the present invention (negative resist composition according to the second invention) is used, the difference in polarity between the exposed area and the unexposed area is increased, and high sensitivity, high contrast, and high resolution are achieved. Thus, a fine negative resist pattern can be formed. Further, a basic aqueous solution can be used as a developer for the formation of this resist pattern. Further, the resist composition of the present invention can cope with imaging radiation and electron beams in the deep ultraviolet region typified by KrF excimer laser and the like, and has excellent dry etching resistance. When the resist of the present invention is used, a fine wiring pattern can be formed with high yield in the manufacture of a semiconductor device such as an LSI.
[0244]
Furthermore, according to the present invention, when various negative resist compositions according to the present invention are used, a fine functional element layer contained in a device can be accurately and with good yield. Such an electronic device can be advantageously manufactured.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view sequentially showing the first half of a process for manufacturing a MOS transistor according to the present invention.
2 is a cross-sectional view sequentially showing the second half of the manufacturing process of the MOS transistor shown in FIG. 1; FIG.
FIG. 3 is a cross-sectional view sequentially showing the first stage of a manufacturing process of a thin film magnetic head according to the present invention.
4 is a cross-sectional view sequentially showing intermediate stages of the manufacturing process of the thin film magnetic head shown in FIG. 3;
5 is a cross-sectional view sequentially showing the last stage of the manufacturing process of the thin film magnetic head shown in FIG. 3; FIG.
[Explanation of symbols]
1 ... Silicon substrate
2 ... Gate electrode
3 ... Polysilicon film
4 ... WSi film
5 ... Resist film
6 ... N-Diffusion layer
7 ... CVD oxide film
8 ... Sidewall
9 ... N+Diffusion layer
10 ... Thermal oxide film
11 ... Interlayer insulating film
12 ... Wiring
20 ... MOS transistor
21 ... Board
22 ... Shielding film
23. Gap insulating layer
24. Magnetoresistive (MR) effect film
25. Lower resist film
26. Upper resist film
27 ... TiW film
28 ... Electrodes
29. MR element
31. Gap insulating layer
32 ... Shielding film
33 ... Gap layer
34 ... FeNe film
35 ... Light magnetic pole
40. Thin film magnetic head

Claims (5)

(1)アルカリ可溶性基を有する第1のモノマー単位及び前記アルカリ可溶性基と反応し得るアルコール構造を有する第2のモノマー単位を含む塩基性水溶液に可溶な皮膜形成性重合体と、
(2)結像用放射線を吸収して分解すると、前記第2のモノマー単位のアルコール構造と前記第1のモノマー単位のアルカリ可溶性基との反応を誘起し得るかもしくは前記第1のモノマー単位のアルカリ可溶性基を保護し得る酸を発生可能な光酸発生剤とを含んでなり、かつ
塩基性水溶液に可溶であるが、前記結像用放射線に露光されると、前記光酸発生剤の作用の帰結として露光部が塩基性水溶液に不溶となること、
前記第1のモノマー単位が、イタコン酸系モノマー単位、ビニルフェノール系モノマー単位、ビニル安息酸系モノマー単位、スチレン系モノマー単位、ビシクロ〔2.2.1〕ヘプト−5−エン−2−カルボン酸系モノマー単位及び複数個もしくは多環式の脂環式炭化水素部分を含むエステル基を有するモノマー単位からなる群から選ばれた1員であること、及び
前記第2のモノマー単位のアルコール構造が、次式(I)〜(IV)のいずれかにより表される3級アルコール構造:
Figure 0004648526
(上式において、
Rは、当該モノマー単位の主鎖に繋がりかつ前記第1のモノマー単位と共重合可能な結合基を表し、そして
R及びRは、同一もしくは異なっていてもよく、直鎖もしくは分岐鎖あるいは環状の炭化水素基を表す)
Figure 0004648526
(上式において、
Rは前記定義に同じであり、
は、1〜8個の炭素原子を有する炭化水素基を表し、そして
pは2〜9の整数である)
Figure 0004648526
(上式において、
Rは前記定義に同じであり、
Yは、水素原子を表すかもしくは、アルキル基、アルコキシカルボニル基、ケトン基、水酸基及びシアノ基からなる群から選ばれた任意の置換基を表し、そして
Zは、脂環式炭化水素基を完成するのに必要な複数個の原子を表す)
Figure 0004648526
(上式において、
R及びYはそれぞれ前記定義に同じであり、そして
BAは、ビシクロアルカン環を完成するのに必要な複数個の原子を表す)
であること
を特徴とする、塩基性水溶液で現像可能なネガ型レジスト組成物。
(1) a film-forming polymer soluble in a basic aqueous solution comprising a first monomer unit having an alkali-soluble group and a second monomer unit having an alcohol structure capable of reacting with the alkali-soluble group;
(2) When the imaging radiation is absorbed and decomposed, a reaction between the alcohol structure of the second monomer unit and the alkali-soluble group of the first monomer unit can be induced, or the first monomer unit A photoacid generator capable of generating an acid capable of protecting an alkali-soluble group and soluble in a basic aqueous solution, but when exposed to the imaging radiation, the photoacid generator As a result of the action, the exposed part becomes insoluble in the basic aqueous solution,
The first monomer unit is an itaconic acid monomer unit, a vinyl phenol monomer unit, a vinyl benzoic acid monomer unit, a styrene monomer unit, or bicyclo [2.2.1] hept-5-ene-2-carboxylic acid. systems monomer unit及 beauty plurality or polycyclic alicyclic hydrocarbon moiety that is a member selected from the group consisting of monomer units having an ester group containing, and alcohol structure of the second monomer units Is a tertiary alcohol structure represented by any of the following formulas (I) to (IV):
Figure 0004648526
(In the above formula,
R represents a linking group connected to the main chain of the monomer unit and copolymerizable with the first monomer unit;
R 1 and R 2 may be the same or different and each represents a linear, branched or cyclic hydrocarbon group)
Figure 0004648526
(In the above formula,
R is as defined above,
R x represents a hydrocarbon group having 1 to 8 carbon atoms, and p is an integer of 2 to 9)
Figure 0004648526
(In the above formula,
R is as defined above,
Y represents a hydrogen atom or an arbitrary substituent selected from the group consisting of an alkyl group, an alkoxycarbonyl group, a ketone group, a hydroxyl group and a cyano group, and Z completes an alicyclic hydrocarbon group. Represents multiple atoms necessary to do)
Figure 0004648526
(In the above formula,
R and Y are the same as defined above, and BA represents a plurality of atoms necessary to complete a bicycloalkane ring)
A negative resist composition that can be developed with a basic aqueous solution.
前記第2のモノマー単位の占める割合が、当該共重合体の全量を基準にして0.1〜70モル%の範囲であることを特徴とする請求項1に記載のネガ型レジスト組成物。  2. The negative resist composition according to claim 1, wherein the proportion of the second monomer unit is in the range of 0.1 to 70 mol% based on the total amount of the copolymer. 前記第2のモノマー単位は、(メタ)アクリル酸系モノマー単位、イタコン酸系モノマー単位、ビニルフェノール系モノマー単位、ビニル安息酸系モノマー単位、スチレン系モノマー単位、ビシクロ〔2.2.1〕ヘプト−5−エン−2−カルボン酸系モノマー単位、N−置換マレイミド系モノマー単位及び複数個もしくは多環式の脂環式炭化水素部分を含むエステル基を有するモノマー単位からなる群から選ばれた1員であることを特徴とする請求項1又は2に記載のネガ型レジスト組成物。  The second monomer unit includes a (meth) acrylic acid monomer unit, an itaconic acid monomer unit, a vinylphenol monomer unit, a vinyl benzoic acid monomer unit, a styrene monomer unit, and bicyclo [2.2.1] hept. 1 selected from the group consisting of a 5-ene-2-carboxylic acid monomer unit, an N-substituted maleimide monomer unit, and a monomer unit having an ester group containing a plurality or polycyclic alicyclic hydrocarbon moieties The negative resist composition according to claim 1, wherein the negative resist composition is a member. 下記の工程:
請求項1〜3のいずれか1項に記載のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、そして
露光後のレジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴とする、ネガ型レジストパターンの形成方法。
The following steps:
The negative resist composition according to any one of claims 1 to 3 is applied on a substrate to be processed,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition, and developing the exposed resist film with a basic aqueous solution;
A method for forming a negative resist pattern, comprising:
請求項1〜3のいずれか1項に記載のネガ型レジスト組成物から形成されたレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去して予め定められた機能性要素層を形成する工程を含むことを特徴とする、電子デバイスの製造方法。  A resist pattern formed from the negative resist composition according to any one of claims 1 to 3 is used as a masking means, and a predetermined function is obtained by selectively removing the substrate to be processed. The manufacturing method of an electronic device characterized by including the process of forming a property element layer.
JP2000257661A 1999-09-02 2000-08-28 Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device Expired - Fee Related JP4648526B2 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
JP2000257661A JP4648526B2 (en) 1999-09-02 2000-08-28 Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device
TW089117944A TWI294991B (en) 1999-09-02 2000-09-01 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
US09/654,433 US6506534B1 (en) 1999-09-02 2000-09-01 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
KR1020000051745A KR100658476B1 (en) 1999-09-02 2000-09-01 Negative resist composition and method for the formation of resist patterns
DE10043678A DE10043678A1 (en) 1999-09-02 2000-09-03 Negative resist composition, process for forming resist patterns and process for manufacturing electronic devices
FR0011226A FR2798202B1 (en) 1999-09-02 2000-09-04 NEGATIVE RESIST COMPOSITION, METHOD FOR FORMING A NEGATIVE RESIST PATTERN, AND METHOD FOR PRODUCING ELECTRONIC DEVICES USING THE SAME
US10/291,608 US6794112B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
US10/291,671 US6794113B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
US10/291,730 US6787288B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
US10/291,723 US6773867B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP24861999 1999-09-02
JP11-248619 1999-09-02
JP11-260815 1999-09-14
JP26081599 1999-09-14
JP2000257661A JP4648526B2 (en) 1999-09-02 2000-08-28 Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010073308A Division JP2010198024A (en) 1999-09-02 2010-03-26 Negative resist composition, method for formation of resist pattern and method for production of electronic device

Publications (2)

Publication Number Publication Date
JP2001154357A JP2001154357A (en) 2001-06-08
JP4648526B2 true JP4648526B2 (en) 2011-03-09

Family

ID=27333735

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000257661A Expired - Fee Related JP4648526B2 (en) 1999-09-02 2000-08-28 Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device

Country Status (1)

Country Link
JP (1) JP4648526B2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4801477B2 (en) 2006-03-24 2011-10-26 富士通株式会社 Resist composition, method for forming resist pattern, semiconductor device and method for manufacturing the same
JP5585065B2 (en) * 2009-01-30 2014-09-10 Jsr株式会社 Photosensitive insulating resin composition, cured product thereof and method for producing insulating film
JP2014044438A (en) * 2009-01-30 2014-03-13 Jsr Corp Photosensitive insulating resin composition, cured product thereof, and method for producing insulating film
JP5597616B2 (en) * 2011-10-03 2014-10-01 富士フイルム株式会社 Negative chemically amplified resist composition, and resist film, resist-coated mask blank, resist pattern forming method, and photomask using the same
US9244348B2 (en) 2012-02-13 2016-01-26 Shin-Etsu Chemical Co., Ltd. Chemically amplified negative resist composition and pattern forming process
EP3081988B1 (en) 2015-04-07 2017-08-16 Shin-Etsu Chemical Co., Ltd. Negative resist composition and pattern forming process
JP6609193B2 (en) 2016-01-25 2019-11-20 信越化学工業株式会社 Polymer compound, negative resist composition, laminate, pattern forming method, and compound
JP6922849B2 (en) 2018-05-25 2021-08-18 信越化学工業株式会社 Monomer, polymer, negative resist composition, photomask blank, and resist pattern forming method
JP7099250B2 (en) 2018-10-25 2022-07-12 信越化学工業株式会社 Onium salt, negative resist composition and resist pattern forming method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0611835A (en) * 1992-06-26 1994-01-21 Hitachi Ltd Pattern forming method
JPH10301267A (en) * 1997-02-26 1998-11-13 Toppan Printing Co Ltd Photosensitive composition, colored photosensitive composition and color filter using its colored photosensitive composition
JPH10307400A (en) * 1997-03-07 1998-11-17 Nec Corp Photosensitive resin composition and method for forming pattern by using the same
JPH11258801A (en) * 1998-03-16 1999-09-24 Fuji Photo Film Co Ltd Negative resist composition
JP2000063433A (en) * 1998-08-13 2000-02-29 Nec Corp Polymer, chemically amplified type negative resist containing the same and formation of resist pattern
JP2000122288A (en) * 1998-10-09 2000-04-28 Nec Corp Negative photoresist composition using polymer having 1,2-diol structure, and pattern forming method using the composition
JP2000281729A (en) * 1999-03-30 2000-10-10 Nec Corp Polymer containing diol structure, negative resist composition using it and pattern formation method

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3859353B2 (en) * 1998-04-28 2006-12-20 富士通株式会社 Negative resist composition and method for forming resist pattern

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0611835A (en) * 1992-06-26 1994-01-21 Hitachi Ltd Pattern forming method
JPH10301267A (en) * 1997-02-26 1998-11-13 Toppan Printing Co Ltd Photosensitive composition, colored photosensitive composition and color filter using its colored photosensitive composition
JPH10307400A (en) * 1997-03-07 1998-11-17 Nec Corp Photosensitive resin composition and method for forming pattern by using the same
JPH11258801A (en) * 1998-03-16 1999-09-24 Fuji Photo Film Co Ltd Negative resist composition
JP2000063433A (en) * 1998-08-13 2000-02-29 Nec Corp Polymer, chemically amplified type negative resist containing the same and formation of resist pattern
JP2000122288A (en) * 1998-10-09 2000-04-28 Nec Corp Negative photoresist composition using polymer having 1,2-diol structure, and pattern forming method using the composition
JP2000281729A (en) * 1999-03-30 2000-10-10 Nec Corp Polymer containing diol structure, negative resist composition using it and pattern formation method

Also Published As

Publication number Publication date
JP2001154357A (en) 2001-06-08

Similar Documents

Publication Publication Date Title
KR100658476B1 (en) Negative resist composition and method for the formation of resist patterns
JP4790153B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device
TW502134B (en) Chemically amplified resist compositions and process for the formation of resist patterns
JP3297272B2 (en) Resist composition and method of forming resist pattern
JP3859353B2 (en) Negative resist composition and method for forming resist pattern
JP4865073B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
JP5105667B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
JP4648526B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device
JPH0950126A (en) Resist composition and resist pattern forming method
JP3928954B2 (en) Resist composition comprising a polymer having pendant groups containing a plurality of acid labile moieties
JP4144957B2 (en) Resist composition and method for forming resist pattern
JP2010198024A (en) Negative resist composition, method for formation of resist pattern and method for production of electronic device
JP4689082B2 (en) Negative resist composition
JP3859352B2 (en) Negative resist composition and method for forming resist pattern
JP4127937B2 (en) Resist composition and method for forming resist pattern
JP2003330168A (en) Resist composition, method for manufacturing resist pattern and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070725

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100126

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100831

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101130

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101210

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees