JP4565741B2 - Silicate-containing alkaline composition for microelectronic substrate cleaning - Google Patents

Silicate-containing alkaline composition for microelectronic substrate cleaning Download PDF

Info

Publication number
JP4565741B2
JP4565741B2 JP2000550003A JP2000550003A JP4565741B2 JP 4565741 B2 JP4565741 B2 JP 4565741B2 JP 2000550003 A JP2000550003 A JP 2000550003A JP 2000550003 A JP2000550003 A JP 2000550003A JP 4565741 B2 JP4565741 B2 JP 4565741B2
Authority
JP
Japan
Prior art keywords
solution
water
weight
silicate
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000550003A
Other languages
Japanese (ja)
Other versions
JP2003526111A5 (en
JP2003526111A (en
Inventor
デイビッド・シー・スキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avantor Performance Materials LLC
Original Assignee
Mallinckrodt Baker Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mallinckrodt Baker Inc filed Critical Mallinckrodt Baker Inc
Priority claimed from PCT/US1999/010875 external-priority patent/WO1999060448A1/en
Publication of JP2003526111A publication Critical patent/JP2003526111A/en
Publication of JP2003526111A5 publication Critical patent/JP2003526111A5/ja
Application granted granted Critical
Publication of JP4565741B2 publication Critical patent/JP4565741B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/08Silicates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

【0001】
発明の背景
本発明は、半導体ウェーハー基板を洗浄するための、マイクロエレクトロニクス産業に有用な組成物に関する。詳しくは本発明は、集積回路を損なわずに金属または有機不純物を除去することにより、金属配線およびバイアスを有するウェーハーを洗浄するために使用される金属イオンフリー珪酸塩を含有するアルカリ性ストリッピング組成物または洗浄組成物に関する。
【0002】
先行技術の説明
マイクロエレクトロニクス成形加工品の集積部品は、マスクまたはレチクルから所望の回路層へ像を転写するフォトレジストの用途がある。所望の画像転写がなされた後、エッチング法を使用して所望の構造を形成させる。このようにして形成される最も一般的な構造が金属配線やバイアスである。
【0003】
この金属配線を使用して、同じ成形層にある集積回路の様々な部分間に電気的連絡を形成する。バイアスとは誘電層までエッチングされ、後に伝導性金属で満たされる孔である。これらは集積回路の異なる垂直層間の電気的連絡を形成するのに使用される。金属配線およびバイアスを形成するのに使用される方法では、一般にハロゲン含有ガスが使用される。
【0004】
エッチング工程が完了した後、フォトレジスト塊は化学ストリッパー溶液または酸素プラズマ灰化法のいずれかにより除去され得る。問題はこれらのエッチング工程が通常の化学ストリッパー溶液によっては除かれない極めて不溶な金属含有残渣を生じるということである。また、灰化法の際、金属含有残渣は酸化され、特にアルミベースの集積回路の場合にはいっそう除去が困難になる。"Managing Etch and Implant Residue," Semiconductor Internatonal, August 1997, pages 56-63参照。
【0005】
かかるエッチング法の一例として、集積回路上への金属配線の型押しがある。この方法では、金属フィルムにフォトレジスト被覆を施した後、マスクまたはレチクルを介して描画し、フォトレジスト被覆のパターンを選択的に露光する。この被覆を現像し、使用されるフォトレジストの色調に応じて露光または非露光フォトレジストのいずれかを除去し、金属パターン上にフォトレジストを形成させる。残ったフォトレジストは通常高温で再び硬化させて溶媒を除去し、さらに所望によりポリマーマトリックスを架橋する。次いで実際の金属エッチング工程を行う。このエッチング工程は気体プラズマの作用を介してフォトレジストで被覆されていない金属を除去するものである。かかる金属の除去により、フォトレジスト層から金属層へパターンが転写する。次ぎに、残ったフォトレジストを有機ストリッパー溶液または酸素プラズマ灰化法で除去(「ストリップ」)する。この灰化法の後、有機ストリッパー溶液を用いる洗浄工程がしばしば行われる。しかしながら、現在利用可能なストリッパー溶液、通常アルカリストリッパー溶液は集積回路に不溶性の金属酸化物またはその他の金属含有残渣を残す。
【0006】
かかるエッチング法のもう1つの例としては、集積回路へのバイアス(内部連絡孔)の型押しがある。この方法では、誘電フィルムにフォトレジスト被覆を施した後、マスクまたはレチクルを介して描画し、フォトレジスト被覆のパターンを選択的に露光する。この被覆を現像し、使用されるフォトレジストの色調に応じて露光または非露光フォトレジストのいずれかを除去し、金属パターン上にフォトレジストを形成させる。残ったフォトレジストは通常高温で再び硬化させて溶媒を除去し、さらに所望によりポリマーマトリックスを架橋する。次いで実際の金属エッチング工程を行う。このエッチングは気体プラズマの作用を介してフォトレジストで被覆されていない誘電体を除去するものである。かかる誘電体の除去により、フォトレジスト層から誘電層へパターンが転写する。次ぎに、残ったフォトレジストを有機ストリッパー溶液または酸素プラズマ灰化法で除去(「ストリップ」)する。典型的には該誘電体は下層にある金属層が露光された点までエッチングを受ける。チタンまたは窒化チタン抗反射または拡散遮断層は典型的には金属/誘電体境界に存在する。この境界層は通常エッチングを受けて下層にある金属を露光する。チタンまたは窒化チタン層をエッチングする作用はチタンをバイアスの内部に形成されるエッチング残渣に組み込むことを見出した。酸素プラズマ灰化はこれらのバイアス残渣を酸化してそれらの除去をより困難にする。従って、ストリッパー溶液にはチタン残渣除去エッチング剤を添加してこれらの残渣の洗浄を可能にしなければならない。"Removal of Titanium Oxide Grown on Titanium Nitride and reduction of Via Contact Resistance Using a Modern Plasma Asher", Mat. Res. Soc. Symp. Proc. Vol. 495, 1998, pages 345-352参照。灰化法の後、有機ストリッパー溶液を用いるすすぎ工程がしばしば行われる。しかしながら、現在利用できるストリッパー溶液、通常アルカリストリッパー溶液は集積回路上に不溶性の金属酸化物およびその他の金属含有残渣を残す。有機溶媒含量の高い数種の市販ヒドロキシルアミンベースのストリッパーおよびポストアッシュ残渣リムーバーがあるが、それらはバイアスまたは金属配線に見られるその他の残渣に効果的でない。それらはまたバイアスおよび金属配線から残渣を洗浄するのに高温(典型的には65℃以上)を要する。
【0007】
金属フィルムを含有するマイクロ回路へアルカリストリッパーを使用すると、特にアルミニウム、またはアルミニウムまたはチタンような活性金属と銅もしくはタングステンのようなより高い陽性金属との組合せ、すなわち合金を含有する金属フィルムとともに使用する場合には必ずしも良質の回路が得られるとは限らない。少なくともいく分かは金属とアルカリストリッパーとの反応により、腐食ホイスカー、点食、金属配線のノッチングといった種々のタイプの金属腐食が認められている。さらに、Lee et al., Proc. Interface '89, pp. 137-149によりウェーハーから有機ストリッパーを除去するのに必要とされる水洗工程までに極めてわずかな腐食作用が起こることが示されている。この腐食は明らかに金属とすすぎ中に存在する強アルカリ水溶液との接触の結果である。アルミ金属はかかる条件下で急速に腐食する(Ambat et al., Corrosion Science, Vol. 33(5), p. 684. 1992)。
【0008】
このような腐食の問題を避けるために用いられている先行法では、イソプロピルアルコールのような非アルカリ性有機溶媒による中間すすぎ工程を使用するものであった。しかしながらかかる方法は費用が高く、安全上、化学衛生上、また環境上望ましくない。
【0009】
先行技術ではエッチング工程後にフォトレジスト塊を除去するために用いられるいくつかの有機ストリッパーが開示されている。米国特許第4,765,844号、同第5,102,777号、および同第5,308,745号では、種々の組合せの有機溶媒を含むフォトレジストストリッパーが開示されている。しかしながらこれらのストリッパーは上記のように酸素プラズマで「灰化」したウェーハーにはあまり有効ではない。いくつかのフォトレジストストリッパーは、さらなる水とカテコールなどの有機腐食防止剤を加えることによりこの問題に取り組もうとするものである。かかる組成物は米国特許第5,482,566号、同第5,279,771号、同第5,381,807号、同第5,334,332号、同第5,709,756号、同第5,707,947号および同第5,419,779号、ならびにWO9800244に開示されている。ある場合では、同様にヒドラジン誘導体であるヒドロキシルアミンが添加される。その毒性のため、カテコールの使用には種々の環境上、安全上および衛生上の懸念が持ち上がる。
【0010】
電気回路板に用いられる洗浄液には腐食防止剤として珪酸金属が含まれている。かかる洗浄液の例はSU761976、DD143,920、DD243,921、US5,264,046、US5,234,505、US5,234,506および5,393,448に開示されている。回路板上の金属配線は集積回路に見られるものよりずっと大きいので、洗浄要件への要求度は小さい。集積回路の場合、洗浄液からもたらされた金属混入が、極めて低濃度であったとしても装置の故障を早発する可能性がある。従って、先に挙げた珪酸金属などの金属を意図的に添加した配合物はいずれも集積回路装置の性能および信頼性を損ねるものとなろう。米国特許第4,659,650はメタ珪酸ナトリウム溶液を用いて金属により持ち上げられるマスクを溶解させることを開示している。
【0011】
US5,817,610およびEP829,768では、プラズマエッチング残渣を除去する際に用いるため、珪酸第4級アンモニウム、水酸化第4級アンモニウムおよび水の使用を開示している。これらの2つの開示では、腐食防止剤としては珪酸第4級アンモニウムよりもカテコールオリジマー(oligimer)が好まし、腐食防止剤として使用されている珪酸第4級アンモニウムの例は示されていない。US5,756,973およびEP828,197では、ストリッピングおよび洗浄組成物として用いるための珪酸第4級アンモニウム、アミン化合物、水および所望により有機極性溶媒の使用が開示されている。上記に挙げた4つの開示に、アミノカルボン酸緩衝剤またはチタン残渣除去促進剤を加えることの利点を開示するものはない。上記に挙げた4つの開示に、チタン残渣除去促進剤の添加の利点を開示するのもはない。本発明は、いくつかの場合でプラズマエッチング工程の後に見られるチタンを含有する残渣を効果的に洗浄するために、チタン残渣除去促進剤を加える必要があることを示すものである。US5,759,973およびEP828,197では、グルコース、フルクトースまたはスクロースなどの糖類、ならびにキシリトール、マンニトールおよびソルビトールなどの糖アルコールから選択されるキレート剤の使用が開示されている。フルクトースまたはソルビトールを添加した本発明の配合剤の実験室試験から、アミノカルボン酸を含む配合剤ほどpHが安定でないか、またはキレート剤もしくは緩衝剤を添加しない溶液が得られた。
【0012】
特許出願WO9523999では、回路板に由来するレジストを除去するのに用いる溶液に腐食防止剤として珪酸テトラメチルアンモニウムおよび珪酸アンモニウムを使用することが開示されている。しかしながら、開示された配合剤の利点としては(エチレンジニトリロ)四酢酸(EDTA)を含有しないことであるとされている。これに対し本発明では、所望によるEDTAのようなキレート剤の使用が有益であった。
【0013】
珪酸塩防止剤のその他の用途としては、磁気ヘッドクリーナー(JP09,245,311)、洗濯用洗剤(WO9,100,330)、金属処理液(DE2,234,842、US3,639,185、US3,773,670、US4,351,883、US4,341,878、EP743,357、US4,710,232)、ロジンフラックスリムーバー(US5,549,761)およびフォトレジスト(JP50,101,103)が挙げられる。
【0014】
珪酸テトラメチルアンモニウムなどの金属イオンフリー珪酸塩および珪酸金属は双方ともフォトレジスト現像剤の成分として使用されている(US4,628,023、JP63,147,163、US4,822,722、US4,931,380、RD318,056、RD347,073、EP62,733)。フォトレジスト現像剤はエッチングおよび酸素プラズマ灰化工程前に、露光により変更されたフォトレジストの型押し領域を除去するのに使用される。これによりフォトレジストパターンがウェーハー表面に残り、これを典型的には露光お熱により「硬化」してエッチングマスクを形成する。このマスクはプラズマエッチング工程の間使用し、酸素プラズマ「灰化」工程よりこを使用した後に通常除去される。本発明はこれらの最後の二工程の間に形成された残渣の除去に関するものであって、この段落で示した特許によって取り扱われたフォトレジスト現像工程に関するものではない。
【0015】
水酸化テトラメチルアンモニウム(TMAH)に珪酸または固体シリコーンを溶かした溶液はマイクロ機械加工の際のアルミニウムの不動態化に有用であると報告されている("Aluminum passivation in Saturated TMAHW Solution for IC-Compatible Microstructures and Device Isolation", Sarrow et al., SPIE Vol. 2879, Proceedings- Micromachining and Microfabrication Process Technology II, The International Society for Optical Engineering, Oct. 14-15, 1996, pp. 242-250)。マイクロ機械加工の適用は本発明の範囲外である。挙げられた参照文献中の溶液は約25重量%の珪酸塩(SiO2換算)を含む。この濃度は本発明の実施例で使用される濃度、すなわち約0.01ないし約2.9重量%珪酸塩(SiO2換算)より著しく高い。また、シリコーンエッチング速度増強剤としてのキレート剤カテコールの使用も提案される。本発明では、シリコーンのエッチング速度の上昇は、一般に集積回路に用いられる二酸化珪素誘電層、ならびにウェーハーの裏側の露出シリコーンを損なう可能性があるので望ましくない。
【0016】
フォトレジストストリッパーにおける水酸化第4級アンモニウムの使用は、US4,776,892、US5,563,119、JP09319098 A2、EP578570 A2、WO9117484 A1およびUS4,776,892に開示されている。また、種々の洗浄剤における金属封鎖のためのキレート剤および錯生成剤の使用もWO9705228、US5,466,389、US5,498,293、EP812011、US5,561,105、JP06216098、JP0641773、JP06250400およびGB1,573,206で報告されている。
【0017】
US5,466,389では、水酸化第4級アンモニウムおよび所望により金属キレート剤を含有するマイクロエレクトロニクス基板用の洗浄液を含有するアルカリ水溶液が開示されており、約8ないし10のpH範囲について有効である。本発明において、所望の残渣の除去を達成するには10より高いpHが必要である。さらに珪酸塩は約pH10では水溶性が限定されたものとなる。実験室試験では珪酸テトラメチルアンモニウム溶液のpHが約10まで低下すると、溶液から珪酸が沈殿するにつれ溶液に「曇り」が出る。
【0018】
US5,498,293では、水酸化第4級アンモニウムおよび所望によりシリコーンウェーハーの洗浄に有用な金属キレート剤を含有するアルカリ洗浄水溶液を用いる方法が開示されている。この洗浄法の開示は、集積金属回路が存在する前の基板に対して処理するためのものであり、これを用いて、実質的に二酸化珪素を含まず、集積回路二次加工品に対してフォトレジストを使用する前に使用される。これに対し本発明は、フォトレジスト被覆され、エッチングされ、さらに酸素プラズマ灰化された既存の集積回路を持つウェーハーの洗浄に焦点を当てている。
【0019】
先行技術で開示されている組成物の中には、典型的な腐食工程の後に残留する有機混入物および金属含有残渣をすべて効果的に除去できるものはない。従って集積回路を損なわずに、かかる基板から金属および有機混入物を除去することにより半導体ウェーハー基板を洗浄するストリッピング組成物が必要である。かかる組成物は特に集積回路を含んでなる金属起伏を腐食してはならず、かつ、中間すすぎ工程に帰因するコスト高で有害な結果を回避すべきである。
【0020】
発明の概要
従って本発明の目的は、半導体ウェーハー基板を洗浄するためのマイクロエレクトロニクス産業で有用な組成物を提供することである。
【0021】
本発明のもう1つの目的は、集積回路を損なわずに半導体ウェーハー基板から金属および有機混入物(汚染物)を除去する組成物を提供することである。
【0022】
本発明のもう1つの目的は、中間すすぎ工程に帰因するコスト高や有害な結果を避ける組成物を提供することである。
【0023】
本発明のさらなる目的は、集積回路を損なわずにかかる基板から金属および有機混入物を除去し、しかも中間すすぎ工程に帰因するコスト高で有害な結果を避ける半導体ウェーハー基板の洗浄方法を提供することである。
【0024】
これらの、またその他の目的は、1またはそれ以上の金属イオンフリー塩基と水溶性金属イオンフリー珪酸塩を含有する、半導体ウェーハー基板をストリッピングまたは洗浄する新規な水性組成物を用いて達成される。該組成物は、望ましくない混入物および/または残渣を基板表面から洗浄するに十分な時間および温度で半導体ウェーハー基板と接触させておく。
【0025】
好ましくは、該組成物はpH約11またはそれ以上とするに十分な量で水に溶かした1またはそれ以上の金属イオンフリー塩基と、約0.01重量%ないし約2重量%(SiO2換算)の水溶性金属フリー珪酸塩を含有する。
【0026】
本発明の組成物には、いずれの好適な塩基を用いてもよい。好ましくは、かかる塩基は水酸化物および有機アミン類、最も好ましくは水酸化第4級アンモニウムおよび水酸化アンモニウムから選択される。
【0027】
本発明の組成物にいずれの好適な珪酸塩を用いてもよい。好ましくは、かかる珪酸塩は珪酸第4級アンモニウム類から選択され、最も好ましくは珪酸テトラメチルアンモニウムである。
【0028】
本発明の組成物はキレート剤、有機補助溶媒、チタン残渣除去促進剤および界面活性剤などのその他の成分を含んでもよい。キレート剤は約2重量%までの量で存在るのが好ましく、有機補助溶媒は約20重量%までの量で存在するのが好ましく、チタン残渣除去促進剤は約30重量%までの量で存在するのが好ましく、界面活性剤は約0.5重量%までの量で存在するのが好ましい。
【0029】
該組成物は集積回路を含む基板を洗浄するのに使用できるし、あるいは集積回路を含まない基板を洗浄するのに使用できる。集積回路が存在する場合には、該組成物は集積回路を損なわずに混入物を除去する。
【0030】
本発明の半導体ウェーハー基板洗浄法は、本発明の組成物を、望ましくない混入物および/または残渣を基板表面から洗浄するに十分な時間および温度で半導体ウェーハー基板と接触させておく必要がある。本方法は液浴および噴霧適用の双方を含む。典型的には基板を適当な時間および適当な温度で組成物に曝し、高純度脱イオン水ですすぎ、乾燥させる。
【0031】
該組成物は、金属および有機残渣を除去することによりウェーハー基板を洗浄する。重要なことには、この洗浄法はウェーハー基板上の集積回路を損なわず、かつ、先行の方法で必要となる中間すすぎ工程に伴うコスト高で有害な結果を避けるものである。
【0032】
本発明のその他の目的、利点および新規な特徴は以下、発明の詳細な説明で明らかとなる。
【0033】
発明の詳細な説明
本発明は、1またはそれ以上の金属イオンフリー塩基と水溶性金属イオンフリー珪酸塩とを含む、半導体ウェーハー基板をストリッピングするまたは洗浄する新規な水性組成物を提供する。好ましくは、本発明は、pH約11またはそれ以上、好ましくはpH約11ないしpH約13の溶液とするに十分な量の1またはそれ以上のアルカリ金属イオンフリー塩基成分と、約0.01%ないし約5%、好ましくは約0.01%ないし約2%の重量濃度(SiO2として)の金属イオンフリー水溶性珪酸塩を含んでなる水性のアルカリストリッピングまたは洗浄組成物を提供する。
【0034】
該組成物はまた、キレート剤を約0.01ないし約10%、通常は約0.01%ないし約2%の重量濃度で含んでもよい。さらに所望による成分としては、約0.1%ないし約80%、通常は約1%ないし約30%の重量濃度の水性有機溶媒、約1%ないし約50%、通常は約1%ないし約30%の重量濃度のチタン残渣除去促進剤、および約0.01%ないし約1%、好ましくは約0.01%ないし約0.5重量%の水溶性界面活性剤である。
【0035】
該組成物は塩基、珪酸塩、所望による成分、および存在するとすれば水、好ましくは高純度の脱イオン水を含有する水溶液である。
【0036】
本発明の組成物にはいずれの好適な塩基を使用してもよい。かかる塩基として好ましくは、水酸化テトラアルキルアンモニウム(通常アルキルまたはアルコキシ基中に1ないし4個の炭素原子のヒドロキシおよびアルコキシ含有アルキル基を含む)などの水酸化第4級アンモニウムがある。これらのアルカリ物質のうち最も好ましいのは、水酸化テトラメチルアンモニウムおよび水酸化トリメチル−2−ヒドロキシエチルアンモニウム(コリン)である。その他の使用可能な水酸化第4級アンモニウムの例としては、水酸化トリメチル−3−ヒドロキシルプロピルアンモニウム、水酸化トリメチル−3−ヒドロキシブチルアンモニウム、水酸化トリメチル−4−ヒドロキシブチルアンモニウム、水酸化トリエチル−2−ヒドロキシエチルアンモニウム、水酸化トリプロピル−2−ヒドロキシエチルアンモニウム、水酸化トリブチル−2−ヒドロキシエチルアンモニウム、水酸化ジメチルエチル−2−ヒドロキシエチルアンモニウム、水酸化ジメチルジ(2−ヒドロキシエチル)アンモニウム、水酸化モノメチルトリ(2−ヒドロキシエチル)アンモニウム、水酸化テトラエチルアンモニウム、水酸化テトラプロピルアンモニウム、水酸化テトラブチルアンモニウム、水酸化モノメチル−トリエチルアンモニウム、水酸化モノメチルトリプロピルアンモニウム、水酸化モノメチルトリブチルアンモニウム、水酸化モノエチルトリメチルアンモニウム、水酸化モノエチルトリブチルアンモニウム、水酸化ジメチルジエチルアンモニウム、水酸化ジメチルジブチルアンモニウムなど、およびそれらの混合物が挙げられる。
【0037】
本発明で機能するであろうその他の塩基としては、水酸化アンモニウム、有機アミン、特に2−アミノエタノール、1−アミノ−2−プロパノール、1−アミノ−3−プロパノール、2−(2−アミノエトキシ)エタノール、2−(2−アミノエチルアミノ)エタノール、2−(2−アミノエチルアミノ)エチルアミンなどのようなアルカノールアミン類、およびグアニジン、1,3−ペンタンジアミン、4−アミノメチル−1,8−オクタンジアミン、アミノエチルピペラジン、4−(3−アミノプロピル)モルホリン、1,2−ジアミノシクロヘキサン、トリ(2−アミノエチル)アミン、2−メチル−1,5−ペンタンジアミンおよびヒドロキシルアミンなどのその他の有機強塩基が挙げられる。ナトリウムまたはカリウムなどの金属イオンを含有するアルカリ溶液も機能し得るが、残留金属の夾雑が起こり得るので好ましくない。これらのさらなるアルカリ成分、特に水酸化アンモニウムと上記の水酸化テトラアルキルアンモニウムとの混合物も有用である。
【0038】
本発明の組成物にはいずれの好適な金属イオンフリー珪酸塩を使用してもよい。かかる珪酸塩は好ましくは珪酸テトラアルキルアンモニウム(通常アルキルまたはアルコキシ基中に1ないし4個の炭素原子のヒドロキシおよびアルコキシ含有アルキル基を含む)などの珪酸第4級アンモニウムである。最も好ましい金属イオンフリー珪酸塩成分は珪酸テトラメチルアンモニウムである。本発明のためのその他の好適な金属イオンフリー珪酸塩源としては、高アルカリ洗浄剤に以下のいずれかの物質の1種以上を溶かすことにより、in situで生成してもよい。洗浄剤中で珪酸塩を生成するのに有用な好適な金属イオンフリー物質としては、固体シリコーンウェーハー、珪酸、コロイド状シリカ、薫蒸シリカ、または他のいずれかの形態のシリコーンまたはシリカがある。メタ珪酸ナトリウムなどの珪酸金属を用いてもよいが、集積回路上の金属夾雑という悪影響があるので奨励できない。
【0039】
本発明の組成物はまた好適な金属キレート剤を配合して、配合剤の溶液中に金属を保持する能力を高め、かつ、ウェーハー基板上の金属残渣の溶解を高めてもよい。この目的のため有用なキレート剤の典型例としては、以下の有機酸ならびにそれらの異性体および塩が挙げられる:(エチレンジニトリロ)四酢酸(EDTA)、ブチレンジアミン四酢酸、シクロヘキセン−1,2−ジアミン四酢酸(CyDTA)、ジエチレントリアミン五酢酸(DETPA)、エチレンジアミン四プロピオン酸、(ヒドロキシエチル)エチレンジアミン三酢酸(HEDTA)、N,N,N’,N’−エチレンジアミンテトラ(メチレンホスホン)酸(EDTMP)、トリエチレンテトラミン六酢酸(TTHA)、1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸(DHPTA)、メチルイミノ二酢酸、プロピレンジアミン四酢酸、ニトロ三酢酸(NTA)、クエン酸、酒石酸、グルコン酸、糖酸、グリセル酸、シュウ酸、フタル酸、マレイン酸、マンデル酸、マロン酸、乳酸、サリチル酸、カテコール、没食子酸、没食子酸プロピル、ピロガロール、8−ヒドロキシキノリンおよびシステイン。
【0040】
好ましいキレート剤はEDTAなどのアミノカルボン酸である。この種のキレート剤はプラズマ「灰化」後の金属配線またはバイアス上に典型的に見られるアルミ含有残渣と高い親和性を持つ。さらに、この種のキレート剤のpKa値には、本発明の組成物の性能を向上する約12という一つのpKa値が含まれる。
【0041】
本発明の組成物はまた、1またはそれ以上の好適な水溶性有機溶媒を含んでもよい。好適な種々の有機溶媒には、アルコール類、多価アルコール類、グリコール類、グリコールエーテル類、N−メチルピロリジノン(NMP)、1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)のような1−ヒドロキシアルキル−2−ピロリジノンなどのアルキル−ピロリジノン類、ジメチルホルムアミド(DMA)、ジメチルアセトアミド(DMAc)、スルホランまたはジメチルスルホキシド(DMSO)がある。さらなるアルミニウム、および/またはアルミニウム銅合金、および/または銅の腐食防止が望まれる場合には、これらの溶媒を加えてアルミニウム、および/またはアルミニウム銅合金、および/または銅の腐食速度を小さくしてもよい。好ましい水溶性有機溶媒としては、グリセロールなどの多価アルコール類、および1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)などの1−ヒドロキシアルキル−2−ピロリジノン類がある。
【0042】
本発明の組成物はまた、1またはそれ以上の好適なチタン残渣除去促進剤を含んでもよい。好適な種々のチタン残渣除去促進剤には、ヒドロキシルアミン、ヒドロキシルアミン塩、過酸化物、オゾンおよびフッ化物がある。好ましいチタン残渣除去促進剤はヒドロキシルアミンおよび過酸化水素である。
【0043】
本発明の組成物はまた、いずれの好適な水溶性の両性、非イオン、陽イオンまたは陰イオン界面活性剤を含んでもよい。界面活性剤の添加により、配合物の表面張力が小さくなり、洗浄される面の湿潤性が向上するので、組成物の洗浄作用が向上する。さらなるアルミニウムの腐食防止が望まれる場合には、アルミニウム腐食速度を小さくするためにも界面活性剤が添加され得る。
【0044】
本発明の組成物に有用な両性界面活性剤としては、アルキルベタイン、アミドアルキルベタイン、アルキルスルホベタインおよびアミドアルキルスルホベタインなどのベタイン類およびスルホベタイン類;アンフォグリシネート、アンフォプロピオネート、アンフォジグリシネート、およびアンフォジプロピオネートなどのアンフォカルボン酸誘導体;アルコキシアルキルイミノジ酸またはアルコキシアルキルイミノジ酸などのイミノジ酸;アルキルアミンオキシドおよびアルキルアミドアルキルアミンオキシドなどのアミンオキシド;フルオロアルキルスルホネートおよびフッ化アルキル両性物質;ならびにそれらの混合物が挙げられる。
【0045】
好ましくは、両性界面活性剤はココアミドプロピルベタイン、ココアミドプロピルジメチルベタイン、ココアミドプロピルヒドロキシスルテイン、カプリロアンフォジプロピオネート、ココアミドジプロピオネート、ココアンフォプロピオネート、ココアンフォヒドロキシエチルプロピオネート、イソデシルオキシプロピルイミノジプロピオン酸、ラウリルイミノジプロピオネート、ココアミドプロピルアミンオキシドおよびココアミンオキシド、ならびにフッ化アルキル両性物質である。
【0046】
本発明の組成物に有用な非イオン界面活性剤としては、アセチル系ジオール類、エトキシル化アセチル系ジオール類、フッ化アルキルアルコキシレート類、フッ化アルキルエステル類、フッ化ポリオキシエチレンアルカノール類、多価アルコールの脂肪酸エステル、ポリオキシエチレンモノアルキルエーテル類、ポリオキシエチレンジオール類、シロキサン系界面活性剤、およびアルキレングリコールモノアルキルエーテル類が挙げられる。好ましくは、非イオン界面活性剤はアセチレン系ジオール類またはエトキシル化アセチレン系ジオール類である。
【0047】
本発明の組成物に有用な陰イオン界面活性剤としては、カルボキシレート類、N−アシルサルコシネート類、スルホネート類、スルフェート類、ならびにリン酸デシルなどのオルトリン酸のモノおよびジエステル類が挙げられる。かかる陰イオン界面活性剤は金属フリーの界面活性剤であるのが好ましい。
【0048】
本発明の組成物に有用な陽イオン界面活性剤としては、エトキシル酸アミン、ジアルキルジメチルアンモニウム塩、ジアルキルモルホリナム塩、アルキルベンジルジメチルアンモニウム塩、アルキルトリメチルアンモニウム塩およびアルキルピリジニウム塩が挙げられる。かかる陽イオン界面活性剤はハロゲンフリー界面活性剤であるのが好ましい。
【0049】
本発明の好ましい態様では、組成物は約0.1ないし2重量%の水酸化テトラメチルアンモニウム(TMAH)と、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)を含有する水溶液である。
【0050】
本発明のもう1つの態様では、組成物は約0.1ないし2重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、および約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)を含有する水溶液である。
【0051】
本発明のもう1つの態様では、組成物は約0.1ないし2重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、および約0.5ないし20重量%のポリヒドロキシ化合物、好ましくはグリセロールを含有する水溶液である。
【0052】
本発明のもう1つの態様では、組成物は約0.1ないし2重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、約0.5ないし20重量%のポリヒドロキシ化合物、および約0.01ないし0.3重量%の非イオンエトキシル化アセチル系ジオール界面活性剤を含有する水溶液である。
【0053】
本発明のもう1つの態様では、組成物は約0.1ないし2重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、および約0.5ないし20重量%の1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)などのアルキル−ピロリジノン、好ましくは1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)を含有する水溶液である。
【0054】
本発明のもう1つの態様では、組成物は約0.1ないし2重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、および約0.5ないし20重量%の1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)などのアルキル−ピロリジノン、および約0.01ないし0.3重量%の非イオンエトキシル化アセチル系ジオール面活性剤を含有する水溶液である。
【0055】
本発明の好ましい態様では、組成物は約0.1ないし10重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、および約1ないし10重量%の過酸化水素を含有する水溶液である。
【0056】
本発明のもう1つの好ましい態様では、組成物は約0.1ないし9重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし4重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、および約1ないし20重量%のヒドロキシルアミンを含有する水溶液である。
【0057】
本発明のもう1つの態様では、組成物は約0.1ないし10重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、および約1ないし10重量%の過酸化水素を含有する水溶液である。
【0058】
本発明のもう1つの態様では、組成物は約0.1ないし9重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし4重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、および約1ないし20重量%のヒドロキシルアミンを含有する水溶液である。
【0059】
本発明のもう1つの態様では、組成物は約0.1ないし10重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし1重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、約1ないし10重量%の過酸化水素、および約0.01ないし0.3重量%の非イオンエトキシル化アセチル系ジオール界面活性剤を含有する水溶液である。
【0060】
本発明のもう1つの態様では、組成物は約0.1ないし9重量%の水酸化テトラメチルアンモニウム(TMAH)、約0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸(CyDTA)、約0.01ないし4重量%(SiO2換算)の珪酸テトラメチルアンモニウム(TMAS)、約1ないし20重量%のヒドロキシルアミン、および約0.01ないし0.3重量%の非イオンエトキシル化アセチル系ジオール界面活性剤を含有する水溶液である。
【0061】
すべての態様において、組成物の残部は水、好ましくは高純度脱イオン水である。
【0062】
以下の実施例で示されるように、アルカリ性の塩基しか含まない組成物では、アルミニウム金属集積回路の起伏を腐食せずに効果的な洗浄効果を得ることができない。この例はまた、(1)アルミニウム金属集積回路を腐食から防ぐため、(2)珪酸塩緩衝作用(pKa2=118)によりこれらの洗浄組成物の液浴寿命を延長させるため、および(3)二酸化珪素誘電体エッチング速度を低下させるために塩基性の高い配合剤に可溶性珪酸塩を添加する有用性を示す。本発明の組成物のさらなる利点は以下の通りである。(1)水分含量が高いこと(中間すすぎ(イソプロパノールなど)を行わずに即時水洗を補助して洗浄後の金属の腐食を防ぎ、基板表面には無視できる炭素の混入しか起こらない)、(2)集積回路基板をトリッピングおよび洗浄するのに使用される先行技術の組成物に特徴的なカテコール、揮発性有機溶媒および有機アミンを特に避けた無毒な成分の使用に伴い、衛生上、安全上、環境上および取扱い上のリスクが小さいこと、(3)集積回路基板からチタン含有残渣を低温で除去する能力、(4)これらの配合剤と集積回路に使用される感光性低k誘電物質との和合性、(5)銅との和合性(低エッチング速度)および(6)本発明の組成物の、後化学機械研磨(CMP)操作中のウェーハー基板の汚染を洗浄および防止する能力。
【0063】
本発明の方法は、汚染された基板を、基板表面から望ましくない混入物を洗浄するのに十分な時間および温度で本発明の組成物に曝すことにより半導体ウェーハー基板を洗浄するものである。所望により、その基板をすすいで組成物と混入物を除去し、乾燥させて過剰な溶媒またはすすぎ剤を除去する。その後この基板を意図した目的に使用できる。
【0064】
好ましくは、本方法では基板を組成物に曝すのに液浴または噴霧適用を用いる。液浴または噴霧洗浄時間は一般に1分ないし30分間、好ましくは5分ないし20分間である。液浴または噴霧洗浄温度は一般には10℃ないし85℃、好ましくは20℃ないし45℃である。
【0065】
必要であれば、すすぎ時間は一般に室温で10秒ないし5分、好ましくは室温で30秒ないし2分である。好ましくは、脱イオン水を基板のすすぎに使用する。
【0066】
必要であれば、基板の乾燥は空気蒸発、加熱、遠心、または加圧ガスのいずれかの組合せによって達成できる。好ましい乾燥法としては、フィルターを通した窒素などの不活性ガス流下、ウェーハー基板が乾燥するまでの時間遠心することである。
【0067】
本発明の方法は、フォトレジスト塊を除去するために従前に酸素プラズマ灰化された半導体ウェーハー基板、特にシリコーン、酸化珪素、窒化珪素、タングステン、タングステン合金、チタン、チタン合金、タンタル、タンタル合金、銅、銅合金、アルミニウム、またはアルミニウム合金フィルムを含むウェーハー基板を洗浄するのに極めて効果的である。該方法は望ましくない金属および有機混入物を除去するが、シリコーン、酸化珪素、窒化珪素、タングステン、タングステン合金、チタン、チタン合金、タンタル、タンタル合金、銅、銅合金、アルミニウム、またはアルミニウム合金フィルムに許容されない腐食を生じることはない。
【0068】
実施例
以下の実施例は本明細書に記載される発明の特定の具体例を示す。当業者には明らかであろうが、種々の変更や改良が可能であり、それらも記載される発明の範囲内にあると考えられる。
【0069】
実験手順
実施例で示されるパーセンテージは特に断りのない限り重量%である。アルミニウム金属腐食量は金属損失%と一般腐食指標の双方で表される。与えられる一般腐食指標は、非常に少ない、わずか、軽度、中程度、および厳しい、である。少量のアンモニウム腐食ならば許容限度内にあるとみなされ、非常に少ない、またはわずかとされた。軽度、中程度または厳しい腐食は許容されるものではないとみなされた。走査電子顕微鏡(SEM)または電界放射走査電子顕微鏡(FE−SEM)を用いて得られた洗浄および腐食データ登録はすべて同一のウェーハーからの非処理サンプルと処理サンプルとの間の差異の視によった。
【0070】
実施例1
水溶液「A」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465(Air Products and Chemicals, Inc.の製品)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約12.2である。水溶液「B」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.7である。水溶液「C」は0.08重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約10.5である。水溶液「D」は0.09重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約9.6である。水溶液「E」は、0.1重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.010重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約11.3である。水溶液「F」は、0.08重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約10.9である。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#1サンプルを用いて溶液の性能を評価した。21ないし65℃にて5ないし10分間これらの各溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表1に示す。
【表1】

Figure 0004565741
【0071】
表1によれば、データはTMASの、アルカリ溶液に曝されたことに伴うアルミ起伏の腐食を防ぐ能力を示し、珪酸テトラメチルアンモニウムを水酸化テトラメチルアンモニウムベースの洗浄溶液に添加することで、望ましくない集積回路の腐食が完全に阻害されることを示している。
【0072】
実施例2
水溶液「G」は2.0重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465および0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.6である。水溶液「H」は0.09重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約10.8である。水溶液「M」は1.8重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465および1.3重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.0である。水溶液「N」は1.9重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465および0.86重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.2である。水溶液「O」は、1.9重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465および0.70重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.2である。水溶液「P」は、1.9重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465および0.54重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.3である。水溶液「Q」は、2.0重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465および0.45重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.3である。水溶液「R」は、2.0重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465および0.28重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.4である。水溶液「S」は、2.0重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.19重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約13.4である。水溶液「T」は、0.1重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.020重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約11.2である。水溶液「U」は、0.1重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.070重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約10.9である。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#1サンプルを用いて溶液の性能を評価した。21ないし65℃にて5ないし20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表2に示す。
【表2】
Figure 0004565741
【0073】
表2によれば、データはこれらのアルカリ溶液に曝されることに伴うアルミ起伏の腐食を防ぐ、または弱めるには、pHが高まるにつれてTMAS濃度を高める必要性があることを示し、本出願の溶液の至適pH範囲は約11ないし13であることを示している。
【0074】
実施例3
水溶液「I」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465、0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および5重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「J」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465、0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および6重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「K」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.06重量%非イオン界面活性剤Surfynol−465、0.12重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および10重量%ジエチレングリコール(DEG)を加えて調製した。なお、この溶液の残部は水である。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#1サンプルを用いて溶液の性能を評価した。21ないし35℃にて5ないし20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表3に示す。
【表3】
Figure 0004565741
【0075】
表3によれば、データはTMAS含有アルカリ溶液に曝されることに伴うアルミ起伏の腐食を防ぐ、または弱める能力には、水溶性有機溶媒の添加が有利であることを示し、本発明の組成物に水溶性溶媒を添加することで、集積回路に存在する金属配線の腐食を伴わずに洗浄時間を延長することが可能となることを示す。
【0076】
実施例4
水溶液「L」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。その基盤においてアルミ銅金属を露出する誘電材料を貫通する1ミクロンの深度の孔(バイアス)に対して1/2ミクロンの幅を有するウェーハーサンプル#2は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機残渣を後に残すことで予め製造した。その基盤においてアルミ銅金属を露出する誘電材料を貫通する1ミクロンの深度のテーパー孔(バイアス)に対して1ミクロンの幅を有するウェーハーサンプル#3は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機残渣を後に残すことで予め製造した。これらのサンプルを用いて溶液の性能を評価した。20ないし21℃にて10分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルの横断面をとり、次いで、走査電子顕微鏡(SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表4に示す。
【表4】
Figure 0004565741
【0077】
表4によれば、データはTMAS含有アルカリ溶液に曝されることに伴うアルミ起伏の腐食を防ぐ、または弱める能力には、水溶性有機溶媒の添加が有利であることを示し、本発明の組成物に水溶性溶媒を添加することで、バイアスの基盤において金属の腐食を伴わずにバイアスの洗浄が可能となることを示す。
【0078】
実施例5
(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#1および#4サンプル各々を用いて溶液の性能を評価した。11ないし65℃にて5ないし30分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表5A、5Bおよび5Cに示す。
【表5】
Figure 0004565741
【表6】
Figure 0004565741
【表7】
Figure 0004565741
【0079】
表5A、5Bおよび5Cによれば、データは、水溶性有機溶媒を添加する(溶液「L」)か添加しない(溶液「A」)、これら両配合にはかなりの加工寛容度があるということを示している。表5Bと5Cの比較もまた、水溶性有機溶媒の添加(溶液「L」)により、工程時間の延長および高温に伴って起こるアルミニウム金属腐食が減少し、加工寛容度がさらに改良されるということを示している。配合剤に有機溶媒を添加した表5Bでは、65℃の洗浄温度を用いても、観察された腐食範囲はわずかに0ないし4%であった。有機溶媒を添加しなかった表5Cでは、10分以上の洗浄時間で4%以上の腐食が観察された。データはまた、本発明の組成物を用いて得られるかなりの加工寛容度を示し、また所望による水溶性溶媒の添加によって加工寛容度をさらに改良できるということを示している。
【0080】
実施例6
水溶液「V」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.07重量%非イオン界面活性剤Surfynol−465および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である。水溶液「W」は0.6重量%水酸化テトラメチルアンモニウム(TMAH)、0.3重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である。水溶液「X」は0.7重量%水酸化テトラメチルアンモニウム(TMAH)、0.5重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#4サンプルを用いて溶液の性能を評価した。20ないし21℃にて5分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表6に示す。
【表8】
Figure 0004565741
【0081】
表6によれば、データは広範なCyDTA濃度にわたって良好なストリッピング性能が得られるということを示している。このように、存在するキレート剤の量を洗浄されるサンプルに適応するよう調節することができる。より難しいサンプルには、完全な洗浄を達成するためにこの所望による成分が必要となり得る。データはまた、本明細書に開示される組成物において所望によりキレート剤が使用されることを示している。
【0082】
実施例7
水溶液「Y」は0.4重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#4サンプルを用いて溶液の性能を評価した。20ないし21℃にて5分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表7に示す。
【表9】
Figure 0004565741
【0083】
表7によれば、データは基板の湿潤を改良するための界面活性剤を混合した処方に関して、良好なストリッピング性能が得られるということを示し、本明細書に開示される組成物において所望により界面活性剤が使用されることを示している。
【0084】
実施例8
2種の異なる配合剤に対して標準的な槽を使用して開放槽経時変化実験を実施した。第1槽は室温で24.75時間作動させ、第2槽は45℃で24.75時間作動させた。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#4サンプルを用いて溶液の性能を評価した。20℃または45℃にて10分間この槽にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表8に示す。
【表10】
Figure 0004565741
【0085】
表8によれば、データは室温および高温での長期の開放槽経時変化の間の珪酸塩緩衝作用の利点を示している。この経時変化期間の間にストリッピング性能に変化は起こらなかった。データはまた、本発明の組成物は経時変化を受けないことを示している。
【0086】
実施例9
水溶液「A1」は0.27重量%水酸化テトラメチルアンモニウム(TMAH)および0.14重量%(SiO2%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「A2」は0.38重量%水酸化テトラメチルアンモニウム(TMAH)、0.09重量%キレート剤(エチレンジニトリロ)四酢酸(EDTA)および0.14重量%(SiO2%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「A3」は0.39重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%キレート剤ジエチレントリアミン五酢酸(DETPA)および0.14重量%(SiO2%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「A4」は0.40重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%キレート剤トリエチレンテトラミン六酢酸(TTHA)および0.14重量%(SiO2%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「A5」は、0.40重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%キレート剤1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸(DHPTA)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「A6」は、0.47重量%水酸化テトラメチルアンモニウム(TMAH)、0.13重量%キレート剤N,N,N’,N’−エチレンジアミンテトラ(メチレンホスホン酸)(EDTMP)および0.14重量%(SiO2%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。各溶液を125mlガラス瓶に入れ、ゆるく蓋を締めて45℃に設定したオーブンに1時間入れた。0.05mm×12mm×50mm、99.8%純度アルミ箔片をアセトンで洗浄し、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでアルミ箔片を瓶に入れ、再びゆるく蓋をしてオーブンに戻した。約45℃で1時間後、瓶をオーブンから取り出した。アルミニウム片を取り出し、水洗し、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表9に示す。
【表11】
Figure 0004565741
【0087】
表9によれば、データはアルミニウムエッチング速度を速めるには、キレート剤を添加が有用であることを示している。許容されるストリッピング温度および時間範囲における、酸素プラズマ灰化後のウェーハー上に見られる金属残渣の除去を可能にするには、アルミニウムエッチング速度を速める必要がある場合がある。データはまた、本明細書における発明の組成物に関して望ましいアルミニウムエッチング速度を得るためには、所望により様々な構造のキレート剤が使用されることを示している。
【0088】
実施例10
水溶液「B1」は0.22重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「B2」は0.30重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「B3」は0.45重量%水酸化テトラメチルアンモニウム(TMAH)、0.30重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「B4」は0.59重量%水酸化テトラメチルアンモニウム(TMAH)、0.50重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.1)。水溶液「B5」は、1.1重量%水酸化テトラメチルアンモニウム(TMAH)、1.0重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「B6」は、4.1重量%水酸化テトラメチルアンモニウム(TMAH)、4.8重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。各溶液を125mlポリエチレン瓶に入れ、ゆるく蓋を締めて45℃に設定したオーブンに1時間入れた。0.05mm×12mm×50mm、99.8%純度アルミ箔片をアセトンすすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでアルミ箔片を瓶に入れ、再びゆるく蓋をしてオーブンに戻した。約45℃にて1時間後、瓶をオーブンから取り出した。アルミニウム片を取り出し、水洗し、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表10に示す。
【表12】
Figure 0004565741
【0089】
表10によれば、データはアルミニウムエッチング速度を速めるにはキレート剤の添加が有用であることを示している。許容されるストリッピング温度および時間範囲における、酸素プラズマ灰化後のウェーハー上に見られる金属残渣の除去を可能にするには、アルミニウムエッチング速度を速める必要がある場合がある。アルミニウムエッチング速度は使用されるキレート剤の量に正比例する。データはまた、本明細書における発明の組成物に関する所望のアルミニウムエッチング速度を得るためには、所望により種々の濃度で添加されるキレート剤が使用されることを示している。
【0090】
実施例11
水溶液「C1」は0.25重量%水酸化テトラメチルアンモニウム(TMAH)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「C2」は0.36重量%コリンおよび0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「C3」は0.76重量%水酸化テトラブチルアンモニウム(TBAH)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「C4」は1.6重量%水酸化メチルトリエタノールアンモニウム(MAH)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「C5」は、0.36重量%水酸化メチルトリエチルアンモニウム(MTEAH)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。各溶液を125mlガラス瓶に入れ、ゆるく蓋を締めて45℃に設定したオーブンに1時間入れた。0.05mm×12mm×50mm、99.8%純度アルミ箔片をアセトンですすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでアルミ箔片を瓶に入れ、再びゆるく蓋をしてオーブンに戻した。約45℃にて1時間後、瓶をオーブンから取り出した。アルミニウム片を取り出し、水洗し、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表11に示す。
【表13】
Figure 0004565741
【0091】
表11によれば、データはアルミニウムエッチング速度を速めるには、TMAHを種々の金属イオンフリー塩基で置き換えてもよいということを示している。許容されるストリッピング温度および時間範囲における、酸素プラズマ灰化後のウェーハーに見られる金属残渣の除去を可能にするには、アルミニウムエッチング速度を速める必要がある場合がある。データはまた、本明細書における発明の組成物に関して望ましいアルミニウムエッチング速度を得るためには、様々な構造の金属イオンフリーのアルカリ成分が使用されることを示している。
【0092】
実施例12
水溶液「D1」は0.14重量%水酸化テトラメチルアンモニウム(TMAH)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「D2」は0.25重量%水酸化テトラメチルアンモニウム(TMAH)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「D3」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)および1.3重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.6)。水溶液「D4」は1.8重量%水酸化テトラメチルアンモニウム(TMAH)および2.8重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.6)。各溶液を125mlガラス瓶に入れ、ゆるく蓋を締めて45℃に設定したオーブンに1時間入れた。0.05mm×12mm×50mm、99.8%純度アルミ箔片をアセトンですすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでアルミ箔片を瓶に入れ、再びゆるく蓋をしてオーブンに戻した。約45℃で1時間後、瓶をオーブンから取り出した。アルミニウム片を取り出し、水洗し、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表12に示す。
【表14】
Figure 0004565741
【0093】
表12によれば、データは金属イオンフリー塩基性溶液に珪酸塩を添加することでアルミニウム金属の腐食が阻害されるということ示し、また本明細書における発明の組成物に関して望ましいアルミニウムエッチング速度を得るためには、種々の濃度で添加された金属イオンフリーの珪酸塩が使用されることを示している。
【0094】
実施例13
水溶液「E1」は0.22重量%水酸化テトラメチルアンモニウム(TMAH)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「E2」は0.22重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および2.9重量%グリセロールを加えて調製した。なお、この溶液の残部は水である(溶液pH=12.1)。水溶液「E3」は0.20重量%水酸化テトラメチルアンモニウム(TMAH)、0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および9.1重量%トリエチレングリコールモノメチルエーテルを加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「E4」は0.19重量%水酸化テトラメチルアンモニウム(TMAH)、0.12重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および13重量%N−メチルピロリジノンを加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「E5」は、0.19重量%水酸化テトラメチルアンモニウム(TMAH)、0.12重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および17重量%ジエチレングリコールを加えて調製した。なお、この溶液の残部は水である(溶液pH=12.1)。水溶液「E6」は、0.17重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および23重量%イソプロピルアルコールを加えて調製した。なお、この溶液の残部は水である(溶液pH=12.7)。各溶液を125mlポリエチレン瓶に入れ、ゆるく蓋を締めて45℃に設定したオーブンに1時間入れた。0.05mm×12mm×50mm、99.8%純度アルミ箔片をアセトンですすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでアルミ箔片を瓶に入れ、再びゆるく蓋をしてオーブンに戻した。約45℃にて1時間後、瓶をオーブンから取り出した。アルミニウム片を取り出し、水洗し、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表13に示す。
【表15】
Figure 0004565741
【0095】
表13によれば、データはアルミニウムエッチング速度を遅らせるためには、水溶性有機溶媒の添加が有用であることを示している。ストリッピング工程の間のアルミニウム腐食を完全に避けるには、アルミニウムエッチング速度を遅らせる必要がある場合がある。アルミニウムエッチング速度は、溶媒種類にかかわらず、使用される溶媒量に反比例する。広範な種類の水溶性溶媒が以下に示されている。データはまた、本明細書における発明の組成物に関して望ましいアルミニウムエッチング速度を得るためには、所望により種々のタイプの水溶性有機溶媒が使用されることを示している。
【0096】
実施例14
水溶液「G1」は0.22重量%水酸化テトラメチルアンモニウム(TMAH)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「G2」は0.22重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および0.10重量%非イオン界面活性剤Surfynol−465を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「G3」は0.22重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および0.10重量%非イオン界面活性剤Fluorad FC−170C(3Mの工業用化学製品事業部の製品)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「G4」は0.22重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および0.042(有効)重量%両性界面活性剤Rewoteric AM KSF−40(Witco Corporationの製品)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「G5」は、0.22重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および0.026(有効)重量%陰イオン界面活性剤Fluorad FC−93(3Mの工業用化学製品事業部の製品)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。水溶液「G6」は、0.22重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および0.037(有効)重量%陽イオン界面活性剤Barquat CME−35(Lonza, Inc.の製品)を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.2)。各溶液を125mlポリエチレン瓶に入れ、ゆるく蓋を締めて45℃に設定したオーブンに1時間入れた。0.05mm×12mm×50mm、99.8%純度アルミ箔片をアセトンですすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでアルミ箔片を瓶に入れ、再びゆるく蓋をしてオーブンに戻した。約45℃にて1時間後、瓶をオーブンから取り出した。アルミニウム片を取り出し、水洗し、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表14に示す。
【表16】
Figure 0004565741
【0097】
表14によれば、データはアルミニウムエッチング速度を遅らせるには、界面活性剤の添加が有用であることを示している。ストリッピング工程の間のアルミニウム腐食を完全に避けるには、アルミニウムエッチング速度を遅らせる必要がある場合がある。有用なアルミニウムエッチング速度抑制は4種の界面活性剤すべてで起こる。このことは、界面活性剤が存在する場合のサンプルの湿潤性の向上という期待される望ましい特性に付加されるものである。データはまた、本明細書における発明の組成物に関して望ましいアルミニウムエッチング速度を得るためには、所望により種々のタイプの界面活性剤が使用されることを示している。
【0098】
実施例15
水溶液「F1」は0.20重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および0.07重量%非イオン界面活性剤Surfynol−465を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「F2」は0.30重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および0.07重量%非イオン界面活性剤Surfynol−465を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.3)。水溶液「F3」は0.29重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、3.0重量%グリセロールおよび0.07重量%非イオン界面活性剤Surfynol−465を加えて調製した。なお、この溶液の残部は水である(溶液pH=12.1)。約650nmの熱酸化物を有する同一のSi(100)ウェーハーからの切片をアセトンですすぎ、乾燥させ、次いでRudolph FTM干渉計を用いて測定して熱酸化物の厚みを求めた。4つの領域を測定し、処理後の追跡測定のためにマッピングした。次いで、各サンプルを瓶に入れ、再びゆるく蓋を締めて予め45℃に設定したオーブンに入れた。約45℃にて24時間後、瓶をオーブンから取り出してサンプルを取り出し、水洗し、次いでアセトンですすぎ、乾燥させて、さらに干渉計で測定した。サンプル上の4つの領域について平均した熱酸化物フィルムの厚みの差異から相対的腐食度を求めた。結果を表15に示す。
【表17】
Figure 0004565741
【0099】
表15によれば、データはアルカリ溶液に曝されることに伴う酸化珪素の腐食を防ぐ、または弱めるには、珪酸塩の添加が有利であることを示している。通常、二酸化珪素誘電体は金属配線またはバイアスのストリッピングの間、集積回路表面に存在している。これらの誘電体に対する損傷は避けなければならない。データはまた、珪酸テトラメチルアンモニウムを水酸化テトラメチルアンモニウムベースの洗浄溶液に添加することで、通常、集積回路に存在する誘電材料の望ましくない腐食が阻害されることを示している。
【0100】
実施例16
二次イオン質量分析計(SIMS)を用いて洗浄後に残存する有機混入物を測定した。アルミ−1%銅合金の0.35ミクロンフィルムでスパッターしたシリコーンウェーハーサンプルを珪酸塩溶液「A」で、また市販のエッチング後残渣リムーバー、EKC−265TM(EKC Technology, Inc.の製品)でも洗浄した。EKC−265TMは約5%のカテコール、ヒドロキシルアミンと水を各々15%ないし20%含んでなり、残部は2−(2−アミノエトキシ)エタノールである。35℃にて5分間溶液「A」にウェーハーサンプルを入れ、次いで0.2ミクロンで濾過した脱イオン水で2分間すすいで加圧窒素乾燥させた。第2のウェーハーサンプルを、製造業者によって推奨される時間および温度を用いてEKC−265TM中で同様に処理した。第3の未処理ウェーハー片(これもまた同一のシリコーンウェーハーからのもの)を対照として用いた。次いで、ウェーハーサンプルを、0.5秒の一時停止時間を伴う22.1オングストローム/秒のエッチング速度を用いてDynamic−SIMSによって解析した。次いで、表面から放出された炭素−12の原子度数を用いてこの3種のサンプルの炭素表面汚染を比較した。結果を表16に示す。
【表18】
Figure 0004565741
【0101】
表16によれば、データは洗浄後に有機混入物のない表面を与えることに関する本発明の優位性を示し、本明細書に記載される組成物の使用により炭素含有(有機)不純物による集積回路の汚染がほとんどなくなるということを示す。
【0102】
実施例17
水溶液「H1」は0.27重量%水酸化テトラメチルアンモニウム(TMAH)、0.092重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.062重量%非イオン界面活性剤Surfynol−465、0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および2.7重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「H2」は0.28重量%水酸化テトラメチルアンモニウム(TMAH)、0.097重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.065重量%非イオン界面活性剤Surfynol−465、0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および2.9重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「H3」は0.32重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.075重量%非イオン界面活性剤Surfynol−465、0.15重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3.3重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「H4」は0.39重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.091重量%非イオン界面活性剤Surfynol−465、0.19重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および4.0重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「H5」は0.58重量%水酸化テトラメチルアンモニウム(TMAH)、0.20重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%非イオン界面活性剤Surfynol−465、0.28重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および6.0重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「H6」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.41重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.27重量%非イオン界面活性剤Surfynol−465、0.56重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および12重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「H7」は5.1重量%水酸化テトラメチルアンモニウム(TMAH)、1.8重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、2.4重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および52重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハー#5および#6サンプルを用いて溶液の性能を評価した。その基盤においてアルミ銅金属を露出する誘電材料を貫通する1ミクロンの深度の孔(バイアス)に対して1/2ミクロンの幅を有するウェーハーサンプル#7および#8は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機残渣を後に残すことで予め製造した。その基盤においてアルミ銅金属を露出する誘電材料を貫通する1ミクロンの深度のテーパー孔(バイアス)に対して1ミクロンの幅を有するウェーハーサンプル#9は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機残渣を後に残すことで予め製造した。21ないし45℃にて5ないし10分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果を表17Aないし17Eに示す。
【表19】
Figure 0004565741
【表20】
Figure 0004565741
【表21】
Figure 0004565741
【表22】
Figure 0004565741
【表23】
Figure 0004565741
【0103】
表17Aないし17Eによれば、データはpHおよび各々の成分の濃度を変化させることで、7種の異なる配合で、許容されないアルミニウム腐食が起こらずに数種の異なる酸素プラズマ灰化したウェーハーサンプルから残渣を上手く洗浄することが可能となったことを示している。
【0104】
実施例18
水溶液「H8」は5.1重量%水酸化テトラメチルアンモニウム(TMAH)、1.8重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、2.4重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および52重量%ジメチルスルホキシド(DMSO)を加えて調製した。なお、この溶液の残部は水である。水溶液「H9」は0.58重量%水酸化テトラメチルアンモニウム(TMAH)、0.20重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%非イオン界面活性剤Surfynol−465、0.28重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および6.0重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。水溶液「H10」は0.88重量%水酸化テトラメチルアンモニウム(TMAH)、0.30重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.20重量%非イオン界面活性剤Surfynol−465、0.42重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および9.0重量%グリセロールを加えて調製した。なお、この溶液の残部は水である。その基盤においてフォトレジストおよびアルミ銅金属を露出する誘電材料を貫通する2ミクロンの深度の孔(バイアス)に対して1ミクロンの幅を有するウェーハーサンプル#10は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)約1ミクロンの厚みの層のフォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)高温でのフォトレジストの堅焼きにより溶媒を除去し、一方主に有機フォトレジスト層を後に残すことで予め製造した。このサンプルを用いて以下のように溶液の性能を評価した。45ないし65℃にて20ないし30分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表18に示す。
【表24】
Figure 0004565741
【0105】
表18によれば、データは本発明の、サンプルが酸素プラズマ灰化される前に半導体ウェーハー表面から有機フォトレジスト層を洗浄し、一方でアルミ起伏の腐食を防ぐ、または弱める能力を証明している。
【0106】
実施例19
水溶液「H11」は6.2重量%水酸化テトラメチルアンモニウム(TMAH)、2.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、64重量%グリセロールおよび2.9重量%(Si02%換算)コロイド状シリカ溶液(20nmの粒子サイズを有する)を加えて調製した。なお、この溶液の残部は水である。溶液「H11」のpHは約13.1である。(a)アルミ銅合金、次いで窒化チタンでメッキし、(b)フォトレジスト材料を用いてリトグラフパターンをとり、(c)反応性イオンエッチングを用いてパターンを転写し、(d)酸素プラズマ灰化により有機フォトレジスト残渣を除去し、一方主に無機残渣を後に残すことで予め製造した、1ミクロン幅の起伏とアルミ銅で形成させ窒化チタンでキャップした配線とを有するウェーハーサンプル#5および#6を用いた。各サンプルに対する処理を22ないし45℃にて5ないし10分間行って取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルを走査電子顕微鏡(SEM)で観察してアルミ銅金属の起伏の洗浄および/または腐食の程度を求めた。結果は実施例17において溶液「H7」に関して得たものと同様であり、コロイド状シリカを本発明の水溶性の金属イオンを含まない珪酸塩の供給源として使用できるということを示している。
【0107】
実施例20
水溶液「L」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3重量%グリセロールを加えて調製した。なお、この溶液の残部は水であり、このpHは約12.1である。水溶液「Z」は1.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.58重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)を加えて調製し(この溶液の残部は水)、このpHは約13.0である。水溶液「M1」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)18.5重量%ヒドロキシルアミンおよび0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P1」は2.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.6重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。22ないし65℃にて20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表19に示す。
【表25】
Figure 0004565741
【0108】
表19によれば、データはヒドロキシルアミンまたは過酸化水素の、低温でのチタン含有残渣の除去を促進する能力を示している。
【0109】
実施例21
水溶液「M2」は0.67重量%水酸化テトラメチルアンモニウム(TMAH)、0.46重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、1.0重量%ヒドロキシルアミンおよび0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「M3」は0.94重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.20重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、5.1重量%ヒドロキシルアミンおよび0.1重量%非イオン界面活性剤Surfynol−465用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「M4」は1.1重量%水酸化テトラメチルアンモニウム(TMAH)、0.46重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.18重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、10.0重量%ヒドロキシルアミンおよび0.09重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「M5」は1.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.42重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および47.3重量%ヒドロキシルアミンを用いて調製し(この溶液の残部は水)、このpHは約12.1である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。35℃にて20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表20に示す。
【表26】
Figure 0004565741
【0110】
表20によれば、データはヒドロキシルアミンの低温でのチタン含有残渣の除去を促進する能力を示している。
【0111】
実施例22
水溶液「M6」は0.82重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、18.8重量%ヒドロキシルアミンおよび0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。35℃にて20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表21に示す。
【表27】
Figure 0004565741
【0112】
表21によれば、データはある範囲のCyDTA濃度にわたって良好なストリッピング性能が得られることを示す。従って、存在するキレート剤の量を洗浄されるサンプルに適応させるよう調節することができる。より難しいサンプルには、完全な洗浄を達成するためにこの所望による成分が必要となり得る。データはまた、本明細書に開示される組成物において所望によりキレート剤が使用されることを示している。
【0113】
実施例23
水溶液「M7」は6.0重量%水酸化テトラメチルアンモニウム(TMAH)、0.35重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、1.2重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、17.7重量%ヒドロキシルアミンおよび0.06重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約13.0である。水溶液「M8」は7.1重量%水酸化テトラメチルアンモニウム(TMAH)、0.46重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、2.7重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および19.1重量%ヒドロキシルアミンを用いて調製し(この溶液の残部は水)、このpHは約13.0である。水溶液「M9」は8.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、4.1重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および19.0重量%ヒドロキシルアミンを用いて調製し(この溶液の残部は水)、このpHは13.0である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。35℃にて20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表22に示す。
【表28】
Figure 0004565741
【0114】
表22によれば、データは珪酸テトラメチルアンモニウムの、処方pHが極めて高い場合でさえもバイアスの基盤における露出されたアルミニウムの腐食を防ぐ、または弱める能力を示している。
【0115】
実施例24
水溶液「M10」は0.34重量%水酸化テトラメチルアンモニウム(TMAH)、0.47重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.01重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、18.6重量%ヒドロキシルアミンおよび0.06重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約10.1である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。20ないし65℃にて5ないし30分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表23に示す。
【表29】
Figure 0004565741
【0116】
表23によれば、データは高いpH、高濃度の珪酸テトラメチルアンモニウムを用いてアルミニウム腐食を阻害することができることを示している。データはまた、高いpH、低い作業温度も使用できるということを示している。
【0117】
実施例25
水溶液「P1」は2.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.6重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。水溶液「P2」は9.7重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および9.4重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。21ないし35℃にて20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表24に示す。
【表30】
Figure 0004565741
【0118】
表24によれば、データはある範囲の過酸化水素濃度がバイアスにおけるチタン含有残渣の除去に有用であるということを示している。
【0119】
実施例26
水溶液「P3」は3.5重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.5重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約12.2である。水溶液「P4」は3.9重量%水酸化テトラメチルアンモニウム(TMAH)、0.096重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.59重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.4重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約12.2である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。22℃にて10分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表25に示す。
【表31】
Figure 0004565741
【0120】
表25によれば、データは過酸化水素が存在する場合には高濃度の珪酸テトラメチルアンモニウムを用いてアルミニウム腐食を阻害することができるということを示している。
【0121】
実施例27
水溶液「P5」は2.1重量%水酸化テトラメチルアンモニウム(TMAH)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.5重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。水溶液「P6」は2.4重量%水酸化テトラメチルアンモニウム(TMAH)、0.53重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.6重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。水溶液「P7」は2.9重量%水酸化テトラメチルアンモニウム(TMAH)、1.4重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.5重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。21ないし23℃にて20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表26に示す。
【表32】
Figure 0004565741
【0122】
表26によれば、データはある範囲のCyDTA濃度が有用であるということを示している。
【0123】
実施例28
水溶液「P8」は0.40重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および19.2重量%ヒドラジンを用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P9」は4.33重量%水酸化テトラメチルアンモニウム(TMAH)、0.088重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.12重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および15.7重量%ホルムアルデヒドを用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P10」は0.26重量%水酸化テトラメチルアンモニウム(TMAH)、11.5重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.13重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および16.7重量%メチルアミンを用いて調製し(この溶液の残部は水)、このpHは約12.1である。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。35℃にて20ないし30分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表27に示す。
【表33】
Figure 0004565741
【0124】
表27によれば、データは他の低分子はチタン残渣除去に効果がないということを示している。ヒドロキシルアミンと同様に、ヒドラジンは強力な還元剤である。ヒドラジンに有効性がないということは予想されず、珪酸塩含有処方を用いて、ウェーハーサンプル#11に見られるチタン含有残渣をバイアスから洗浄することができるのは、ヒドロキシルアミンと過酸化水素特有のものであるということを証明している。
【0125】
実施例29
水溶液「L」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面化性剤Surfynol−465、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3重量%グリセロールを加えて調製した。なお、この溶液の残部は水であり、pHは約12.1である。水溶液「M1」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、18.5重量%ヒドロキシルアミンおよび0.07重量%非イオン界面化性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P8」は0.40重量%水酸化テトラメチルアンモニウム(TMAH)、0.10重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および19.2重量%ヒドラジンを用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「S1」は583グラム脱イオン水、7.8グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および8.6グラム珪酸テトラメチルアンモニウム(TMAS、Si02として10.0%)を合することにより調製し、このpHは12.5である。水溶液「S2」は99.0グラム溶液「S1」と2.5グラムβ−シクロデキストリンを合することによって調製した(溶液pH=12.1)。水溶液「S3」は99.0グラム溶液「S1」と2.5グラム次亜リン酸ナトリウムを合することによって調製した(溶液pH=12.3)。水溶液「S4」は99.0グラム溶液「S1」と2.5グラム亜ジチオン酸ナトリウムを合することによって調製した(溶液pH=6.7)。水溶液「S5」は99.0グラム溶液「S1」と2.5グラム亜硫酸ナトリウムを合することによって調製した(溶液pH=12.3)。保存水溶液「S5b」は1,775.2グラム脱イオン水および96.0グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液、8.8グラムトランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)および114.8グラム珪酸テトラメチルアンモニウム(TMAS、Si02として10.0%)を合することによって調製した。保存水溶液「S5c」は900ml脱イオン水および300ml溶液「S5」を合することによって調製した。水溶液「S6」は80.0グラム溶液「S5c」、5.0グラムL−アスコルビン酸および18.2グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液を合することによって調製した(溶液pH=12.3)。水溶液「S7」は80.0グラム溶液「S5c」、5.0グラムヒドロキノンおよび27.1グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液を合することによって調製した(溶液pH=12.4)。水溶液「S8」は80.0グラム溶液「S5c」、5.0グラムL(+)−システインおよび29.6グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液を合することによって調製した(溶液pH=12.4)。水溶液「S9」は80.0グラム溶液「S5c」、10.0グラム過硫酸アンモニウムおよび32.9グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液を合することによって調製した(溶液pH=12.6)。水溶液「S10」は80.0グラム溶液「S5c」、5.0グラム硝酸および10.2グラム水酸化テトラメチルアンモニウム五水和物(TMAH)を合することによって調製した(溶液pH=12.4)。水溶液「S11」は90.0グラム溶液「S5c」、5.0グラムおよび19.2グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液を合することによって調製した(溶液pH=12.3)。水溶液「S12」は80.0グラム溶液「S5c」、5.0グラム88%蟻酸、10.0グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および12.7グラム水酸化テトラメチルアンモニウム五水和物(TMAH)を合することによって調製した(溶液pH=12.6)。水溶液「S13」は80.0グラム溶液「S5c」、5.0グラム硫酸および17.5グラム水酸化テトラメチルアンモニウム五水和物(TMAH)を合することによって調製した(溶液pH=12.3)。水溶液「S14」は80.0グラム溶液「S5c」、5.0グラムのリン酸および20.1グラム水酸化テトラメチルアンモニウム五水和物(TMAH)を合することによって調製した(溶液pH=12.3)。水溶液「S15」は80.0グラム溶液「S5c」、6.0グラム蓚酸水和物、16.0グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および9.3グラム水酸化テトラメチルアンモニウム五水和物(TMAH)を合することによって調製した(溶液pH=12.6)。水溶液「S16」は80.0グラム溶液「S5c」、5.0グラムカテコールおよび16.1グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液を合することによって調製した(溶液pH=12.4)。各溶液を125mlポリエチレン瓶に入れ、固く蓋を締めて45℃に設定したオーブンに入れて1時間予熱した。0.025mm×13mm×50mm、99.94%純度チタン箔片を脱イオン水、アセトンですすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでチタン箔片を瓶に入れ、再び固く蓋をしてオーブンに戻した。約45℃にて24時間後、瓶をオーブンから取り出した。チタン箔片を取り出し、脱イオン水ですすぎ、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表28に示す。
【表34】
Figure 0004565741
【0126】
表28によれば、データは45℃という低い処理温度では、上記の試験した可能性あるチタン残渣除去促進剤のすべて(ヒドロキシアミンを除く)が効果がなかったということを示している。ここで示されるヒドラジンに有効性がないということが実施例28で示されたFE−SEM結果を確かなものにしている。示された結果は、相対的チタンエッチング(除去)速度を速めるのは、ヒドロキシルアミン特有のものであるということを証明している。
【0127】
実施例30
水溶液「R1」は583グラム脱イオン水、4.68グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および8.64グラムの珪酸テトラメチルアンモニウム(TMAS、Si02として10.0%)および0.66グラムトランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)を合することにより調製し、このpHは11.3である。水溶液「R2」は99.0グラム溶液「R1」、0.33グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および1.0グラムヒドロキシルアミン50%水溶液を合することにより調製した(溶液pH=12.0)。水溶液「R3」は99.0グラム溶液「R1」、0.34グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および5.0グラムヒドロキシルアミン50%水溶液を合することにより調製した(溶液pH=11.9)。水溶液「R4」は99.0グラム溶液「R1」、0.34グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および10.0グラムヒドロキシルアミン50%水溶液を合することにより調製した(溶液pH=11.6)。水溶液「R5」は99.0グラム溶液「R1」、0.52グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および1.0グラムヒドロキシルアミン50%水溶液を合することにより調製した(溶液pH=12.2)。水溶液「R6」は99.0グラム溶液「R1」、0.54グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および5.0グラムヒドロキシルアミン50%水溶液を合することにより調製した(溶液pH=12.0)。水溶液「R7」は99.0グラム溶液「R1」、0.56グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および10.2グラムヒドロキシルアミン50%水溶液を合することにより調製した(溶液pH=11.8)。保存水溶液「R8」は583グラム脱イオン水、4.68グラム水酸化テトラメチルアンモニウム(TMAH)25%水溶液および8.64グラム珪酸テトラメチルアンモニウム(TMAS、Si02として10.0%)を合することにより調製し、このpHは12.0である。水溶液「R9」は94.0グラム溶液「R8」および20.0グラムヒドロキシルアミン50%水溶液を合することにより調製した(溶液pH=11.3)。各溶液を125mlポリエチレン瓶に入れ、固く蓋を締めて45℃に設定したオーブンに入れて1時間予熱した。0.025mm×13mm×50mm、99.94%純度チタン箔片を脱イオン水、アセトンですすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し、次いでチタン箔片を瓶に入れ、再び固く蓋をしてオーブンに戻した。約45℃にて24時間後、瓶をオーブンから取り出した。チタン箔片を取り出し、脱イオン水ですすぎ、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から相対的腐食度を求めた。結果を表29に示す。
【表35】
Figure 0004565741
【0128】
表29によれば、データはチタン残渣除去促進剤ヒドロキシルアミンの濃度が高まるにつれ、相対的チタン箔除去度も高まるということを示している。本試験におけるチタン除去度はウェーハーサンプル#11の洗浄における有効性に正比例している。
【0129】
実施例31
水溶液「M1」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)18.5重量%ヒドロキシルアミンおよび0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P1」は2.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.6重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。比較のために使用した市販のエッチング後残渣リムーバーはEKC−265TM(EKC Technology, Inc.の製品)およびACT−935TM(ACT Inc.の製品)であった。その基盤においてアルミ銅金属を露出する誘電層および窒化チタン層を貫通する0.5ミクロンの深度の孔(バイアス)に対して0.3ないし0.5ミクロンの幅を有するウェーハーサンプル#11は、(a)アルミ銅、次いで窒化チタンでメッキし、(b)化学蒸着を用いて酸化珪素誘電体で被覆し、(c)フォトレジスト材料を用いてバイアスのリトグラフパターンをとり、(d)反応性イオンエッチングを用いてパターンを誘電層に転写し、(e)酸素プラズマ灰化により残存するフォトレジストの大部分を除去し、一方主に無機チタン含有残渣を後に残すことで予め製造した(残渣を経る横断面のAuger電子顕微鏡解析によって測定した)。これらのサンプルを用いて溶液の性能を評価した。35℃にて20分間溶液にウェーハーサンプルを入れて取り出し、脱イオン水ですすぎ、加圧窒素ガスで乾燥させた。乾燥後、サンプルバイアスの横断面をとり、次いで電界放射走査電子顕微鏡(FE−SEM)で観察して起伏の洗浄および/または腐食の程度を求めた。結果を表30に示す。
【表36】
Figure 0004565741
【0130】
表30によれば、データは35℃という低い工程温度で、本発明の組成物がチタンを含有することが知られている残渣を除去するのに有効であったということを示している。このデータはまた、低温洗浄のためのチタン残渣除去促進剤ヒドロキシルアミンの使用が本発明の組成物特有のものであるということを示している。
【0131】
実施例32
水溶液「L」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3重量%グリセロールを加えて調製した。なお、この溶液の残部は水であり、このpHは約12.1である。水溶液「M1」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)18.5重量%ヒドロキシルアミンおよび0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P1」は2.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.6重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。水素シルセスキオキサン(HSQ)低k誘電体の硬化層を有するシリコーンウェーハーサンプルをフーリエ変換赤外(FTIR)分光計に入れ、参照スペクトルをとった。HSQはその構造中にSi−H結合を有しており、それは2100cm-1にあることが明らかである。次いで、室温にて(約22℃)10分間上記の溶液の1種中でウェーハーサンプルを処理し、脱イオン水ですすぎ、さらに乾燥させた。次いで、サンプルをFTIRに入れ、第2のスペクトルを得た。約2100cm-1のS−Hピーク面積を参照スペクトルに対して処理ウェーハースペクトルを比較するために用いた。比較のため、市販のエッチング後残渣リムーバー、EKC−265TM(EKC Technology, Inc.の製品)もまた同様にして、65℃という製造業者の推奨温度で(10分)試験した。結果を表31に示す。
【表37】
Figure 0004565741
【0132】
表31によれば、データは本発明の組成物はそれらがHSQなどの感光性低k誘電物質と適合するという点で独特であるということを示している。
【0133】
実施例33
水溶液「A」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465(Air Products and Chemicals, Inc.の製品)および0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)を加えて調製し(この溶液の残部は水)、このpHは約12.2である。水溶液「L」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3重量%グリセロールを加えて調製した。なお、この溶液の残部は水であり、このpHは約12.1である。水溶液「M1」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)18.5重量%ヒドロキシルアミンおよび0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P1」は2.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.6重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。保存水溶液「T1」は1.6重量%水酸化テトラメチルアンモニウム(TMAH)、0.41重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.27重量%非イオン界面活性剤Surfynol−465、0.56重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および12重量%グリセロールを加えて調製した。なお、この溶液の残部は水であり、このpHは約12.5である。水溶液「T2」は25ml溶液「T1」を70ml脱イオン水および5mlグリセロールで希釈することにより調製した。水溶液「T3」は25ml溶液「T1」を65ml脱イオン水および10mlグリセロールで希釈することにより調製した。水溶液「T4」は25ml溶液「T1」を60ml脱イオン水および15mlグリセロールで希釈することにより調製した。水溶液「T5」は25ml溶液「T1」を55ml脱イオン水および20mlグリセロールで希釈することにより調製した。水溶液「T6」は25ml溶液「T1」を50ml脱イオン水および25mlグリセロールで希釈することにより調製した。水溶液「T7」は25ml溶液「T1」を25ml脱イオン水および50mlグリセロールで希釈することにより調製した。水溶液「T8」は25ml溶液「T1」を75mlグリセロールで希釈することにより調製した。水溶液「T9」は25ml溶液「T1」を70ml脱イオン水および5ml1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)で希釈することにより調製した。水溶液「T10」は25ml溶液「T1」を65ml脱イオン水および10ml1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)で希釈することにより調製した。水溶液「T11」は25ml溶液「T1」を60ml脱イオン水および15ml1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)で希釈することにより調製した。水溶液「T12」は25ml溶液「T1」を55ml脱イオン水および20ml1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)で希釈することにより調製した。水溶液「T13」は25ml溶液「T1」を50ml脱イオン水および25ml1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)で希釈することにより調製した。水溶液「T14」は25ml溶液「T1」を25ml脱イオン水および50mlの1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)で希釈することにより調製した。水溶液「T15」は25ml溶液「T1」を75ml1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)で希釈することにより調製した。各溶液を125mlポリエチレン瓶に入れ、固く蓋を締めて45℃、65℃または85℃に設定したオーブンに入れて1時間予熱するか、または室温(約22℃)で保持した。0.025mm×13mm×50mm、純粋な銅箔片を希塩酸に浸漬し、脱イオン水、アセトンですすぎ、乾燥させ、次いで化学天秤で秤量した。1時間予熱した後、各溶液をオーブンから取り出し(加熱した場合は)、次いで銅箔片を瓶に入れ、再び固く蓋をしてオーブンに戻した。約22ないし85℃で24時間後、瓶をオーブンから取り出した。銅箔片を取り出し、脱イオン水ですすぎ、次いでアセトンですすぎ、乾燥させてさらに化学天秤で秤量した。重量損失から腐食度を求めた。比較のため、市販のエッチング後残渣リムーバー、EKC−265TM(EKC Technology, Inc.の製品)、EKC−270TM(EKC Technology, Inc.の製品)、EKC−311TM(EKC Technology, Inc.の製品)、ACT−935TM(ACT Inc.の製品)、ACT NP−937TM(ACT Inc.の製品)およびACT−941TM(ACT Inc.の製品)も、65℃という製造業者の推奨温度で同様にして試験した。結果を表32に示す。
【表38】
Figure 0004565741
【0134】
表32によれば、データは本発明の数種の組成物が銅に適合するということを示している。データはまた、本発明の組成物M1は、銅メッキとともに使用するには、市販のヒドロキシルアミン含有エッチング後残渣リムーバー処方よりも優れているということを示している。さらに、データはチタン残渣除去促進剤過酸化水素を添加することで、銅腐食度が減少するということを示している。
【0135】
実施例34
水溶液「L」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3重量%グリセロールを加えて調製した。なお、この溶液の残部は水であり、このpHは約12.1である。クリーンルーム内で、ウェーハー粒子カウンターを用いて650オングストロームの熱酸化物を有する3インチのシリコーンウェーハー上に見られる総粒子を計測した(0.1ないし10ミクロンの大きさ)。次いで、ウェーハーをアルミナベースの研磨スラリーで化学機械研磨し(CMP)、さらに脱イオン水ですすいだ。次いで、溶液「L」を室温(約22℃)で使用してウェーハーを「ブラシ洗浄」し、さらに脱イオン水ですすいで遠心脱水した。次いで、ウェーハー粒子カウンターを用いて洗浄後にウェーハー表面上に存在する総粒子(0.1ないし10ミクロンのサイズ)を計測した。比較のため、CMP後「ブラシ洗浄剤」に脱イオン水を使用した第2のウェーハーを試験した。結果を表33に示す。
【表39】
Figure 0004565741
【0136】
表33によれば、データは本発明の組成物はそれらが化学機械研磨後に生じる粒子混入物を除去することから独特のものであるということを示している。
【0137】
実施例35
水溶液「L」は0.3重量%水酸化テトラメチルアンモニウム(TMAH)、0.1重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.07重量%非イオン界面活性剤Surfynol−465、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および3重量%グリセロールを加えて調製した。なお、この溶液の残部は水であり、このpHは約12.1である。水溶液「M1」は1.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.45重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)、18.5重量%ヒドロキシルアミンおよび0.07重量%非イオン界面活性剤Surfynol−465を用いて調製し(この溶液の残部は水)、このpHは約12.1である。水溶液「P1」は2.2重量%水酸化テトラメチルアンモニウム(TMAH)、0.11重量%トランス−(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、0.14重量%(Si02%換算)珪酸テトラメチルアンモニウム(TMAS)および1.6重量%過酸化水素を用いて調製し(この溶液の残部は水)、このpHは約11.5である。約650nmの熱酸化物を有する同一のSi(100)ウェーハーからの切片をアセトンですすぎ、乾燥させ、さらにRudolph FTM干渉計で測定して熱酸化物の厚みを求めた。4つの範囲を測定し処理後の追跡測定のためにマッピングした。次いで、各サンプルを瓶に入れ、再びゆるく蓋を締めて予め45℃に設定したオーブンに入れるか、または室温(約22℃)に放置した。約22℃または約45℃で24時間後、瓶をオーブンから取り出してサンプルを取り出し、水洗し、次いでアセトンですすぎ、乾燥させて干渉計で測定した。サンプル上の4つの範囲に関して平均した熱酸化物フィルムの厚みの差異から相対的エッチング速度を求めた。比較のため、市販のエッチング後残渣リムーバー、EKC−265TM(EKC Technology, Inc.の製品)、EKC−270TM(EKC Technology, Inc.の製品)、EKC−311TM(EKC Technology, Inc.の製品)、ACT−935TM(ACT Inc.の製品)、ACT NP−937TM(ACT Inc.の製品)およびACT−941TM(ACT Inc.の製品)も、65℃という製造業者の推奨温度で同様にして試験した。結果を表34に示す。
【表40】
Figure 0004565741
*65℃で18時間試験
【0138】
表34によれば、データは本発明の組成物がそれらがウェーハー基板から、誘電層の望ましくないエッチングを伴わずに望ましくない残渣を洗浄するという点で独特であるということを示している。これらの結果は珪酸塩含有組成物に関して実施例#15に示された結果と一致する。
【0139】
実施例は、本発明に関する10の驚くべき、かつ、予想されなかった結果を示している。第1には、低い作業温度および短い作業時間で望ましくない金属腐食を防ぎつつウェーハー表面から望ましくない残渣を洗浄することができること。第2には、緩衝剤として珪酸塩を用い、希釈率が高く、高pHの組成物(pKa=11.8)の予想されないほど高い液槽安定性。第3には、アルカリ度の高い洗浄剤へ添加された珪酸塩が集積回路に存在する酸化珪素誘電材の望ましくない溶解を阻害すること。第4には、組成物が極めて水性(典型的には>80%水)であるので、洗浄後腐食を防ぐための水洗の前に中間すすぎ工程が必要でないこと。第5には、これらの組成物の高い水分含量のために、典型的な有機フォトレジストストリッパーおよびプラズマ灰化後残渣リムーバーと比較して、使用および取扱いに伴う衛生上、安全上、および環境上のリスクが著しく小さいこと。第6には、本発明の組成物は、典型的な有機灰化後残渣リムーバーと比較して、処理後に基板表面上に炭素残渣の混入が実質的にほとんど残らないことが示されていること。第7には、本発明の組成物は集積回路に使用される感光性低k誘電材料に適合するということが分かっていること。第8には、扱いにくいチタン含有残渣を低温で除去できること。第9には、本発明の組成物は銅金属に適合することが分かっていること。第10には、本発明の組成物はまた、ウェーハー基板からシリカおよびアルミナ化学機械研磨(CMP)スラリー残渣を除去する際にも有効であることが分かっていること。珪酸塩は公知のアルミニウム腐食阻害剤であるが、アルミニウム腐食を阻害し、さらに、典型的には高アルミニウムおよび/またはチタン含量の金属含有フォトレジスト残渣を選択的に除去できる能力は驚くべきものであり、かつ、予想されないものであった。処理の間の珪酸塩の緩衝作用、基板表面の無視できる炭素混入、低い誘電体エッチング度、感光性低k誘電材料との適合性、銅金属との適合性、扱いにくいチタン含有残渣を低温で除去できる能力、シリカおよびアルミナ化学機械研磨(CMP)スラリー残渣を洗浄する能力およびかかる高い水濃度を効果的に使用する能力もまた本発明の驚くべき、かつ、予想されない態様であった。
【0140】
上記の技術に鑑みて、本発明の多くの改良および変更が可能であることは明らかである。従って、本発明は、特に記載されたもの以外にも添付の請求の範囲内で実施され得るものと理解すべきである。[0001]
Background of the Invention
The present invention relates to a composition useful in the microelectronics industry for cleaning semiconductor wafer substrates. More particularly, the present invention relates to an alkaline stripping composition containing metal ion free silicate that is used to clean wafers having metal wiring and bias by removing metal or organic impurities without damaging the integrated circuit. Or relates to a cleaning composition.
[0002]
Description of prior art
Integrated parts of microelectronic molded products have photoresist applications that transfer images from a mask or reticle to a desired circuit layer. After the desired image transfer is made, the desired structure is formed using an etching method. The most common structure formed in this way is a metal wiring or a bias.
[0003]
This metal wiring is used to make electrical communication between various parts of the integrated circuit in the same mold layer. A bias is a hole that is etched down to the dielectric layer and later filled with a conductive metal. They are used to form electrical connections between different vertical layers of the integrated circuit. The methods used to form metal interconnects and bias generally use a halogen containing gas.
[0004]
After the etching process is complete, the photoresist mass can be removed by either chemical stripper solution or oxygen plasma ashing. The problem is that these etching steps produce very insoluble metal-containing residues that are not removed by conventional chemical stripper solutions. Also, during the ashing process, the metal-containing residue is oxidized and becomes more difficult to remove, particularly in the case of aluminum-based integrated circuits. See "Managing Etch and Implant Residue," Semiconductor Internatonal, August 1997, pages 56-63.
[0005]
An example of such an etching method is the embossing of metal wiring on an integrated circuit. In this method, after applying a photoresist coating to a metal film, drawing is performed through a mask or a reticle, and a pattern of the photoresist coating is selectively exposed. This coating is developed and either exposed or unexposed photoresist is removed depending on the color tone of the photoresist used to form a photoresist on the metal pattern. The remaining photoresist is usually recured at an elevated temperature to remove the solvent and optionally crosslink the polymer matrix. Next, an actual metal etching process is performed. This etching process removes the metal not covered with the photoresist through the action of gas plasma. By removing the metal, the pattern is transferred from the photoresist layer to the metal layer. The remaining photoresist is then removed (“strip”) with an organic stripper solution or oxygen plasma ashing. This ashing method is often followed by a washing step using an organic stripper solution. However, currently available stripper solutions, usually alkaline stripper solutions, leave insoluble metal oxides or other metal-containing residues in the integrated circuit.
[0006]
  Another example of such an etching method is embossing a bias (internal communication hole) on an integrated circuit. In this method, after applying a photoresist coating to a dielectric film, drawing is performed through a mask or a reticle, and a pattern of the photoresist coating is selectively exposed. This coating is developed and either exposed or unexposed photoresist is removed depending on the color tone of the photoresist used to form a photoresist on the metal pattern. The remaining photoresist is usually recured at an elevated temperature to remove the solvent and optionally crosslink the polymer matrix. Next, an actual metal etching process is performed. This etching removes the dielectric not covered with the photoresist through the action of gas plasma. By removing the dielectric, the pattern is transferred from the photoresist layer to the dielectric layer. The remaining photoresist is then removed (“strip”) with an organic stripper solution or oxygen plasma ashing. Typically, the dielectric is etched to the point where the underlying metal layer is exposed. Titanium or titanium nitride anti-reflective ordiffusionThe barrier layer is typically present at the metal / dielectric interface. This boundary layer is usually subjected to etching to expose the underlying metal. The action of etching the titanium or titanium nitride layer is the etching that forms titanium inside the biasResidueFound to be incorporated into. Oxygen plasma ashing oxidizes these bias residues and makes their removal more difficult. Therefore, a titanium residue removal etchant must be added to the stripper solution to allow cleaning of these residues. See "Removal of Titanium Oxide Grown on Titanium Nitride and reduction of Via Contact Resistance Using a Modern Plasma Asher", Mat. Res. Soc. Symp. Proc. Vol. 495, 1998, pages 345-352. Use organic stripper solution after ashingrinseThe process is often performed. However, currently available stripper solutions, usually alkaline stripper solutions, leave insoluble metal oxides and other metal-containing residues on the integrated circuit. There are several commercially available hydroxylamine-based strippers and post ash residue removers with high organic solvent content, but they are not effective for bias or other residues found in metal wiring. They also require high temperatures (typically above 65 ° C.) to clean residues from bias and metal wiring.
[0007]
  When using alkaline strippers on microcircuits containing metal films, especially aluminum, or aluminum or titaniumofWhen used with a combination of such active metals and higher positive metals such as copper or tungsten, ie, metal films containing alloys, a good quality circuit may not always be obtained. Various types of metal corrosion have been observed, such as corrosion whiskers, pitting, and metal wiring notching, due at least in part to the reaction of the metal with the alkaline stripper. Furthermore, Lee et al., Proc. Interface '89, pp. 137-149 shows that very little corrosive action occurs until the water washing step required to remove the organic stripper from the wafer. This corrosion is clearly the result of contact between the metal and the strong alkaline aqueous solution present in the rinse. Aluminum metal corrodes rapidly under such conditions (Ambat et al., Corrosion Science, Vol. 33 (5), p. 684. 1992).
[0008]
Prior methods used to avoid such corrosion problems have used an intermediate rinse step with a non-alkaline organic solvent such as isopropyl alcohol. However, such methods are expensive and are undesirable for safety, chemical hygiene and environmental reasons.
[0009]
The prior art discloses several organic strippers that are used to remove the photoresist mass after the etching process. U.S. Pat. Nos. 4,765,844, 5,102,777, and 5,308,745 disclose photoresist strippers containing various combinations of organic solvents. However, these strippers are not very effective for wafers "ashed" with oxygen plasma as described above. Some photoresist strippers attempt to address this problem by adding additional water and organic corrosion inhibitors such as catechol. Such compositions are described in U.S. Pat. Nos. 5,482,566, 5,279,771, 5,381,807, 5,334,332, 5,709,756, Nos. 5,707,947 and 5,419,779, and WO9800244. In some cases, hydroxylamine, which is also a hydrazine derivative, is added. Due to its toxicity, the use of catechol raises various environmental, safety and hygiene concerns.
[0010]
  The cleaning liquid used for the electric circuit board contains silicate metal as a corrosion inhibitor. Examples of such cleaning liquids are disclosed in SU761976, DD143,920, DD243,921, US5,264,046, US5,234,505, US5,234,506 and 5,393,448. The metal wiring on the circuit board is much larger than that found in integrated circuits, so cleaningRequirements for requirementsIs small. In the case of integrated circuits, metal contamination resulting from the cleaning solution can cause premature device failure even at very low concentrations. Therefore, any of the formulations deliberately added with metals such as the metal silicates listed above will impair the performance and reliability of the integrated circuit device. U.S. Pat. No. 4,659,650 discloses using a sodium metasilicate solution to dissolve a metal lifted mask.
[0011]
  US 5,817,610 and EP 829,768 disclose the use of quaternary ammonium silicate, quaternary ammonium hydroxide and water for use in removing plasma etching residues. In these two disclosures, catechol origimer is preferred over quaternary ammonium silicate as a corrosion inhibitor.TheNo examples of quaternary ammonium silicates used as corrosion inhibitors are shown. US 5,756,973 and EP 828,197 disclose the use of quaternary ammonium silicates, amine compounds, water and optionally organic polar solvents for use as stripping and cleaning compositions. None of the four disclosures listed above disclose the benefits of adding an aminocarboxylic acid buffer or a titanium residue removal accelerator. The four disclosures listed above do not disclose the advantages of adding a titanium residue removal accelerator. The present invention shows that in order to effectively clean the titanium-containing residues found after the plasma etching step in some cases, it is necessary to add a titanium residue removal accelerator. US 5,759,973 and EP 828,197 disclose the use of chelators selected from sugars such as glucose, fructose or sucrose and sugar alcohols such as xylitol, mannitol and sorbitol. Laboratory testing of the formulations of the present invention with addition of fructose or sorbitol resulted in solutions that were not as stable as formulations containing aminocarboxylic acids or that did not contain chelating or buffering agents.
[0012]
Patent application WO9523999 discloses the use of tetramethylammonium silicate and ammonium silicate as corrosion inhibitors in the solution used to remove the resist originating from the circuit board. However, it is said that the advantage of the disclosed formulation is that it does not contain (ethylenedinitrilo) tetraacetic acid (EDTA). In contrast, in the present invention, the use of an optional chelating agent such as EDTA was beneficial.
[0013]
Other uses of the silicate inhibitor include magnetic head cleaner (JP09, 245, 311), laundry detergent (WO9,100,330), metal treatment liquid (DE2,234,842, US3,639,185, US3). 773,670, US 4,351,883, US 4,341,878, EP 743,357, US 4,710,232), rosin flux remover (US 5,549,761) and photoresist (JP 50, 101, 103). It is done.
[0014]
  Both metal ion free silicates such as tetramethylammonium silicate and silicate metals are used as components of photoresist developers (US 4,628,023, JP 63,147,163, US 4,822,722, US 4,931). , 380, RD318,056, RD347,073, EP62,733). Photoresist developer removes modified photoresist embossed areas by exposure before etching and oxygen plasma ashing processUsed forIs done. This leaves a photoresist pattern on the wafer surface that is typically exposed and exposed.YoAndAddition“Curing” by heat to form an etching mask. This mask is used during plasma etching process and oxygen plasma “ashing” processInYokoThisUsually removed after use. The present invention relates to the removal of residues formed during these last two steps, and not to the photoresist development process handled by the patent set forth in this paragraph.
[0015]
A solution of tetramethylammonium hydroxide (TMAH) in silicic acid or solid silicone has been reported to be useful for passivation of aluminum during micromachining ("Aluminum passivation in Saturated TMAHW Solution for IC-Compatible Microstructures and Device Isolation ", Sarrow et al., SPIE Vol. 2879, Proceedings- Micromachining and Microfabrication Process Technology II, The International Society for Optical Engineering, Oct. 14-15, 1996, pp. 242-250). The application of micromachining is outside the scope of the present invention. The solutions in the cited references are about 25% by weight silicate (SiO 22Conversion). This concentration is the concentration used in the examples of the present invention, ie, about 0.01 to about 2.9% by weight silicate (SiO2Remarkably higher). The use of the chelating agent catechol as a silicone etch rate enhancer is also proposed. In the present invention, the increased etch rate of silicone is undesirable because it can damage the silicon dioxide dielectric layer commonly used in integrated circuits, as well as the exposed silicone on the backside of the wafer.
[0016]
The use of quaternary ammonium hydroxide in photoresist strippers is disclosed in US Pat. No. 4,776,892, US Pat. No. 5,563,119, JP093199098 A2, EP578570 A2, WO91117484 A1 and US Pat. No. 4,776,892. The use of chelating agents and complexing agents for sequestering in various detergents is also described in WO 9705228, US 5,466,389, US 5,498,293, EP 812011, US 5,561,105, JP0621 773, JP06250400, JP06250400 and GB1. 573, 206.
[0017]
US Pat. No. 5,466,389 discloses an aqueous alkaline solution containing a cleaning solution for microelectronic substrates containing quaternary ammonium hydroxide and optionally a metal chelator and is effective for a pH range of about 8-10. . In the present invention, a pH higher than 10 is required to achieve the desired residue removal. Furthermore, the silicate has limited water solubility at about pH 10. In laboratory tests, when the pH of the tetramethylammonium silicate solution drops to about 10, the solution becomes “cloudy” as silicic acid precipitates from the solution.
[0018]
US 5,498,293 discloses a method using an aqueous alkaline cleaning solution containing a quaternary ammonium hydroxide and optionally a metal chelating agent useful for cleaning silicon wafers. This cleaning method disclosure is for processing on a substrate prior to the presence of an integrated metal circuit, which is used to substantially eliminate silicon dioxide and for integrated circuit sub-fabricated products. Used before using photoresist. In contrast, the present invention focuses on the cleaning of wafers with existing integrated circuits that are photoresist coated, etched, and oxygen plasma ashed.
[0019]
None of the compositions disclosed in the prior art can effectively remove all organic contaminants and metal-containing residues remaining after a typical corrosion process. Accordingly, there is a need for a stripping composition that cleans semiconductor wafer substrates by removing metal and organic contaminants from such substrates without damaging the integrated circuit. Such compositions should not particularly corrode metal undulations comprising integrated circuits and should avoid costly and detrimental consequences attributed to an intermediate rinse step.
[0020]
Summary of the Invention
Accordingly, it is an object of the present invention to provide a composition useful in the microelectronics industry for cleaning semiconductor wafer substrates.
[0021]
Another object of the present invention is to provide a composition that removes metals and organic contaminants (contaminants) from a semiconductor wafer substrate without compromising the integrated circuit.
[0022]
Another object of the present invention is to provide a composition that avoids the costly and deleterious consequences associated with an intermediate rinse step.
[0023]
It is a further object of the present invention to provide a method for cleaning a semiconductor wafer substrate that removes metal and organic contaminants from such substrates without damaging the integrated circuit, and avoids costly and detrimental consequences attributed to an intermediate rinse step. That is.
[0024]
  These and other objectives are achieved using a novel aqueous composition for stripping or cleaning semiconductor wafer substrates containing one or more metal ion free bases and water soluble metal ion free silicates. . The composition may contain undesirable contaminants and / orThe residueSubstrate surfaceWashKeep in contact with the semiconductor wafer substrate for a sufficient time and temperature to clean.
[0025]
Preferably, the composition comprises from about 0.01% to about 2% by weight of one or more metal ion free bases dissolved in water in an amount sufficient to bring the pH to about 11 or higher.2Conversion) water-soluble metal-free silicate.
[0026]
Any suitable base may be used in the composition of the present invention. Preferably such bases are selected from hydroxides and organic amines, most preferably quaternary ammonium hydroxides and ammonium hydroxides.
[0027]
Any suitable silicate may be used in the composition of the present invention. Preferably, the silicate is selected from quaternary ammonium silicates, most preferably tetramethylammonium silicate.
[0028]
  The composition of the present invention may contain other components such as chelating agents, organic cosolvents, titanium residue removal accelerators and surfactants. Chelating agents are present in amounts up to about 2% by weightThePreferably, the organic co-solvent is present in an amount up to about 20% by weight, the titanium residue removal accelerator is preferably present in an amount up to about 30% by weight, and the surfactant is about 0.00%. It is preferably present in an amount up to 5% by weight.
[0029]
The composition can be used to clean a substrate containing an integrated circuit or can be used to clean a substrate that does not contain an integrated circuit. If an integrated circuit is present, the composition removes contaminants without damaging the integrated circuit.
[0030]
  The method of cleaning a semiconductor wafer substrate of the present invention can remove the composition of the present invention from unwanted contaminants and / orThe residueSubstrate surfaceWashIt must be in contact with the semiconductor wafer substrate for a sufficient time and temperature to clean. The method includes both liquid bath and spray applications. Typically, the substrate is exposed to the composition for a suitable time and at a suitable temperature, rinsed with high purity deionized water and dried.
[0031]
The composition cleans the wafer substrate by removing metal and organic residues. Importantly, this cleaning method does not damage the integrated circuits on the wafer substrate and avoids the costly and detrimental consequences associated with the intermediate rinse steps required by previous methods.
[0032]
Other objects, advantages and novel features of the invention will become apparent from the following detailed description of the invention.
[0033]
Detailed Description of the Invention
The present invention provides a novel aqueous composition for stripping or cleaning a semiconductor wafer substrate comprising one or more metal ion free bases and a water soluble metal ion free silicate. Preferably, the present invention comprises a sufficient amount of one or more alkali metal ion free base components to make a solution of pH about 11 or higher, preferably about pH 11 to about pH 13, and about 0.01% To about 5%, preferably about 0.01% to about 2% by weight (SiO 22An aqueous alkaline stripping or cleaning composition comprising a metal ion-free water-soluble silicate.
[0034]
The composition may also contain a chelating agent in a weight concentration of about 0.01 to about 10%, usually about 0.01% to about 2%. Further optional ingredients include about 0.1% to about 80%, usually about 1% to about 30% by weight aqueous organic solvent, about 1% to about 50%, usually about 1% to about 30%. % By weight of titanium residue removal accelerator, and from about 0.01% to about 1%, preferably from about 0.01% to about 0.5% by weight of a water-soluble surfactant.
[0035]
The composition is an aqueous solution containing a base, silicate, optional ingredients, and water, if present, preferably high purity deionized water.
[0036]
  Any suitable base is included in the composition of the invention.useMay be. Such bases are preferably quaternary ammonium hydroxides, such as tetraalkylammonium hydroxides (usually containing hydroxy and alkoxy containing alkyl groups of 1 to 4 carbon atoms in the alkyl or alkoxy group). Most preferred among these alkaline substances are tetramethylammonium hydroxide and trimethyl-2-hydroxyethylammonium hydroxide (choline). Examples of other quaternary ammonium hydroxides that can be used include trimethyl-3-hydroxypropylammonium hydroxide, trimethyl-3-hydroxybutylammonium hydroxide, trimethyl-4-hydroxybutylammonium hydroxide, triethyl hydroxide- 2-hydroxyethylammonium hydroxide, tripropyl-2-hydroxyethylammonium hydroxide, tributyl-2-hydroxyethylammonium hydroxide, dimethylethyl-2-hydroxyethylammonium hydroxide, dimethyldi (2-hydroxyethyl) ammonium hydroxide, water Monomethyltri (2-hydroxyethyl) ammonium oxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, monomethyl-triethyl hydroxide Ammonium monomethyl tripropyl ammonium hydroxide, monomethyl tributylammonium hydroxide, monoethyl trimethyl ammonium hydroxide, monoethyl tributylammonium hydroxide, dimethyl diethylammonium hydroxide, hydroxide dimethyldibutyl ammonium, and mixtures thereof.
[0037]
Other bases that will function in the present invention include ammonium hydroxide, organic amines, especially 2-aminoethanol, 1-amino-2-propanol, 1-amino-3-propanol, 2- (2-aminoethoxy). ) Alkanolamines such as ethanol, 2- (2-aminoethylamino) ethanol, 2- (2-aminoethylamino) ethylamine, and guanidine, 1,3-pentanediamine, 4-aminomethyl-1,8 Others such as octanediamine, aminoethylpiperazine, 4- (3-aminopropyl) morpholine, 1,2-diaminocyclohexane, tri (2-aminoethyl) amine, 2-methyl-1,5-pentanediamine and hydroxylamine These are strong organic bases. Alkaline solutions containing metal ions such as sodium or potassium can also function, but are not preferred because residual metal contamination can occur. Also useful are mixtures of these further alkaline components, in particular ammonium hydroxide and the above mentioned tetraalkylammonium hydroxides.
[0038]
Any suitable metal ion free silicate may be used in the composition of the present invention. Such silicates are preferably quaternary ammonium silicates such as tetraalkylammonium silicates (usually containing hydroxy and alkoxy containing alkyl groups of 1 to 4 carbon atoms in the alkyl or alkoxy groups). The most preferred metal ion free silicate component is tetramethylammonium silicate. Other suitable metal ion free silicate sources for the present invention may be generated in situ by dissolving one or more of the following materials in a highly alkaline detergent. Suitable metal ion free materials useful for producing silicates in detergents include solid silicone wafers, silicic acid, colloidal silica, fumed silica, or any other form of silicone or silica. Silicate metal such as sodium metasilicate may be used, but cannot be encouraged because of the adverse effect of metal contamination on the integrated circuit.
[0039]
The compositions of the present invention may also be formulated with a suitable metal chelating agent to increase the ability to retain the metal in the formulation solution and to enhance dissolution of metal residues on the wafer substrate. Typical examples of chelating agents useful for this purpose include the following organic acids and their isomers and salts: (ethylenedinitrilo) tetraacetic acid (EDTA), butylenediaminetetraacetic acid, cyclohexene-1,2 -Diaminetetraacetic acid (CyDTA), diethylenetriaminepentaacetic acid (DETPA), ethylenediaminetetrapropionic acid, (hydroxyethyl) ethylenediaminetriacetic acid (HEDTA), N, N, N ', N'-ethylenediaminetetra (methylenephosphonic) acid (EDTMP) ), Triethylenetetramine hexaacetic acid (TTHA), 1,3-diamino-2-hydroxypropane-N, N, N ′, N′-tetraacetic acid (DHPTA), methyliminodiacetic acid, propylenediaminetetraacetic acid, nitrotriacetic acid (NTA), citric acid, tartaric acid, gluconic acid, sugar acid Glyceryl acid, oxalic acid, phthalic acid, maleic acid, mandelic acid, malonic acid, lactic acid, salicylic acid, catechol, gallic acid, propyl gallate, pyrogallol, 8-hydroxyquinoline, and cysteine.
[0040]
A preferred chelating agent is an aminocarboxylic acid such as EDTA. This type of chelator has a high affinity for aluminum-containing residues typically found on metal wiring or bias after plasma “ashing”. Furthermore, the pKa value of this type of chelator includes a pKa value of about 12, which improves the performance of the composition of the present invention.
[0041]
The composition of the present invention may also contain one or more suitable water-soluble organic solvents. Suitable various organic solvents include alcohols, polyhydric alcohols, glycols, glycol ethers, N-methylpyrrolidinone (NMP), 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP) and the like. There are alkyl-pyrrolidinones such as 1-hydroxyalkyl-2-pyrrolidinone, dimethylformamide (DMA), dimethylacetamide (DMAc), sulfolane or dimethyl sulfoxide (DMSO). If further aluminum and / or aluminum copper alloy and / or copper corrosion protection is desired, these solvents can be added to reduce the corrosion rate of aluminum and / or aluminum copper alloy and / or copper. Also good. Preferred water-soluble organic solvents include polyhydric alcohols such as glycerol and 1-hydroxyalkyl-2-pyrrolidinones such as 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP).
[0042]
The compositions of the present invention may also include one or more suitable titanium residue removal accelerators. Various suitable titanium residue removal accelerators include hydroxylamine, hydroxylamine salts, peroxides, ozone and fluoride. Preferred titanium residue removal accelerators are hydroxylamine and hydrogen peroxide.
[0043]
  The compositions of the present invention may also include any suitable water soluble amphoteric, nonionic, cationic or anionic surfactant. The addition of a surfactant reduces the surface tension of the formulation and improves the wettability of the surface being cleaned.WashingThe action is improved. If further aluminum corrosion protection is desired, surfactants can be added to reduce the aluminum corrosion rate.
[0044]
Amphoteric surfactants useful in the compositions of the present invention include betaines and sulfobetaines such as alkylbetaines, amidoalkylbetaines, alkylsulfobetaines and amidoalkylsulfobetaines; amphoglynates, amphpropionates, Afocarboxylic acid derivatives such as fodiglycinate and amphodipropionate; iminodiacids such as alkoxyalkyliminodiacids or alkoxyalkyliminodiacids; amine oxides such as alkylamine oxides and alkylamidoalkylamine oxides; fluoro And alkyl sulfonates and fluorinated alkyl amphoteric substances; and mixtures thereof.
[0045]
Preferably, the amphoteric surfactant is cocoamidopropyl betaine, cocoamidopropyl dimethylbetaine, cocoamidopropylhydroxysultain, capryloamphodipropionate, cocoamidodipropionate, cocoamphopropionate, cocoamphohydroxyethyl Propionate, isodecyloxypropyliminodipropionic acid, lauryliminodipropionate, cocoamidopropylamine oxide and cocoamine oxide, and fluorinated alkyl amphoteric substances.
[0046]
Nonionic surfactants useful in the compositions of the present invention include acetyl diols, ethoxylated acetyl diols, fluorinated alkyl alkoxylates, fluorinated alkyl esters, fluorinated polyoxyethylene alkanols, many Examples thereof include fatty acid esters of polyhydric alcohols, polyoxyethylene monoalkyl ethers, polyoxyethylene diols, siloxane surfactants, and alkylene glycol monoalkyl ethers. Preferably, the nonionic surfactant is an acetylenic diol or an ethoxylated acetylenic diol.
[0047]
Anionic surfactants useful in the compositions of the present invention include carboxylates, N-acyl sarcosinates, sulfonates, sulfates, and mono- and diesters of orthophosphoric acid such as decyl phosphate. . Such an anionic surfactant is preferably a metal-free surfactant.
[0048]
Cationic surfactants useful in the compositions of the present invention include amine ethoxylates, dialkyldimethylammonium salts, dialkylmorpholinum salts, alkylbenzyldimethylammonium salts, alkyltrimethylammonium salts, and alkylpyridinium salts. Such a cationic surfactant is preferably a halogen-free surfactant.
[0049]
In a preferred embodiment of the invention, the composition comprises about 0.1 to 2% by weight tetramethylammonium hydroxide (TMAH) and about 0.01 to 1% by weight (SiO 2).2This is an aqueous solution containing tetramethylammonium silicate (TMAS).
[0050]
In another embodiment of the invention, the composition comprises about 0.1 to 2% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), and about 0.01 to 1% by weight (SiO2This is an aqueous solution containing tetramethylammonium silicate (TMAS).
[0051]
In another embodiment of the invention, the composition comprises about 0.1 to 2% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 1% by weight (SiO2Converted) tetramethylammonium silicate (TMAS), and an aqueous solution containing about 0.5 to 20% by weight of a polyhydroxy compound, preferably glycerol.
[0052]
In another embodiment of the invention, the composition comprises about 0.1 to 2% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 1% by weight (SiO2Converted) tetramethylammonium silicate (TMAS), about 0.5 to 20% by weight of a polyhydroxy compound, and about 0.01 to 0.3% by weight of a nonionic ethoxylated acetyl diol surfactant. It is.
[0053]
In another embodiment of the invention, the composition comprises about 0.1 to 2% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 1% by weight (SiO2Converted) tetramethylammonium silicate (TMAS) and about 0.5 to 20% by weight of alkyl-pyrrolidinone such as 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP), preferably 1- (2-hydroxy An aqueous solution containing ethyl) -2-pyrrolidinone (HEP).
[0054]
  In another embodiment of the invention, the composition comprises about 0.1 to 2% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 1% by weight (SiO2) Tetramethylammonium silicate (TMAS), and about 0.5 to 20% by weight of an alkyl-pyrrolidinone such as 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP), and about 0.01 to 0. 3% by weight of nonionic ethoxylated acetyl diolWorldIt is an aqueous solution containing a surfactant.
[0055]
  Of the present inventionpreferableIn an embodiment, the composition comprises about 0.1 to 10% by weight tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight (SiO 22Conversion) tetramethylammonium silicate (TMAS), and an aqueous solution containing about 1 to 10% by weight of hydrogen peroxide.
[0056]
  Another one of the present inventionpreferableIn an embodiment, the composition comprises about 0.1 to 9 wt% tetramethylammonium hydroxide (TMAH), about 0.01 to 4 wt% (SiO2Conversion) tetramethylammonium silicate (TMAS), and an aqueous solution containing about 1 to 20% by weight of hydroxylamine.
[0057]
In another embodiment of the invention, the composition comprises about 0.1 to 10% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 1% by weight (SiO2Conversion) tetramethylammonium silicate (TMAS), and an aqueous solution containing about 1 to 10% by weight of hydrogen peroxide.
[0058]
In another embodiment of the invention, the composition comprises about 0.1 to 9% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 4% by weight (SiO 2)2Conversion) tetramethylammonium silicate (TMAS), and an aqueous solution containing about 1 to 20% by weight of hydroxylamine.
[0059]
In another embodiment of the invention, the composition comprises about 0.1 to 10% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 1% by weight (SiO2Conversion) tetramethylammonium silicate (TMAS), about 1 to 10% by weight of hydrogen peroxide, and about 0.01 to 0.3% by weight of a nonionic ethoxylated acetyl diol surfactant. .
[0060]
In another embodiment of the invention, the composition comprises about 0.1 to 9% by weight of tetramethylammonium hydroxide (TMAH), about 0.01 to 1% by weight of trans- (1,2-cyclohexylene dihydrate. Nitrilo) tetraacetic acid (CyDTA), about 0.01 to 4% by weight (SiO 2)2Conversion) tetramethylammonium silicate (TMAS), about 1 to 20% by weight hydroxylamine, and about 0.01 to 0.3% by weight nonionic ethoxylated acetyl diol surfactant.
[0061]
In all embodiments, the balance of the composition is water, preferably high purity deionized water.
[0062]
  As shown in the following examples, a composition containing only an alkaline base cannot obtain an effective cleaning effect without corroding the undulations of the aluminum metal integrated circuit. This example also includes (1) silicate buffering (pKa) to prevent aluminum metal integrated circuits from corrosion.2= 11.8) shows the usefulness of adding soluble silicates to highly basic formulations to extend the bath life of these cleaning compositions and (3) to reduce the silicon dioxide dielectric etch rate. Further advantages of the composition of the present invention are as follows. (1) High water content (without intermediate rinsing (such as isopropanol))Immediate(2) Integrated circuit board is supported by preventing the corrosion of the metal after washing by assisting water washing, and only negligible carbon contamination occurs on the substrate surface)TheNon-toxic especially avoiding catechols, volatile organic solvents and organic amines characteristic of prior art compositions used for tripping and washingcomponentWith the use ofYes,Hygiene, safety, environmental and handling risks are low, (3) Titanium-containing residues are removed from integrated circuit boards at low temperaturesAbility to(4) compatibility of these compounding agents with photosensitive low-k dielectric materials used in integrated circuits, (5) compatibility with copper (low etching rate) and (6) the composition of the present invention, Wafer in post chemical mechanical polishing (CMP) operationsubstrateAbility to clean and prevent contamination.
[0063]
  The method of the present invention applies a contaminated substrate to a substrate surface.FromA semiconductor wafer substrate is cleaned by exposure to the composition of the present invention for a time and at a temperature sufficient to clean undesired contaminants. If desired, the substraterinse andThe composition and contaminants are removed and dried to remove excess solvent or rinse agent. The substrate can then be used for the intended purpose.
[0064]
Preferably, the method uses a liquid bath or spray application to expose the substrate to the composition. The liquid bath or spray washing time is generally from 1 minute to 30 minutes, preferably from 5 minutes to 20 minutes. The liquid bath or spray washing temperature is generally 10 ° C to 85 ° C, preferably 20 ° C to 45 ° C.
[0065]
  If necessary,rinseThe time is generally 10 seconds to 5 minutes at room temperature, preferably 30 seconds to 2 minutes at room temperature.Preferably deionized water is used to rinse the substrate.
[0066]
If necessary, drying of the substrate can be achieved by any combination of air evaporation, heating, centrifugation, or pressurized gas. A preferable drying method is to centrifuge for a time until the wafer substrate is dried under a flow of an inert gas such as nitrogen through a filter.
[0067]
  The method of the present invention comprises a semiconductor wafer substrate that has been previously oxygen plasma ashed to remove photoresist lumps, particularly silicone, silicon oxide, silicon nitride, tungsten, tungsten alloy, titanium, titanium alloy, tantalum, tantalum alloy, It is extremely effective for cleaning wafer substrates containing copper, copper alloys, aluminum, or aluminum alloy films. The method is undesirable for metal and organic contaminantsExcludingHowever, it does not cause unacceptable corrosion to the silicone, silicon oxide, silicon nitride, tungsten, tungsten alloy, titanium, titanium alloy, tantalum, tantalum alloy, copper, copper alloy, aluminum, or aluminum alloy film.
[0068]
Example
The following examples illustrate specific embodiments of the invention described herein. It will be apparent to those skilled in the art that various modifications and improvements are possible and are considered to be within the scope of the described invention.
[0069]
Experimental procedure
  The percentages shown in the examples are percentages by weight unless otherwise specified. Aluminum metal corrosion is expressed in terms of both metal loss% and general corrosion index. The general corrosion index given isVery fewSlight, mild, moderate, andStrict. A small amount of ammonium corrosion is considered acceptable,Very few, Or just a little. Mild, moderate orStrictCorrosion was considered unacceptable. The cleaning and corrosion data registrations obtained using a scanning electron microscope (SEM) or field emission scanning electron microscope (FE-SEM) are all of the difference between untreated and treated samples from the same wafer.EyeAccording to sight.
[0070]
Example 1
Aqueous solution “A” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465 (product of Air Products and Chemicals, Inc.) and 0.14% by weight (SiO2% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 12.2. Aqueous solution “B” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Prepared with the activator Surfynol-465 (the remainder of the solution is water), the pH is about 12.7. Aqueous solution “C” is 0.08 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465 and 0.13% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 10.5. Aqueous solution “D” is 0.09 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Prepared with the activator Surfynol-465 (the remainder of the solution is water), the pH is about 9.6. The aqueous solution “E” is 0.1 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic Surfactant Surfynol-465 and 0.010 wt% (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 11.3. Aqueous solution “F” is 0.08 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic Prepared using the surfactant Surfynol-465 (the remainder of the solution is water), the pH is about 10.9. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Using wafer # 1 sample with pre-manufactured 1 micron wide undulations and wiring formed with aluminum copper and capped with titanium nitride, while removing the organic photoresist residue by The performance of the solution was evaluated. Wafer samples were removed from each of these solutions at 21-65 ° C. for 5-10 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Table 1.
[Table 1]
Figure 0004565741
[0071]
According to Table 1, the data show the ability of TMAS to prevent corrosion of aluminum relief associated with exposure to alkaline solutions, and by adding tetramethylammonium silicate to a tetramethylammonium hydroxide based cleaning solution, It shows that undesired integrated circuit corrosion is completely inhibited.
[0072]
Example 2
  The aqueous solution “G” is 2.0 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic interface. Activator Surfynol-465 and 0.13% by weight (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 13.6. Aqueous solution “H” is 0.09 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465 and 0.14% by weight (Si02% Conversion) prepared by adding tetramethylammonium silicate (TMAS) (the remainder of the solution is water), and the pH is about 10.8. The aqueous solution “M” is 1.8 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic interface. Activator Surfynol-465 and1.3% By weight (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 13.0. Aqueous solution “N” is 1.9 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic interface Activator Surfynol-465 and 0.86 wt% (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 13.2. Aqueous solution “O” is 1.9 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic Surfactant Surfynol-465 and 0.70 wt% (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 13.2. The aqueous solution “P” is 1.9 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic Surfactant Surfynol-465 and 0.54 wt% (Si02% Conversion) prepared by adding tetramethylammonium silicate (TMAS) (the remainder of the solution is water), and the pH is about 13.3. Aqueous solution “Q” is 2.0 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic Surfactant Surfynol-465 and 0.45 wt% (Si02% Conversion) prepared by adding tetramethylammonium silicate (TMAS) (the remainder of the solution is water), and the pH is about 13.3. The aqueous solution “R” is 2.0% by weight tetramethylammonium hydroxide (TMAH), 0.1% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06% by weight nonionic. Surfactant Surfynol-465 and 0.28 wt% (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 13.4. The aqueous solution “S” is 2.0% by weight tetramethylammonium hydroxide (TMAH), 0.1% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07% by weight nonionic. Surfactant Surfynol-465 and 0.19 wt% (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 13.4. The aqueous solution “T” is 0.1 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic Surfactant Surfynol-465 and 0.020 wt% (Si02% Conversion) prepared by adding tetramethylammonium silicate (TMAS) (the remainder of the solution is water), and the pH is about 11.2. The aqueous solution “U” is 0.1 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic Surfactant Surfynol-465 and 0.070 wt% (Si02% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 10.9. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Using wafer # 1 sample with pre-manufactured 1 micron wide undulations and wiring formed with aluminum copper and capped with titanium nitride, while removing the organic photoresist residue by The performance of the solution was evaluated. The wafer sample was removed from the solution at 21-65 ° C. for 5-20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Table 2.
[Table 2]
Figure 0004565741
[0073]
  According to Table 2, the data show that to prevent or attenuate the corrosion of aluminum relief associated with exposure to these alkaline solutions,As it growsThere is a need to increase the concentration of TMASis thereIndicating that the optimum pH range of the solution of the present application is about 11-13.
[0074]
Example 3
Aqueous solution “I” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic interface Activator Surfynol-465, 0.13% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 5 wt% glycerol were added. The balance of this solution is water. Aqueous solution “J” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic interface Activator Surfynol-465, 0.13% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 6 wt% glycerol were added. The balance of this solution is water. Aqueous solution “K” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.06 wt% nonionic interface Activator Surfynol-465, 0.12% by weight (Si02% Conversion) It was prepared by adding tetramethylammonium silicate (TMAS) and 10% by weight diethylene glycol (DEG). The balance of this solution is water. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Using wafer # 1 sample with pre-manufactured 1 micron wide undulations and wiring formed with aluminum copper and capped with titanium nitride, while removing the organic photoresist residue by The performance of the solution was evaluated. The wafer sample was removed from the solution at 21-35 ° C. for 5-20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Table 3.
[Table 3]
Figure 0004565741
[0075]
According to Table 3, the data show that the addition of a water-soluble organic solvent is advantageous for the ability to prevent or attenuate the corrosion of aluminum undulations associated with exposure to TMA-containing alkaline solutions. It shows that by adding a water-soluble solvent to an object, the cleaning time can be extended without corroding metal wiring existing in the integrated circuit.
[0076]
Example 4
  Aqueous solution “L” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465, 0.14% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 3% by weight glycerol were added. The balance of this solution is water. Wafer sample # 2 having a width of 1/2 micron for a 1 micron deep hole (bias) through the dielectric material exposing the aluminum copper metal on its substrate is (a) aluminum copper and then titanium nitride. Plating, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, and (d) applying the pattern to the dielectric layer using reactive ion etching (E) Pre-manufactured by removing most of the remaining photoresist by oxygen plasma ashing, while mainly leaving behind inorganic residues. Wafer sample # 3, having a width of 1 micron against a 1 micron deep tapered hole (bias) through the dielectric material exposing the aluminum copper metal on its substrate, is plated with (a) aluminum copper and then titanium nitride. (B) coated with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using photoresist material, and (d) transferring the pattern to the dielectric layer using reactive ion etching And (e) pre-manufactured by removing most of the remaining photoresist by oxygen plasma ashing, while mainly leaving behind inorganic residues. These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 20-21 ° C. for 10 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample was taken and then observed with a scanning electron microscope (SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 4.
[Table 4]
Figure 0004565741
[0077]
According to Table 4, the data show that the addition of a water-soluble organic solvent is advantageous for the ability to prevent or attenuate the corrosion of aluminum undulations associated with exposure to TMA-containing alkaline solutions. It shows that by adding a water-soluble solvent to the object, it is possible to clean the bias without corroding the metal at the base of the bias.
[0078]
Example 5
  (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Wafer # 1 and # 4 samples with 1 micron wide relief and wiring formed with aluminum copper and capped with titanium nitride, pre-manufactured by removing the organic photoresist residues by Each was used to evaluate the performance of the solution. Wafer samples were removed from the solution at 11-65 ° C. for 5-30 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Tables 5A, 5B and 5C.
[Table 5]
Figure 0004565741
[Table 6]
Figure 0004565741
[Table 7]
Figure 0004565741
[0079]
According to Tables 5A, 5B and 5C, the data show that both formulations have significant processing latitude with or without the addition of a water-soluble organic solvent (Solution “L”) (Solution “A”). Is shown. A comparison of Tables 5B and 5C also shows that the addition of water-soluble organic solvents (solution “L”) reduces the aluminum metal corrosion that occurs with extended process time and high temperatures, further improving processing latitude. Is shown. In Table 5B, where an organic solvent was added to the formulation, the observed corrosion range was only 0-4%, even with a cleaning temperature of 65 ° C. In Table 5C, where no organic solvent was added, 4% or more corrosion was observed with a cleaning time of 10 minutes or more. The data also shows the considerable processing latitude that can be obtained with the compositions of the present invention and that processing tolerance can be further improved by the addition of an optional water-soluble solvent.
[0080]
Example 6
  The aqueous solution “V” was 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.07 wt% nonionic surfactant Surfynol-465 and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water. Aqueous solution “W” is 0.6 wt% tetramethylammonium hydroxide (TMAH), 0.3 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465 and 0.14% by weight (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water. Aqueous solution “X” is 0.7 wt% tetramethylammonium hydroxide (TMAH), 0.5 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465 and 0.14% by weight (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Using a wafer # 4 sample with pre-manufactured 1 micron wide undulations and wiring made of aluminum copper and capped with titanium nitride, while removing organic photoresist residues by The performance of the solution was evaluated. The wafer sample was removed from the solution at 20-21 ° C. for 5 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Table 6.
[Table 8]
Figure 0004565741
[0081]
According to Table 6, the data show that good stripping performance is obtained over a wide range of CyDTA concentrations. In this way, the amount of chelating agent present can be adjusted to accommodate the sample being washed. More difficult samples may require this desired component to achieve complete washing. The data also indicates that chelating agents are optionally used in the compositions disclosed herein.
[0082]
Example 7
  The aqueous solution “Y” was 0.4 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Using a wafer # 4 sample with pre-manufactured 1 micron wide undulations and wiring made of aluminum copper and capped with titanium nitride, while removing organic photoresist residues by The performance of the solution was evaluated. The wafer sample was removed from the solution at 20-21 ° C. for 5 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Table 7.
[Table 9]
Figure 0004565741
[0083]
According to Table 7, the data indicate that good stripping performance can be obtained for formulations mixed with surfactants to improve substrate wetting, and optionally in the compositions disclosed herein. It shows that a surfactant is used.
[0084]
Example 8
An open tank aging experiment was performed using a standard tank for two different formulations. The first tank was operated at room temperature for 24.75 hours, and the second tank was operated at 45 ° C. for 24.75 hours. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Using a wafer # 4 sample with pre-manufactured 1 micron wide undulations and wiring made of aluminum copper and capped with titanium nitride, while removing organic photoresist residues by The performance of the solution was evaluated. Wafer samples were placed in this bath for 10 minutes at 20 ° C. or 45 ° C., rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Table 8.
[Table 10]
Figure 0004565741
[0085]
According to Table 8, the data show the benefits of silicate buffering during long-term open bath aging at room and elevated temperatures. No change in stripping performance occurred during this aging period. The data also shows that the compositions of the present invention are not subject to aging.
[0086]
Example 9
The aqueous solution “A1” was 0.27 wt% tetramethylammonium hydroxide (TMAH) and 0.14 wt% (SiO 22% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “A2” was 0.38 wt% tetramethylammonium hydroxide (TMAH), 0.09 wt% chelating agent (ethylenedinitrilo) tetraacetic acid (EDTA) and 0.14 wt% (SiO 22% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “A3” was 0.39 wt% tetramethylammonium hydroxide (TMAH), 0.10 wt% chelating agent diethylenetriaminepentaacetic acid (DETPA) and 0.14 wt% (SiO 22% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “A4” was 0.40 wt% tetramethylammonium hydroxide (TMAH), 0.10 wt% chelating agent triethylenetetramine hexaacetic acid (TTHA) and 0.14 wt% (SiO 22% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “A5” is 0.40 wt% tetramethylammonium hydroxide (TMAH), 0.10 wt% chelating agent 1,3-diamino-2-hydroxypropane-N, N, N ′, N′-tetraacetic acid It was prepared by adding (DHPTA) and 0.14 wt% (Si02% conversion) tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “A6” contains 0.47 wt% tetramethylammonium hydroxide (TMAH), 0.13 wt% chelator N, N, N ′, N′-ethylenediaminetetra (methylenephosphonic acid) (EDTMP) and 0. 14% by weight (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). Each solution was placed in a 125 ml glass bottle and placed in an oven set at 45 ° C. with the lid loosely closed for 1 hour. A piece of 0.05 mm × 12 mm × 50 mm, 99.8% purity aluminum foil was washed with acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of aluminum foil was placed in the jar and again loosely capped and returned to the oven. After 1 hour at about 45 ° C., the bottle was removed from the oven. The aluminum piece was removed, washed with water, then rinsed with acetone, dried and weighed on an analytical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 9.
[Table 11]
Figure 0004565741
[0087]
  According to Table 9, the data show that adding a chelating agent is useful to increase the aluminum etch rate. To allow removal of metal residues found on the wafer after oxygen plasma ashing in an acceptable stripping temperature and time range, it may be necessary to increase the aluminum etch rate. The data can also be used as desired to obtain the desired aluminum etch rate for the inventive compositions herein.variousConstructionNo kiIt shows that a rate agent is used.
[0088]
Example 10
The aqueous solution “B1” was 0.22% by weight tetramethylammonium hydroxide (TMAH), 0.1% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA) and 0.14% by weight (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “B2” was 0.30 wt% tetramethylammonium hydroxide (TMAH), 0.10 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “B3” was 0.45% by weight tetramethylammonium hydroxide (TMAH), 0.30% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA) and 0.14% by weight (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.2). The aqueous solution “B4” was 0.59% by weight tetramethylammonium hydroxide (TMAH), 0.50% by weight trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA) and 0.14% by weight (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.1). The aqueous solution “B5” was composed of 1.1 wt% tetramethylammonium hydroxide (TMAH), 1.0 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “B6” was 4.1% by weight tetramethylammonium hydroxide (TMAH), 4.8% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA) and 0.13% by weight (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). Each solution was placed in a 125 ml polyethylene bottle and placed in an oven set at 45 ° C. with the lid loosely closed for 1 hour. A 0.05 mm x 12 mm x 50 mm, 99.8% pure aluminum foil piece was rinsed with acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of aluminum foil was placed in the jar and again loosely capped and returned to the oven. After 1 hour at about 45 ° C., the bottle was removed from the oven. The aluminum piece was removed, washed with water, then rinsed with acetone, dried and weighed on an analytical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 10.
[Table 12]
Figure 0004565741
[0089]
According to Table 10, the data show that the addition of a chelating agent is useful to increase the aluminum etch rate. To allow removal of metal residues found on the wafer after oxygen plasma ashing in an acceptable stripping temperature and time range, it may be necessary to increase the aluminum etch rate. The aluminum etch rate is directly proportional to the amount of chelating agent used. The data also indicate that chelating agents, optionally added at various concentrations, are used to obtain the desired aluminum etch rate for the inventive compositions herein.
[0090]
Example 11
The aqueous solution “C1” was 0.25 wt% tetramethylammonium hydroxide (TMAH) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “C2” was 0.36 wt% choline and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “C3” was 0.76 wt% tetrabutylammonium hydroxide (TBAH) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “C4” was 1.6 wt% methyltriethanolammonium hydroxide (MAH) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “C5” was 0.36 wt% methyltriethylammonium hydroxide (MTEAH) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). Each solution was placed in a 125 ml glass bottle and placed in an oven set at 45 ° C. with the lid loosely closed for 1 hour. A 0.05 mm × 12 mm × 50 mm, 99.8% purity aluminum foil piece was rinsed with acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of aluminum foil was placed in the jar and again loosely capped and returned to the oven. After 1 hour at about 45 ° C., the bottle was removed from the oven. The aluminum piece was removed, washed with water, then rinsed with acetone, dried and weighed on an analytical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 11.
[Table 13]
Figure 0004565741
[0091]
  According to Table 11, the data indicate that TMAH may be replaced with various metal ion free bases to increase the aluminum etch rate. To allow removal of metal residues found on the wafer after oxygen plasma ashing in an acceptable stripping temperature and time range, it may be necessary to increase the aluminum etch rate. The data also shows that to obtain the desired aluminum etch rate for the inventive compositions herein,variousConstructionGoldIt indicates that a genus ion-free alkaline component is used.
[0092]
Example 12
The aqueous solution “D1” was prepared by adding 0.14 wt% tetramethylammonium hydroxide (TMAH). The balance of this solution is water (solution pH = 12.3). The aqueous solution “D2” was 0.25 wt% tetramethylammonium hydroxide (TMAH) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.3). The aqueous solution “D3” was 1.2 wt% tetramethylammonium hydroxide (TMAH) and 1.3 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.6). The aqueous solution “D4” was 1.8% by weight tetramethylammonium hydroxide (TMAH) and 2.8% by weight (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.6). Each solution was placed in a 125 ml glass bottle and placed in an oven set at 45 ° C. with the lid loosely closed for 1 hour. A 0.05 mm × 12 mm × 50 mm, 99.8% purity aluminum foil piece was rinsed with acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of aluminum foil was placed in the jar and again loosely capped and returned to the oven. After 1 hour at about 45 ° C., the bottle was removed from the oven. The aluminum piece was removed, washed with water, then rinsed with acetone, dried and weighed on an analytical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 12.
[Table 14]
Figure 0004565741
[0093]
According to Table 12, the data show that the addition of silicate to the metal ion free basic solution inhibits the corrosion of aluminum metal and also obtains the desired aluminum etch rate for the inventive compositions herein. This indicates that metal ion-free silicates added in various concentrations are used.
[0094]
Example 13
The aqueous solution “E1” was 0.22 wt% tetramethylammonium hydroxide (TMAH) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.2). The aqueous solution “E2” was 0.22 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 2.9 wt% glycerol. The balance of this solution is water (solution pH = 12.1). The aqueous solution “E3” was 0.20 wt% tetramethylammonium hydroxide (TMAH), 0.13 wt% (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 9.1 wt% triethylene glycol monomethyl ether were added. The balance of this solution is water (solution pH = 12.2). The aqueous solution “E4” was 0.19 wt% tetramethylammonium hydroxide (TMAH), 0.12 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 13 wt% N-methylpyrrolidinone. The balance of this solution is water (solution pH = 12.2). The aqueous solution “E5” was 0.19 wt% tetramethylammonium hydroxide (TMAH), 0.12 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 17% by weight diethylene glycol. The balance of this solution is water (solution pH = 12.1). The aqueous solution “E6” was 0.17 wt% tetramethylammonium hydroxide (TMAH), 0.11 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 23 wt% isopropyl alcohol. The balance of this solution is water (solution pH = 12.7). Each solution was placed in a 125 ml polyethylene bottle and placed in an oven set at 45 ° C. with the lid loosely closed for 1 hour. A 0.05 mm × 12 mm × 50 mm, 99.8% purity aluminum foil piece was rinsed with acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of aluminum foil was placed in the jar and again loosely capped and returned to the oven. After 1 hour at about 45 ° C., the bottle was removed from the oven. The aluminum piece was removed, washed with water, then rinsed with acetone, dried and weighed on an analytical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 13.
[Table 15]
Figure 0004565741
[0095]
According to Table 13, the data show that the addition of a water-soluble organic solvent is useful to slow the aluminum etch rate. To completely avoid aluminum corrosion during the stripping process, it may be necessary to slow the aluminum etch rate. The aluminum etching rate is inversely proportional to the amount of solvent used, regardless of the solvent type. A wide variety of water soluble solvents are shown below. The data also shows that various types of water-soluble organic solvents are optionally used to obtain the desired aluminum etch rate for the inventive compositions herein.
[0096]
Example 14
The aqueous solution “G1” was 0.22 wt% tetramethylammonium hydroxide (TMAH) and 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS). The balance of this solution is water (solution pH = 12.2). The aqueous solution “G2” was 0.22 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 0.10 wt% nonionic surfactant Surfynol-465. The balance of this solution is water (solution pH = 12.2). The aqueous solution “G3” was 0.22 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 0.10 wt% nonionic surfactant Fluorad FC-170C (product of 3M Industrial Chemicals Division) were added. The balance of this solution is water (solution pH = 12.2). The aqueous solution “G4” was 0.22 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 0.042 (effective) wt% amphoteric surfactant Reuteric AM KSF-40 (product of Witco Corporation) was added to prepare. The balance of this solution is water (solution pH = 12.2). The aqueous solution “G5” was 0.22 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 0.026 (effective) wt% anionic surfactant Fluorad FC-93 (a product of 3M Industrial Chemicals Division) was prepared. The balance of this solution is water (solution pH = 12.2). The aqueous solution “G6” was 0.22 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 0.037 (effective) wt% cationic surfactant Barquat CME-35 (product of Lonza, Inc.) was added. The balance of this solution is water (solution pH = 12.2). Each solution was placed in a 125 ml polyethylene bottle and placed in an oven set at 45 ° C. with the lid loosely closed for 1 hour. A 0.05 mm × 12 mm × 50 mm, 99.8% purity aluminum foil piece was rinsed with acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of aluminum foil was placed in the jar and again loosely capped and returned to the oven. After 1 hour at about 45 ° C., the bottle was removed from the oven. The aluminum piece was removed, washed with water, then rinsed with acetone, dried and weighed on an analytical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 14.
[Table 16]
Figure 0004565741
[0097]
According to Table 14, the data show that the addition of a surfactant is useful to slow the aluminum etch rate. To completely avoid aluminum corrosion during the stripping process, it may be necessary to slow the aluminum etch rate. Useful aluminum etch rate suppression occurs with all four surfactants. This adds to the expected desirable property of improving the wettability of the sample in the presence of a surfactant. The data also indicates that various types of surfactants are optionally used to obtain the desired aluminum etch rate for the inventive compositions herein.
[0098]
Example 15
  Aqueous solution “F1” is 0.20 wt% tetramethylammonium hydroxide (TMAH), 0.11 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA) and 0.07 wt% nonionic interface. Prepared by adding the activator Surfynol-465. The balance of this solution is water (solution pH = 12.3). The aqueous solution “F2” was 0.30% by weight tetramethylammonium hydroxide (TMAH), 0.10% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 0.07 wt% nonionic surfactant Surfynol-465 were added. The balance of this solution is water (solution pH = 12.3). The aqueous solution “F3” was 0.29% by weight tetramethylammonium hydroxide (TMAH), 0.10% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Tetramethylammonium silicate (TMAS), 3.0 wt% glycerol and 0.07 wt% nonionic surfactant Surfynol-465 were added. The balance of this solution is water (solution pH = 12.1). Sections from the same Si (100) wafer with about 650 nm thermal oxide were rinsed with acetone, dried, and then measured using a Rudolph FTM interferometer to determine the thermal oxide thickness. Four regions were measured and mapped for follow-up measurements after processing. Each sample was then placed in a bottle and again placed in an oven set at 45 ° C. with the lid loosely closed. After 24 hours at about 45 ° C., the bottle was removed from the oven, a sample was removed, washed with water, then rinsed with acetone, dried and further measured with an interferometer. The relative corrosion degree was determined from the difference in the thickness of the thermal oxide film averaged over the four regions on the sample. The results are shown in Table 15.
[Table 17]
Figure 0004565741
[0099]
  According to Table 15, data accompanies exposure to alkaline solutiontwoIt shows that the addition of silicate is advantageous to prevent or weaken the corrosion of silicon oxide. Typically, the silicon dioxide dielectric is present on the surface of the integrated circuit during metal wiring or bias stripping. Damage to these dielectrics must be avoided. The data also shows that the addition of tetramethylammonium silicate to a tetramethylammonium hydroxide-based cleaning solution typically inhibits unwanted corrosion of dielectric materials present in integrated circuits.
[0100]
Example 16
Organic contaminants remaining after washing were measured using a secondary ion mass spectrometer (SIMS). A silicon wafer sample sputtered with a 0.35 micron film of aluminum-1% copper alloy is treated with a silicate solution “A” and a commercially available post-etch residue remover, EKC-265.TMAlso washed (product of EKC Technology, Inc.). EKC-265TMComprises about 15% to 20% of catechol, hydroxylamine and water, respectively, with the balance being 2- (2-aminoethoxy) ethanol. The wafer sample was placed in solution “A” at 35 ° C. for 5 minutes, then rinsed with deionized water filtered at 0.2 microns for 2 minutes and dried under pressure nitrogen. A second wafer sample is prepared using EKC-265 using the time and temperature recommended by the manufacturer.TMTreated in the same way. A third untreated wafer piece (also from the same silicone wafer) was used as a control. The wafer samples were then analyzed by Dynamic-SIMS using an etch rate of 22.1 Å / sec with a 0.5 second pause. The carbon surface contamination of the three samples was then compared using the carbon-12 atomic power released from the surface. The results are shown in Table 16.
[Table 18]
Figure 0004565741
[0101]
According to Table 16, the data show the advantages of the present invention with respect to providing a surface free of organic contaminants after cleaning, and the use of the composition described herein for integrated circuits with carbon-containing (organic) impurities. Indicates that there is almost no contamination.
[0102]
Example 17
  Aqueous solution “H1” is 0.27 wt% tetramethylammonium hydroxide (TMAH), 0.092 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.062 wt% nonionic interface Activator Surfynol-465, 0.13% by weight (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 2.7 wt% glycerol. The balance of this solution is water. Aqueous solution “H2” is 0.28 wt% tetramethylammonium hydroxide (TMAH), 0.097 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.065 wt% nonionic interface Activator Surfynol-465, 0.13% by weight (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 2.9 wt% glycerol. The balance of this solution is water. Aqueous solution “H3” is 0.32 wt% tetramethylammonium hydroxide (TMAH), 0.11 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.075 wt% nonionic interface Activator Surfynol-465, 0.15% by weight (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 3.3 wt% glycerol. The balance of this solution is water. Aqueous solution “H4” is 0.39 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.091 wt% nonionic interface Activator Surfynol-465, 0.19 wt% (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 4.0 wt% glycerol. The balance of this solution is water. Aqueous solution “H5” is 0.58 wt% tetramethylammonium hydroxide (TMAH), 0.20 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.14 wt% nonionic interface Activator Surfynol-465, 0.28 wt% (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 6.0 wt% glycerol. The balance of this solution is water. Aqueous solution “H6” is 1.2 wt% tetramethylammonium hydroxide (TMAH), 0.41 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.27 wt% nonionic interface. Activator Surfynol-465, 0.56% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 12 wt% glycerol were added. The balance of this solution is water. The aqueous solution “H7” was 5.1 wt% tetramethylammonium hydroxide (TMAH), 1.8 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 2.4 wt% (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 52 wt% glycerol were added. The balance of this solution is water. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Wafer # 5 and # 6 samples with 1 micron wide undulations and wiring made of aluminum copper and capped with titanium nitride, previously removed by removing organic photoresist residues, while leaving behind mainly inorganic residues Was used to evaluate the performance of the solution. Wafer samples # 7 and # 8 having a width of 1/2 micron with respect to a 1 micron deep hole (bias) through the dielectric material exposing the aluminum copper metal on its substrate are (a) aluminum copper, then Plating with titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a lithographic pattern of bias using a photoresist material, and (d) patterning using reactive ion etching. Transferred to the dielectric layer and (e) pre-manufactured by removing most of the remaining photoresist by oxygen plasma ashing, while mainly leaving behind inorganic residues. Wafer sample # 9, having a width of 1 micron against a 1 micron deep tapered hole (bias) through the dielectric material exposing the aluminum copper metal on its substrate, is plated with (a) aluminum copper and then titanium nitride. (B) coated with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using photoresist material, and (d) transferring the pattern to the dielectric layer using reactive ion etching And (e) pre-manufactured by removing most of the remaining photoresist by oxygen plasma ashing, while mainly leaving behind inorganic residues. Wafer samples were removed from the solution at 21-45 ° C. for 5-10 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are shown in Tables 17A to 17E.
[Table 19]
Figure 0004565741
[Table 20]
Figure 0004565741
[Table 21]
Figure 0004565741
[Table 22]
Figure 0004565741
[Table 23]
Figure 0004565741
[0103]
According to Tables 17A through 17E, the data was obtained from several different oxygen plasma ashed wafer samples with seven different formulations and no unacceptable aluminum corrosion by varying the pH and concentration of each component. This shows that the residue can be cleaned well.
[0104]
Example 18
  The aqueous solution “H8” was 5.1% by weight tetramethylammonium hydroxide (TMAH), 1.8% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 2.4% by weight (SiO2% Conversion) Tetramethylammonium silicate (TMAS) and 52 wt% dimethyl sulfoxide (DMSO) were added. The balance of this solution is water. Aqueous solution “H9” is 0.58 wt% tetramethylammonium hydroxide (TMAH), 0.20 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.14 wt% nonionic interface Activator Surfynol-465, 0.28 wt% (Si02% Conversion) Prepared by adding tetramethylammonium silicate (TMAS) and 6.0 wt% glycerol. The balance of this solution is water. Aqueous solution “H10” is 0.88 wt% tetramethylammonium hydroxide (TMAH), 0.30 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.20 wt% nonionic interface Activator Surfynol-465, 0.42% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 9.0 wt% glycerol were added. The balance of this solution is water. Wafer sample # 10, having a width of 1 micron for a 2 micron deep hole (bias) through the dielectric material exposing the photoresist and aluminum copper metal on its substrate, is: (a) aluminum copper, then titanium nitride (B) coated with a silicon oxide dielectric using chemical vapor deposition, (c) a lithographic pattern of bias using a layer of photoresist material about 1 micron thick, and (d) reactive ions. The pattern was transferred to the dielectric layer using etching, and (e) pre-manufactured by removing the solvent by hard baking of the photoresist at high temperature, while leaving behind mainly the organic photoresist layer. Using this sample, the performance of the solution was evaluated as follows. The wafer sample was removed from the solution at 45-65 ° C. for 20-30 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the samples were observed with a scanning electron microscope (SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 18.
[Table 24]
Figure 0004565741
[0105]
According to Table 18, the data demonstrates the ability of the present invention to clean the organic photoresist layer from the semiconductor wafer surface before the sample is oxygen plasma ashed, while preventing or reducing the corrosion of aluminum undulations. Yes.
[0106]
Example 19
The aqueous solution “H11” was 6.2% by weight tetramethylammonium hydroxide (TMAH), 2.1% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 64% by weight glycerol and 2.9%. % By weight (Si02% Conversion) prepared by adding a colloidal silica solution (having a particle size of 20 nm). The balance of this solution is water. The pH of the solution “H11” is about 13.1. (A) plating with aluminum copper alloy, then titanium nitride, (b) taking a lithographic pattern using a photoresist material, (c) transferring the pattern using reactive ion etching, (d) oxygen plasma ashing Wafer samples # 5 and # 6 having 1 micron wide reliefs and wirings formed of aluminum copper and capped with titanium nitride, previously removed by removing organic photoresist residues, while leaving mainly inorganic residues behind Was used. Treatment for each sample was performed at 22-45 ° C. for 5-10 minutes, removed, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, the sample was observed with a scanning electron microscope (SEM) to determine the degree of cleaning and / or corrosion of the aluminum copper metal relief. The results are similar to those obtained for solution “H7” in Example 17, indicating that colloidal silica can be used as a source of silicates free of water-soluble metal ions of the present invention.
[0107]
Example 20
Aqueous solution “L” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465, 0.14% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 3% by weight glycerol were added. The balance of this solution is water, and this pH is about 12.1. The aqueous solution “Z” was prepared by adding 1.3 wt% tetramethylammonium hydroxide (TMAH), 0.58 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA) The balance is water), and the pH is about 13.0. The aqueous solution “M1” was 1.2 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) Prepared using tetramethylammonium silicate (TMAS) 18.5% by weight hydroxylamine and 0.07% by weight nonionic surfactant Surfynol-465 (the remainder of the solution is water), the pH is about 12 .1. The aqueous solution “P1” was 2.2% by weight tetramethylammonium hydroxide (TMAH), 0.11% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.6 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 22-65 ° C. for 20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 19.
[Table 25]
Figure 0004565741
[0108]
According to Table 19, the data show the ability of hydroxylamine or hydrogen peroxide to facilitate the removal of titanium-containing residues at low temperatures.
[0109]
Example 21
The aqueous solution “M2” was 0.67% by weight tetramethylammonium hydroxide (TMAH), 0.46% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS), 1.0 wt% hydroxylamine and 0.07 wt% nonionic surfactant Surfynol-465 (the rest of the solution is water), the pH is about 12.1. The aqueous solution “M3” was 0.94 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.20 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS), 5.1 wt% hydroxylamine and 0.1 wt% nonionic surfactant Surfynol-465 (the remainder of the solution is water), and the pH is about 12 .1. The aqueous solution “M4” was 1.1 wt% tetramethylammonium hydroxide (TMAH), 0.46 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.18 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS), 10.0 wt% hydroxylamine and 0.09 wt% nonionic surfactant Surfynol-465 (the rest of the solution is water), the pH is about 12.1. The aqueous solution “M5” was 1.3% by weight tetramethylammonium hydroxide (TMAH), 0.42% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 47.3 wt% hydroxylamine (the remainder of the solution is water), the pH is about 12.1. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 35 ° C. for 20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 20.
[Table 26]
Figure 0004565741
[0110]
According to Table 20, the data show the ability of hydroxylamine to facilitate the removal of titanium-containing residues at low temperatures.
[0111]
Example 22
The aqueous solution “M6” was 0.82 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS), 18.8 wt% hydroxylamine and 0.07 wt% nonionic surfactant Surfynol-465 (the balance of this solution is water), and this pH is about 12.1. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 35 ° C. for 20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 21.
[Table 27]
Figure 0004565741
[0112]
According to Table 21, the data show that good stripping performance is obtained over a range of CyDTA concentrations. Thus, the amount of chelating agent present can be adjusted to accommodate the sample being washed. More difficult samples may require this desired component to achieve complete washing. The data also indicates that chelating agents are optionally used in the compositions disclosed herein.
[0113]
Example 23
The aqueous solution “M7” was 6.0 wt% tetramethylammonium hydroxide (TMAH), 0.35 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 1.2 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS), 17.7 wt% hydroxylamine and 0.06 wt% nonionic surfactant Surfynol-465 (the remainder of the solution is water), and the pH is about 13.0. The aqueous solution “M8” was 7.1 wt% tetramethylammonium hydroxide (TMAH), 0.46 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 2.7 wt% (SiO2% Conversion) Prepared using tetramethylammonium silicate (TMAS) and 19.1% by weight hydroxylamine (the remainder of the solution is water), the pH is about 13.0. The aqueous solution “M9” was 8.2 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 4.1 wt% (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 19.0 wt% hydroxylamine (the remainder of the solution is water), the pH is 13.0. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 35 ° C. for 20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 22.
[Table 28]
Figure 0004565741
[0114]
According to Table 22, the data show the ability of tetramethylammonium silicate to prevent or attenuate corrosion of exposed aluminum on the base of the bias even at very high formulation pH.
[0115]
Example 24
The aqueous solution “M10” was 0.34 wt% tetramethylammonium hydroxide (TMAH), 0.47 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.01 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS), 18.6% by weight hydroxylamine and 0.06% by weight nonionic surfactant Surfynol-465 (the remainder of the solution is water), and the pH is about 10.1. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 20-65 ° C. for 5-30 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 23.
[Table 29]
Figure 0004565741
[0116]
According to Table 23, the data show that high pH, high concentration of tetramethylammonium silicate can be used to inhibit aluminum corrosion. The data also shows that high pH, low working temperature can be used.
[0117]
Example 25
The aqueous solution “P1” was 2.2% by weight tetramethylammonium hydroxide (TMAH), 0.11% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.6 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. The aqueous solution “P2” was 9.7 wt% tetramethylammonium hydroxide (TMAH), 0.11 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 9.4 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 21-35 ° C. for 20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 24.
[Table 30]
Figure 0004565741
[0118]
According to Table 24, the data show that a range of hydrogen peroxide concentrations are useful for removing titanium-containing residues in the bias.
[0119]
Example 26
The aqueous solution “P3” was 3.5% by weight tetramethylammonium hydroxide (TMAH), 0.10% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.5 wt% hydrogen peroxide (the remainder of the solution is water), and the pH is about 12.2. The aqueous solution “P4” was 3.9 wt% tetramethylammonium hydroxide (TMAH), 0.096 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.59 wt% (SiO2% Conversion) prepared with tetramethylammonium silicate (TMAS) and 1.4 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 12.2. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 22 ° C. for 10 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 25.
[Table 31]
Figure 0004565741
[0120]
According to Table 25, the data show that high concentrations of tetramethylammonium silicate can be used to inhibit aluminum corrosion when hydrogen peroxide is present.
[0121]
Example 27
The aqueous solution “P5” was 2.1 wt% tetramethylammonium hydroxide (TMAH), 0.14 wt% (SiO2% Conversion) prepared with tetramethylammonium silicate (TMAS) and 1.5 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. The aqueous solution “P6” was 2.4% by weight tetramethylammonium hydroxide (TMAH), 0.53% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.6 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. The aqueous solution “P7” was 2.9 wt% tetramethylammonium hydroxide (TMAH), 1.4 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) prepared with tetramethylammonium silicate (TMAS) and 1.5 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 21-23 ° C. for 20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 26.
[Table 32]
Figure 0004565741
[0122]
According to Table 26, the data indicate that a range of CyDTA concentrations are useful.
[0123]
Example 28
  The aqueous solution “P8” was 0.40 wt% tetramethylammonium hydroxide (TMAH), 0.10 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS) and 19.2 wt% hydrazine (the remainder of the solution is water), the pH is about 12.1. The aqueous solution “P9” was 4.33 wt% tetramethylammonium hydroxide (TMAH), 0.088 wt% trans- (1,2-cyclohexylene dinitrilo) tetraacetic acid (CyDTA), 0.12 wt% (SiO2% Conversion) Prepared using tetramethylammonium silicate (TMAS) and 15.7 wt% formaldehyde (the remainder of the solution is water), the pH is about 12.1. The aqueous solution “P10” was 0.26 wt% tetramethylammonium hydroxide (TMAH), 11.5 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.13 wt% (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 16.7 wt% methylamine (the remainder of the solution is water) and the pH is about 12.1. Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 35 ° C. for 20-30 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 27.
[Table 33]
Figure 0004565741
[0124]
According to Table 27, the data show that other small molecules have no effect on titanium residue removal. Like hydroxylamine, hydrazine is a powerful reducing agent. It is not anticipated that hydrazine will be ineffective, and using a silicate-containing formulation, the titanium-containing residue found in wafer sample # 11 can be cleaned from bias, which is unique to hydroxylamine and hydrogen peroxide. It proves that it is a thing.
[0125]
Example 29
  Aqueous solution “L” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Surfactol-465, 0.14% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 3% by weight glycerol were added. The balance of this solution is water and the pH is about 12.1. The aqueous solution “M1” was 1.2 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2%) Prepared using tetramethylammonium silicate (TMAS), 18.5 wt% hydroxylamine and 0.07 wt% nonionic surfactant Surfynol-465 (the balance of this solution is water) About 12.1. The aqueous solution “P8” was 0.40 wt% tetramethylammonium hydroxide (TMAH), 0.10 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS) and 19.2 wt% hydrazine (the remainder of the solution is water), the pH is about 12.1. Aqueous solution “S1” was 583 grams deionized water, 7.8 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 8.6 grams tetramethylammonium silicate (TMAS, SiO2As the pH is 12.5. Aqueous solution “S2” was prepared by combining 99.0 grams of solution “S1” with 2.5 grams of β-cyclodextrin (solution pH = 12.1). Aqueous solution “S3” was prepared by combining 99.0 grams of solution “S1” with 2.5 grams of sodium hypophosphite (solution pH = 12.3). Aqueous solution “S4” was prepared by combining 99.0 grams of solution “S1” with 2.5 grams of sodium dithionite (solution pH = 6.7). Aqueous solution “S5” was prepared by combining 99.0 grams of solution “S1” with 2.5 grams of sodium sulfite (solution pH = 12.3). The stock aqueous solution “S5b” is 1,775.2 grams deionized water and 96.0 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution, 8.8 grams trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid. (CyDTA) and 114.8 grams tetramethylammonium silicate (TMAS, SiO)2As 10.0%). Preservative aqueous solution “S5c” consists of 900 ml deionized water and 300 ml solution “S5”.bIt was prepared by combining. Aqueous solution “S6” was prepared by combining 80.0 grams solution “S5c”, 5.0 grams L-ascorbic acid and 18.2 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution (solution pH = 12). .3). Aqueous solution “S7” was prepared by combining 80.0 grams of solution “S5c”, 5.0 grams of hydroquinone and 27.1 grams of tetramethylammonium hydroxide (TMAH) 25% aqueous solution (solution pH = 12.4). . Aqueous solution “S8” was prepared by combining 80.0 gram solution “S5c”, 5.0 gram L (+)-cysteine and 29.6 gram tetramethylammonium hydroxide (TMAH) 25% aqueous solution (solution pH). = 12.4). Aqueous solution “S9” was prepared by combining 80.0 grams solution “S5c”, 10.0 grams ammonium persulfate and 32.9 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution (solution pH = 12.6). ). Aqueous solution “S10” was prepared by combining 80.0 grams of solution “S5c”, 5.0 grams of nitric acid and 10.2 grams of tetramethylammonium hydroxide pentahydrate (TMAH) (solution pH = 12.4). ). Aqueous solution “S11” was prepared by combining 90.0 grams of solution “S5c”, 5.0 grams and 19.2 grams of 25% aqueous solution of tetramethylammonium hydroxide (TMAH) (solution pH = 12.3). Aqueous solution “S12” was 80.0 grams solution “S5c”, 5.0 grams 88% formic acid, 10.0 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 12.7 grams tetramethylammonium hydroxide pentahydrate. (TMAH) was prepared by combining (solution pH = 12.6). Aqueous solution “S13” was prepared by combining 80.0 grams of solution “S5c”, 5.0 grams of sulfuric acid and 17.5 grams of tetramethylammonium hydroxide pentahydrate (TMAH) (solution pH = 12.3). ). Aqueous solution “S14” was prepared by combining 80.0 grams of solution “S5c”, 5.0 grams of phosphoric acid and 20.1 grams of tetramethylammonium hydroxide pentahydrate (TMAH) (solution pH = 12 .3). Aqueous solution “S15” is 80.0 grams solution “S5c”, 6.0 grams oxalic acidtwoPrepared by combining hydrate, 16.0 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 9.3 grams tetramethylammonium hydroxide pentahydrate (TMAH) (solution pH = 12.6). ). Aqueous solution “S16” was prepared by combining 80.0 grams solution “S5c”, 5.0 grams catechol and 16.1 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution (solution pH = 12.4). . Each solution was placed in a 125 ml polyethylene bottle and placed in an oven set at 45 ° C. with the lid tightly closed and preheated for 1 hour. A 0.025 mm × 13 mm × 50 mm, 99.94% pure titanium foil piece was rinsed with deionized water, acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of titanium foil was placed in a jar, re-capped and returned to the oven. After 24 hours at about 45 ° C., the bottle was removed from the oven. The titanium foil pieces were removed and rinsed with deionized water, then with acetone, dried and weighed on a chemical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 28.
[Table 34]
Figure 0004565741
[0126]
According to Table 28, the data indicate that all of the possible titanium residue removal accelerators tested above (except hydroxyamine) were ineffective at process temperatures as low as 45 ° C. The lack of effectiveness of the hydrazine shown here confirms the FE-SEM results shown in Example 28. The results shown demonstrate that it is peculiar to hydroxylamine to increase the relative titanium etch (removal) rate.
[0127]
Example 30
  The aqueous solution “R1” was 583 grams deionized water, 4.68 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 8.64 grams tetramethylammonium silicate (TMAS, SiO).2As 10.0%) and 0.66 grams trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), which has a pH of 11.3. Aqueous solution “R2” was prepared by combining 99.0 gram solution “R1”, 0.33 gram tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 1.0 gram hydroxylamine 50% aqueous solution (solution pH = 12.0). Aqueous solution “R3” was prepared by combining 99.0 gram solution “R1”, 0.34 gram tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 5.0 gram hydroxylamine 50% aqueous solution (solution pH = 11.9). Aqueous solution “R4” was prepared by combining 99.0 gram solution “R1”, 0.34 gram tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 10.0 gram hydroxylamine 50% aqueous solution (solution pH = 11.6). Aqueous solution “R5” was prepared by combining 99.0 gram solution “R1”, 0.52 gram tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 1.0 gram hydroxylamine 50% aqueous solution (solution pH = 12.2). Aqueous solution “R6” was prepared by combining 99.0 gram solution “R1”, 0.54 gram tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 5.0 gram hydroxylamine 50% aqueous solution (solution pH = 12.0). Aqueous solution “R7” was prepared by combining 99.0 grams of solution “R1”, 0.56 grams of tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 10.2 grams hydroxylamine 50% aqueous solution (solution pH = 11.8). The stock aqueous solution “R8” is 583 grams deionized water, 4.68 grams tetramethylammonium hydroxide (TMAH) 25% aqueous solution and 8.64 grams tetramethylammonium silicate (TMAS, SiO).2As the pH is 12.0. Aqueous solution “R9” was prepared by combining 94.0 grams solution “R8” and 20.0 grams hydroxylamine 50% aqueous solution (solution pH = 11.3). Each solution was placed in a 125 ml polyethylene bottle and placed in an oven set at 45 ° C. with the lid tightly closed and preheated for 1 hour. A 0.025 mm × 13 mm × 50 mm, 99.94% pure titanium foil piece was rinsed with deionized water, acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven, and then a piece of titanium foil was placed in a jar, re-capped and returned to the oven. After 24 hours at about 45 ° C., the bottle was removed from the oven. The titanium foil pieces were removed and rinsed with deionized water, then with acetone, dried and weighed on a chemical balance. The relative degree of corrosion was determined from the weight loss. The results are shown in Table 29.
[Table 35]
Figure 0004565741
[0128]
According to Table 29, the data indicate that as the concentration of the titanium residue removal accelerator hydroxylamine increases, the relative titanium foil removal increases. The degree of titanium removal in this test is directly proportional to the effectiveness in cleaning wafer sample # 11.
[0129]
Example 31
The aqueous solution “M1” was 1.2 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) Prepared using tetramethylammonium silicate (TMAS) 18.5% by weight hydroxylamine and 0.07% by weight nonionic surfactant Surfynol-465 (the remainder of the solution is water), the pH is about 12 .1. The aqueous solution “P1” was 2.2% by weight tetramethylammonium hydroxide (TMAH), 0.11% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.6 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. The commercially available post-etch residue remover used for comparison is EKC-265.TM(Product of EKC Technology, Inc.) and ACT-935TM (product of ACT Inc.). Wafer sample # 11 having a width of 0.3 to 0.5 microns for a 0.5 micron deep hole (bias) through the dielectric layer and titanium nitride layer exposing the aluminum copper metal on its substrate, (A) plating with aluminum copper and then titanium nitride, (b) coating with silicon oxide dielectric using chemical vapor deposition, (c) taking a bias lithographic pattern using a photoresist material, (d) reactivity The pattern was transferred to the dielectric layer using ion etching and (e) the majority of the remaining photoresist was removed by oxygen plasma ashing, while the pre-manufactured (residue Measured by Auger electron microscopic analysis of the transverse cross section). These samples were used to evaluate the performance of the solution. The wafer sample was removed from the solution at 35 ° C. for 20 minutes, rinsed with deionized water, and dried with pressurized nitrogen gas. After drying, a cross section of the sample bias was taken and then observed with a field emission scanning electron microscope (FE-SEM) to determine the degree of undulation cleaning and / or corrosion. The results are shown in Table 30.
[Table 36]
Figure 0004565741
[0130]
According to Table 30, the data show that at a process temperature as low as 35 ° C., the composition of the present invention was effective in removing residues known to contain titanium. This data also shows that the use of the titanium residue removal accelerator hydroxylamine for low temperature cleaning is unique to the compositions of the present invention.
[0131]
Example 32
Aqueous solution “L” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465, 0.14% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 3% by weight glycerol were added. The balance of this solution is water, and this pH is about 12.1. The aqueous solution “M1” was 1.2 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) Prepared using tetramethylammonium silicate (TMAS) 18.5% by weight hydroxylamine and 0.07% by weight nonionic surfactant Surfynol-465 (the remainder of the solution is water), the pH is about 12 .1. The aqueous solution “P1” was 2.2% by weight tetramethylammonium hydroxide (TMAH), 0.11% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.6 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. A silicone wafer sample with a cured layer of hydrogen silsesquioxane (HSQ) low-k dielectric was placed in a Fourier transform infrared (FTIR) spectrometer and a reference spectrum was taken. HSQ has Si—H bonds in its structure, which is 2100 cm.-1It is clear that The wafer sample was then processed in one of the above solutions at room temperature (about 22 ° C.) for 10 minutes, rinsed with deionized water and further dried. The sample was then placed in FTIR and a second spectrum was obtained. About 2100cm-1Were used to compare the processed wafer spectra against the reference spectra. For comparison, a commercially available post-etch residue remover, EKC-265TM(Product of EKC Technology, Inc.) was similarly tested (10 minutes) at the manufacturer's recommended temperature of 65 ° C. The results are shown in Table 31.
[Table 37]
Figure 0004565741
[0132]
According to Table 31, the data show that the compositions of the present invention are unique in that they are compatible with photosensitive low-k dielectric materials such as HSQ.
[0133]
Example 33
  Aqueous solution “A” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465 (product of Air Products and Chemicals, Inc.) and 0.14% by weight (SiO2% Conversion) tetramethylammonium silicate (TMAS) is added (the rest of the solution is water) and the pH is about 12.2. Aqueous solution “L” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465, 0.14% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 3% by weight glycerol were added. The balance of this solution is water, and this pH is about 12.1. The aqueous solution “M1” was 1.2 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) Prepared using tetramethylammonium silicate (TMAS) 18.5% by weight hydroxylamine and 0.07% by weight nonionic surfactant Surfynol-465 (the remainder of the solution is water), the pH is about 12 .1. The aqueous solution “P1” was 2.2% by weight tetramethylammonium hydroxide (TMAH), 0.11% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.6 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. Storage aqueous solution “T1” is 1.6 wt% tetramethylammonium hydroxide (TMAH), 0.41 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.27 wt% nonionic Surfactant Surfynol-465, 0.56% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 12 wt% glycerol were added. The balance of the solution is water, and the pH is about 12.5. Aqueous solution “T2” was prepared by diluting 25 ml solution “T1” with 70 ml deionized water and 5 ml glycerol. Aqueous solution “T3” was prepared by diluting 25 ml solution “T1” with 65 ml deionized water and 10 ml glycerol. Aqueous solution “T4” was prepared by diluting 25 ml solution “T1” with 60 ml deionized water and 15 ml glycerol. Aqueous solution “T5” was prepared by diluting 25 ml solution “T1” with 55 ml deionized water and 20 ml glycerol. Aqueous solution “T6” was prepared by diluting 25 ml solution “T1” with 50 ml deionized water and 25 ml glycerol. Aqueous solution “T7” was prepared by diluting 25 ml solution “T1” with 25 ml deionized water and 50 ml glycerol. Aqueous solution “T8” was prepared by diluting 25 ml solution “T1” with 75 ml glycerol. Aqueous solution “T9” was prepared by diluting 25 ml solution “T1” with 70 ml deionized water and 5 ml 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP). Aqueous solution “T10” was prepared by diluting 25 ml solution “T1” with 65 ml deionized water and 10 ml 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP). Aqueous solution “T11” was prepared by diluting 25 ml solution “T1” with 60 ml deionized water and 15 ml 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP). Aqueous solution “T12” was prepared by diluting 25 ml solution “T1” with 55 ml deionized water and 20 ml 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP). Aqueous solution “T13” was prepared by diluting 25 ml solution “T1” with 50 ml deionized water and 25 ml 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP). Aqueous solution “T14” was prepared by diluting 25 ml solution “T1” with 25 ml deionized water and 50 ml 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP). Aqueous solution “T15” was prepared by diluting 25 ml solution “T1” with 75 ml 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP). Each solution was placed in a 125 ml polyethylene bottle, tightly capped and placed in an oven set at 45 ° C, 65 ° C or 85 ° C and preheated for 1 hour or held at room temperature (about 22 ° C). A piece of 0.025 mm × 13 mm × 50 mm pure copper foil was immersed in dilute hydrochloric acid, rinsed with deionized water and acetone, dried, and then weighed on an analytical balance. After preheating for 1 hour, each solution was removed from the oven (if heated), and then a piece of copper foil was placed in a jar and again capped and returned to the oven. After 24 hours at about 22-85 ° C., the bottle was removed from the oven. A piece of copper foil was removed, rinsed with deionized water, then with acetone, dried and weighed on a chemical balance. The degree of corrosion was determined from the weight loss. For comparison, a commercially available post-etch residue remover, EKC-265TM(Product of EKC Technology, Inc.), EKC-270TM(Product of EKC Technology, Inc.), EKC-311TM(Product of EKC Technology, Inc.), ACT-935TM (product of ACT Inc.), ACT NP-937TM(ACT Inc. product) and ACT-941TM (ACT Inc. product) were similarly tested at the manufacturer's recommended temperature of 65 ° C. The results are shown in Table 32.
[Table 38]
Figure 0004565741
[0134]
According to Table 32, the data show that several compositions of the present invention are compatible with copper. The data also shows that the composition M1 of the present invention is superior to a commercially available hydroxylamine-containing post-etch residue remover formulation for use with copper plating. Furthermore, the data show that the addition of the titanium residue removal accelerator hydrogen peroxide reduces the copper corrosion rate.
[0135]
Example 34
Aqueous solution “L” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465, 0.14% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 3% by weight glycerol were added. The balance of this solution is water, and this pH is about 12.1. In a clean room, the total particles found on a 3 inch silicone wafer with 650 angstroms of thermal oxide were measured using a wafer particle counter (size of 0.1 to 10 microns). The wafer was then chemically mechanically polished (CMP) with an alumina-based polishing slurry and rinsed with deionized water. The wafer was then “brush cleaned” using solution “L” at room temperature (about 22 ° C.), then rinsed with deionized water and spin-dried. The total particle (0.1 to 10 micron size) present on the wafer surface after cleaning was then measured using a wafer particle counter. For comparison, a second wafer using deionized water as a “brush cleaner” after CMP was tested. The results are shown in Table 33.
[Table 39]
Figure 0004565741
[0136]
According to Table 33, the data show that the compositions of the present invention are unique because they remove particulate contaminants that occur after chemical mechanical polishing.
[0137]
Example 35
Aqueous solution “L” is 0.3 wt% tetramethylammonium hydroxide (TMAH), 0.1 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.07 wt% nonionic interface Activator Surfynol-465, 0.14% by weight (Si02% Conversion) Tetramethylammonium silicate (TMAS) and 3% by weight glycerol were added. The balance of this solution is water, and this pH is about 12.1. The aqueous solution “M1” was 1.2 wt% tetramethylammonium hydroxide (TMAH), 0.45 wt% trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14 wt% (SiO2% Conversion) prepared using tetramethylammonium silicate (TMAS), 18.5 wt% hydroxylamine and 0.07 wt% nonionic surfactant Surfynol-465 (the remainder of the solution is water), and the pH is about 12.1. The aqueous solution “P1” was 2.2% by weight tetramethylammonium hydroxide (TMAH), 0.11% by weight trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid (CyDTA), 0.14% by weight (SiO2% Conversion) Prepared with tetramethylammonium silicate (TMAS) and 1.6 wt% hydrogen peroxide (the remainder of the solution is water), the pH is about 11.5. Sections from the same Si (100) wafer with about 650 nm thermal oxide were rinsed with acetone, dried, and further measured with a Rudolph FTM interferometer to determine the thermal oxide thickness. Four ranges were measured and mapped for follow-up measurements after processing. Each sample was then placed in a jar and again loosely capped and placed in an oven pre-set at 45 ° C. or left at room temperature (about 22 ° C.). After 24 hours at about 22 ° C. or about 45 ° C., the bottle was removed from the oven and the sample was removed, washed with water, then rinsed with acetone, dried and measured with an interferometer. The relative etch rate was determined from the difference in the thickness of the thermal oxide film averaged over the four areas on the sample. For comparison, a commercially available post-etch residue remover, EKC-265TM(Product of EKC Technology, Inc.), EKC-270TM(Product of EKC Technology, Inc.), EKC-311TM(Product of EKC Technology, Inc.), ACT-935TM(Product of ACT Inc.), ACT NP-937TM(A product of ACT Inc.) and ACT-941TM(ACT Inc. product) was similarly tested at the manufacturer's recommended temperature of 65 ° C. The results are shown in Table 34.
[Table 40]
Figure 0004565741
* 18 hours test at 65 ℃
[0138]
According to Table 34, the data show that the compositions of the present invention are unique in that they clean unwanted residues from the wafer substrate without undesirable etching of the dielectric layer. These results are consistent with the results shown in Example # 15 for silicate-containing compositions.
[0139]
The examples show 10 surprising and unexpected results related to the present invention. First, it is possible to clean undesirable residues from the wafer surface while preventing undesirable metal corrosion at low working temperatures and short working times. Second, the use of silicate as a buffer, high dilution rate, and unexpectedly high bath stability of the high pH composition (pKa = 11.8). Third, the silicate added to the highly alkaline cleaning agent inhibits undesirable dissolution of the silicon oxide dielectric material present in the integrated circuit. Fourth, because the composition is very aqueous (typically> 80% water), no intermediate rinsing step is required prior to water washing to prevent post-wash corrosion. Fifth, due to the high moisture content of these compositions, the hygiene, safety, and environmental health associated with use and handling compared to typical organic photoresist strippers and post-plasma ash residue removers. The risk of Sixth, the composition of the present invention has been shown to have substantially no carbon residue contamination on the substrate surface after processing compared to a typical post-organic ash residue remover. . Seventh, it has been found that the compositions of the present invention are compatible with photosensitive low-k dielectric materials used in integrated circuits. Eighth, it is possible to remove difficult-to-handle titanium-containing residues at low temperatures. Ninth, it has been found that the composition of the present invention is compatible with copper metal. Tenth, it has been found that the compositions of the present invention are also effective in removing silica and alumina chemical mechanical polishing (CMP) slurry residues from wafer substrates. Silicates are known aluminum corrosion inhibitors, but their ability to inhibit aluminum corrosion and to selectively remove high aluminum and / or titanium content metal-containing photoresist residues is surprising. Yes and unexpected. Silicate buffering during processing, negligible carbon contamination on substrate surface, low dielectric etch, compatibility with photosensitive low-k dielectric materials, compatibility with copper metal, low-handling titanium-containing residues at low temperatures The ability to be removed, the ability to clean silica and alumina chemical mechanical polishing (CMP) slurry residues and the ability to effectively use such high water concentrations were also surprising and unexpected aspects of the present invention.
[0140]
Obviously, many modifications and variations of the present invention are possible in light of the above teachings. Accordingly, it is to be understood that the invention may be practiced otherwise than as specifically described within the scope of the appended claims.

Claims (26)

チタン残渣を含む集積回路基板をストリッピングまたは洗浄するための組成物であって、
(a)pH11またはそれ以上の溶液とするに十分な量の、水酸化第4級アンモニウム、水酸化アンモニウムおよび有機アミン類からなる群より選択される1またはそれ以上の金属イオンフリー塩基、
(b)0.01重量%ないし5重量%の珪酸アンモニウムおよび珪酸第4級アンモニウムからなる群から選択される水溶性金属イオンフリー珪酸塩、
(c)(1)0.01重量%ないし10重量%の(エチレンジニトリロ)四酢酸、ジエチレントリアミン五酢酸、トリエチレンテトラミン六酢酸、1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸、N,N,N’,N’−エチレンジアミンテトラ(メチレンリン酸)、および(1,2−シクロへキシレンジニトリロ)−四酢酸からなる群より選択される1またはそれ以上のキレート剤、または
(2)1重量%ないし50重量%のヒドロキシルアミン、ヒドロキシルアミン塩、過酸化物、オゾンおよびフッ化物からなる群より選択される1またはそれ以上のチタン残渣除去促進剤
のいずれかまたは両方を含む少なくとも1の成分、および、
(d)水
を含んでなる組成物。
A composition for stripping or cleaning an integrated circuit substrate containing a titanium residue, comprising :
(A) one or more metal ion free bases selected from the group consisting of quaternary ammonium hydroxide, ammonium hydroxide and organic amines in an amount sufficient to provide a pH 11 or higher solution;
(B) a water-soluble metal ion-free silicate selected from the group consisting of 0.01 wt% to 5 wt% ammonium silicate and quaternary ammonium silicate;
(C) (1) 0.01% to 10% by weight of (ethylenedinitrilo) tetraacetic acid, diethylenetriaminepentaacetic acid, triethylenetetraminehexaacetic acid, 1,3-diamino-2-hydroxypropane-N, N, N 1, selected from the group consisting of ', N'-tetraacetic acid, N, N, N', N'-ethylenediaminetetra (methylene phosphoric acid), and (1,2-cyclohexylenedinitrilo) -tetraacetic acid or One or more chelating agents, or (2) one or more titanium residue removal accelerators selected from the group consisting of 1 wt% to 50 wt% hydroxylamine, hydroxylamine salt, peroxide, ozone and fluoride At least one component comprising either or both of
(D) A composition comprising water.
金属イオンフリー塩基が11ないし13のpHとするに十分な量で存在する、請求項1に記載の組成物。  The composition of claim 1, wherein the metal ion free base is present in an amount sufficient to provide a pH of 11-13. 1またはそれ以上の水溶性補助有機溶媒をさらに含有する、請求項1に記載の組成物。  The composition of claim 1 further comprising one or more water-soluble auxiliary organic solvents. 水溶性補助有機溶媒の濃度が0.1重量%ないし80重量%である、請求項3に記載の組成物。  The composition according to claim 3, wherein the concentration of the water-soluble auxiliary organic solvent is 0.1 wt% to 80 wt%. 水溶性補助有機溶媒が、1−ヒドロキシアルキル−2−ピロリジノン、アルコールおよびポリヒドロキシ化合物からなる群より選択される、請求項4に記載の組成物。  5. The composition of claim 4, wherein the water soluble auxiliary organic solvent is selected from the group consisting of 1-hydroxyalkyl-2-pyrrolidinone, alcohols and polyhydroxy compounds. 1またはそれ以上の水溶性界面活性剤をさらに含有する、請求項1に記載の組成物。  The composition of claim 1, further comprising one or more water-soluble surfactants. 水溶性界面活性剤の濃度が0.01重量%ないし1重量%である、請求項6に記載の組成物。  The composition according to claim 6, wherein the concentration of the water-soluble surfactant is 0.01% by weight to 1% by weight. 塩基が、コリン、水酸化テトラブチルアンモニウム、水酸化テトラメチルアンモニウム、水酸化メチルトリエタノールアンモニウム、および水酸化メチルトリエチルアンモニウムからなる群より選択される、請求項1に記載の組成物。  The composition of claim 1, wherein the base is selected from the group consisting of choline, tetrabutylammonium hydroxide, tetramethylammonium hydroxide, methyltriethanolammonium hydroxide, and methyltriethylammonium hydroxide. 水溶性金属イオンフリー珪酸塩が珪酸テトラメチルアンモニウムである、請求項1に記載の組成物。  The composition according to claim 1, wherein the water-soluble metal ion-free silicate is tetramethylammonium silicate. 0.1ないし3重量%の水酸化テトラメチルアンモニウムと0.01ないし1重量%の珪酸テトラメチルアンモニウムを含有する、請求項1に記載の組成物。  The composition according to claim 1, comprising from 0.1 to 3% by weight of tetramethylammonium hydroxide and from 0.01 to 1% by weight of tetramethylammonium silicate. 0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸をさらに含有する、請求項10に記載の組成物。  The composition according to claim 10, further comprising 0.01 to 1% by weight of trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid. チタン残渣を含む半導体ウェーハー基板の洗浄方法であって、
半導体ウェーハー基板を、望ましくない混入物および残渣を基板表面から洗浄するに十分な時間および温度で、
(a)pH11またはそれ以上の溶液とするに十分な量の、水酸化第4級アンモニウム、水酸化アンモニウムおよび有機アミン類からなる群より選択される1またはそれ以上の金属イオンフリー塩基、
(b)0.01重量%ないし5重量%の珪酸アンモニウムおよび珪酸第4級アンモニウムからなる群から選択される水溶性金属イオンフリー珪酸塩、
(c)(1)0.01重量%ないし10重量%の(エチレンジニトリロ)四酢酸、ジエチレントリアミン五酢酸、トリエチレンテトラミン六酢酸、1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸、N,N,N’,N’−エチレンジアミンテトラ(メチレンリン酸)、および(1,2−シクロへキシレンジニトリロ)−四酢酸からなる群より選択される1またはそれ以上のキレート剤、または
(2)1重量%ないし50重量%のヒドロキシルアミン、ヒドロキシルアミン塩、過酸化物、オゾンおよびフッ化物からなる群より選択される1またはそれ以上のチタン残渣除去促進剤
のいずれかまたは両方を含む少なくとも1の成分、および、
(d)水
を含む組成物と接触させることを含んでなる方法。
A method for cleaning a semiconductor wafer substrate containing a titanium residue ,
A semiconductor wafer substrate at a time and temperature sufficient to clean undesired contaminants and residues from the substrate surface;
(A) one or more metal ion free bases selected from the group consisting of quaternary ammonium hydroxide, ammonium hydroxide and organic amines in an amount sufficient to provide a pH 11 or higher solution;
(B) a water-soluble metal ion-free silicate selected from the group consisting of 0.01 wt% to 5 wt% ammonium silicate and quaternary ammonium silicate;
(C) (1) 0.01% to 10% by weight of (ethylenedinitrilo) tetraacetic acid, diethylenetriaminepentaacetic acid, triethylenetetraminehexaacetic acid, 1,3-diamino-2-hydroxypropane-N, N, N 1, selected from the group consisting of ', N'-tetraacetic acid, N, N, N', N'-ethylenediaminetetra (methylene phosphoric acid), and (1,2-cyclohexylenedinitrilo) -tetraacetic acid or One or more chelating agents, or (2) one or more titanium residue removal accelerators selected from the group consisting of 1 wt% to 50 wt% hydroxylamine, hydroxylamine salt, peroxide, ozone and fluoride At least one component comprising either or both of
(D) A method comprising contacting with a composition comprising water.
半導体ウェーハー基板を1ないし30分間組成物と接触させる、請求項12に記載の方法。  The method of claim 12, wherein the semiconductor wafer substrate is contacted with the composition for 1 to 30 minutes. 半導体ウェーハー基板を10℃ないし85℃の温度で組成物と接触させる、請求項12に記載の方法。  The method of claim 12, wherein the semiconductor wafer substrate is contacted with the composition at a temperature of 10 ° C. to 85 ° C. すすぎおよび乾燥工程をさらに含んでなる、請求項12に記載の方法。  The method of claim 12, further comprising rinsing and drying steps. 組成物が金属イオンフリー塩基を11ないし13のpHとするに十分な量で含有する、請求項12に記載の方法。  13. The method of claim 12, wherein the composition contains metal ion free base in an amount sufficient to bring the pH to 11-13. 組成物中に1またはそれ以上の水溶性補助有機溶媒をさらに含有する、請求項12に記載の方法。  13. The method of claim 12, further comprising one or more water-soluble auxiliary organic solvents in the composition. 水溶性補助有機溶媒の濃度が0.1重量%ないし80重量%である、請求項17に記載の方法。  The process according to claim 17, wherein the concentration of the water-soluble auxiliary organic solvent is from 0.1% to 80% by weight. 水溶性補助有機溶媒が、1−ヒドロキシアルキル−2−ピロリジノン、アルコールおよびポリヒドロキシ化合物からなる群より選択される、請求項17に記載の方法。  18. The method of claim 17, wherein the water soluble auxiliary organic solvent is selected from the group consisting of 1-hydroxyalkyl-2-pyrrolidinone, alcohols and polyhydroxy compounds. 組成物中に1またはそれ以上の水溶性界面活性剤をさらに含有する、請求項12に記載の方法。  13. The method of claim 12, further comprising one or more water soluble surfactants in the composition. 水溶性界面活性剤の濃度が0.01重量%ないし1重量%である、請求項20に記載の方法。  The method according to claim 20, wherein the concentration of the water-soluble surfactant is 0.01% by weight to 1% by weight. 組成物中の塩基が、コリン、水酸化テトラブチルアンモニウム、水酸化テトラメチルアンモニウム、水酸化メチルトリエタノールアンモニウム、および水酸化メチルトリエチルアンモニウムからなる群より選択される、請求項12に記載の方法。  13. The method of claim 12, wherein the base in the composition is selected from the group consisting of choline, tetrabutylammonium hydroxide, tetramethylammonium hydroxide, methyltriethanolammonium hydroxide, and methyltriethylammonium hydroxide. 組成物中の水溶性金属イオンフリー珪酸塩が珪酸テトラメチルアンモニウムである、請求項12に記載の方法。  The method according to claim 12, wherein the water-soluble metal ion-free silicate in the composition is tetramethylammonium silicate. 組成物が0.1ないし3重量%の水酸化テトラメチルアンモニウムと0.01ないし1重量%の珪酸テトラメチルアンモニウムを含有する、請求項12に記載の方法。  The process according to claim 12, wherein the composition comprises 0.1 to 3 wt% tetramethylammonium hydroxide and 0.01 to 1 wt% tetramethylammonium silicate. 組成物が0.01ないし1重量%のトランス−(1,2−シクロへキシレンジニトリロ)四酢酸をさらに含有する、請求項24に記載の方法。  25. The method of claim 24, wherein the composition further comprises 0.01 to 1% by weight of trans- (1,2-cyclohexylenedinitrilo) tetraacetic acid. (a)pH11またはそれ以上の溶液とするに十分な量の、水酸化第4級アンモニウム、水酸化アンモニウムおよび有機アミン類からなる群より選択される1またはそれ以上の金属イオンフリー塩基、
(b)0.01重量%ないし5重量%の珪酸アンモニウムおよび珪酸第4級アンモニウムからなる群から選択される水溶性金属イオンフリー珪酸塩、
(c)(1)0.01重量%ないし10重量%の(エチレンジニトリロ)四酢酸、ジエチレントリアミン五酢酸、トリエチレンテトラミン六酢酸、1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸、N,N,N’,N’−エチレンジアミンテトラ(メチレンリン酸)、および(1,2−シクロへキシレンジニトリロ)−四酢酸からなる群より選択される1またはそれ以上のキレート剤、または
(2)1重量%ないし50重量%のヒドロキシルアミン、ヒドロキシルアミン塩、過酸化物、オゾンおよびフッ化物からなる群より選択される1またはそれ以上のチタン残渣除去促進剤
のいずれかまたは両方を含む少なくとも1の成分、および、
(d)水
を混合することにより形成される、チタン残渣を含む集積回路基板をストリッピングまたは洗浄するための組成物。
(A) one or more metal ion free bases selected from the group consisting of quaternary ammonium hydroxide, ammonium hydroxide and organic amines in an amount sufficient to provide a pH 11 or higher solution;
(B) a water-soluble metal ion-free silicate selected from the group consisting of 0.01 wt% to 5 wt% ammonium silicate and quaternary ammonium silicate;
(C) (1) 0.01% to 10% by weight of (ethylenedinitrilo) tetraacetic acid, diethylenetriaminepentaacetic acid, triethylenetetraminehexaacetic acid, 1,3-diamino-2-hydroxypropane-N, N, N 1, selected from the group consisting of ', N'-tetraacetic acid, N, N, N', N'-ethylenediaminetetra (methylene phosphoric acid), and (1,2-cyclohexylenedinitrilo) -tetraacetic acid or One or more chelating agents, or (2) one or more titanium residue removal accelerators selected from the group consisting of 1 wt% to 50 wt% hydroxylamine, hydroxylamine salt, peroxide, ozone and fluoride At least one component comprising either or both of
(D) A composition for stripping or cleaning an integrated circuit substrate containing a titanium residue formed by mixing water.
JP2000550003A 1998-05-18 1999-05-17 Silicate-containing alkaline composition for microelectronic substrate cleaning Expired - Fee Related JP4565741B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US8568198P 1998-05-18 1998-05-18
US60/085,681 1998-05-18
US11508499P 1999-01-07 1999-01-07
US60/115,084 1999-01-07
PCT/US1999/010875 WO1999060448A1 (en) 1998-05-18 1999-05-17 Silicate-containing alkaline compositions for cleaning microelectronic substrates

Publications (3)

Publication Number Publication Date
JP2003526111A JP2003526111A (en) 2003-09-02
JP2003526111A5 JP2003526111A5 (en) 2006-07-13
JP4565741B2 true JP4565741B2 (en) 2010-10-20

Family

ID=43127099

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000550003A Expired - Fee Related JP4565741B2 (en) 1998-05-18 1999-05-17 Silicate-containing alkaline composition for microelectronic substrate cleaning

Country Status (1)

Country Link
JP (1) JP4565741B2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4572466B2 (en) * 2000-12-27 2010-11-04 東ソー株式会社 Resist stripper
MY139607A (en) * 2001-07-09 2009-10-30 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US7435712B2 (en) * 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
JP2006072083A (en) * 2004-09-03 2006-03-16 Mitsubishi Electric Corp Composition for resist removal
CA2677964A1 (en) * 2007-02-14 2008-08-21 Mallinckrodt Baker, Inc. Peroxide activated oxometalate based formulations for removal of etch residue
JP2012255909A (en) * 2011-06-09 2012-12-27 Tosoh Corp Resist stripping agent and stripping method using the same
JP6703098B2 (en) * 2016-03-31 2020-06-03 富士フイルム株式会社 Semiconductor manufacturing treatment liquid and pattern forming method
WO2020195343A1 (en) * 2019-03-26 2020-10-01 富士フイルムエレクトロニクスマテリアルズ株式会社 Cleaning liquid
JP2023107071A (en) * 2022-01-21 2023-08-02 関東化学株式会社 photoresist stripping composition

Also Published As

Publication number Publication date
JP2003526111A (en) 2003-09-02

Similar Documents

Publication Publication Date Title
US6465403B1 (en) Silicate-containing alkaline compositions for cleaning microelectronic substrates
JP4758055B2 (en) Stabilized alkaline compositions for cleaning microelectronic substrates
KR101132533B1 (en) Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
JP4188232B2 (en) Ammonia-free fluoride salt-containing microelectronic cleaning composition for selective photoresist stripping and plasma ash residue cleaning
JP4750807B2 (en) Cleaning agent for semiconductor substrate
JP4256258B2 (en) Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
EP2111445B1 (en) Peroxide activated oxometalate based formulations for removal of etch residue
JP4177758B2 (en) Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
JP4565741B2 (en) Silicate-containing alkaline composition for microelectronic substrate cleaning

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060516

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060516

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20080226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100727

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100803

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees