JP4473824B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP4473824B2
JP4473824B2 JP2006009978A JP2006009978A JP4473824B2 JP 4473824 B2 JP4473824 B2 JP 4473824B2 JP 2006009978 A JP2006009978 A JP 2006009978A JP 2006009978 A JP2006009978 A JP 2006009978A JP 4473824 B2 JP4473824 B2 JP 4473824B2
Authority
JP
Japan
Prior art keywords
film
insulating film
metal film
substrate
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006009978A
Other languages
Japanese (ja)
Other versions
JP2006229207A (en
Inventor
敦子 坂田
純一 和田
誠一 尾本
正亮 羽多野
富夫 堅田
和幸 東
直文 中村
雅基 山田
和哉 木下
創一 山下
正彦 蓮沼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2006009978A priority Critical patent/JP4473824B2/en
Priority to CN2008101256289A priority patent/CN101350340B/en
Publication of JP2006229207A publication Critical patent/JP2006229207A/en
Application granted granted Critical
Publication of JP4473824B2 publication Critical patent/JP4473824B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、半導体装置の構造及びその製造方法に関わり、特に銅膜のバリアメタルを含む配線構造とその製造方法とに関わる。   The present invention relates to a structure of a semiconductor device and a manufacturing method thereof, and more particularly to a wiring structure including a barrier metal of a copper film and a manufacturing method thereof.

半導体集積回路(LSI)の多層配線には比抵抗の低い銅(Cu)膜が用いられ、層間絶縁膜に形成した溝やビアホールにCu膜を埋め込むダマシン配線が主流になっている。配線幅はLSIの微細化とともに細くなり、配線間容量の低下を目的として配線厚は薄くなる傾向がある。そのため微細ダマシン配線では、比抵抗の高いバリアメタル膜の配線断面積に占める割合が配線抵抗に大きく影響する。つまり、バリアメタル膜が薄いほどダマシン配線の抵抗は低くなる。しかし、バリアメタル膜には層間絶縁膜へのCu原子の拡散防止、Cu膜との密着性、及び層間絶縁膜との密着性が同時に求められる。   A copper (Cu) film having a low specific resistance is used for a multilayer wiring of a semiconductor integrated circuit (LSI), and a damascene wiring in which a Cu film is embedded in a groove or a via hole formed in an interlayer insulating film is mainly used. The wiring width becomes narrower with the miniaturization of the LSI, and the wiring thickness tends to become thinner for the purpose of reducing the inter-wiring capacitance. Therefore, in fine damascene wiring, the ratio of the barrier metal film having a high specific resistance to the wiring cross-sectional area greatly affects the wiring resistance. That is, the thinner the barrier metal film, the lower the resistance of the damascene wiring. However, the barrier metal film is required to simultaneously prevent diffusion of Cu atoms into the interlayer insulating film, adhere to the Cu film, and adhere to the interlayer insulating film.

特にバリアメタル膜とCu膜との密着性は、配線のエレクトロマイグレーション(EM)耐性やストレスマイグレーション(SM)耐性において非常に重要である。さらにバリアメタル膜は上記要求を満たす最も薄い膜厚で、且つ層間絶縁膜に形成した溝の底面や側面に一様な厚みでコンフォーマルに形成されることが望まれている。   In particular, the adhesion between the barrier metal film and the Cu film is very important in the electromigration (EM) resistance and stress migration (SM) resistance of the wiring. Furthermore, it is desired that the barrier metal film be formed conformally with the thinnest film thickness satisfying the above requirements and with a uniform thickness on the bottom and side surfaces of the groove formed in the interlayer insulating film.

次に、薄いバリアメタル膜の形成について、昨今の状況を述べる。一般的な、物理気相成長法(PVD法)は段差被覆性が低い。そのため、層間絶縁膜に形成された溝とビアホールを金属で埋め込んで形成するデュアルダマシン構造では、PVD法によりコンフォーマルなバリアメタル膜を形成することが困難である。そのため、基板バイアスによってメタルイオンを引き込んでボトムカバレッジを改善し、イオンの再スパッタ効果を利用してサイドカバレッジを改善するイオン化PVD法が開発され、バリアメタル膜の形成に用いられてきた。しかし、配線の微細化、高アスペクト化により、配線抵抗、バリア性、及び密着性を保つのに十分なコンフォーマル成膜が今後ますます困難となる。一方、化学気相成長法(CVD法)により、コンフォーマルなバリアメタル膜を形成することが可能であるが、SM不良の問題から、配線工程では高温プロセスが適用できない。そのため、CVD法では配線工程の許容温度で分解する、バリアメタル膜として成膜したい材料の原料ガスが少ない問題がある。また、極薄膜のコンフォーマルな成膜方法として基板表面に原子層を一層ずつ積み上げて薄膜を成長させる原子層成長法(ALD法)が提案されている。ALD法では厚い膜を形成する方法には向かないが、段差被覆性よく極薄膜を形成できる。ALD法もCVD法と同様に配線工程の許容温度内で原料ガスを熱分解させることが難しい問題があり、低温化のために、吸着した原料ガスを分解するステップでプラズマ照射により分解を促進する方法(例えば、特許文献1参照。)やUV光照射により分解を促進する方法(例えば、特許文献2、3参照。)が提案されている。   Next, the current situation regarding the formation of a thin barrier metal film will be described. A general physical vapor deposition method (PVD method) has low step coverage. Therefore, it is difficult to form a conformal barrier metal film by the PVD method in a dual damascene structure in which grooves and via holes formed in an interlayer insulating film are filled with metal. For this reason, an ionized PVD method has been developed to improve the bottom coverage by attracting metal ions by the substrate bias and improve the side coverage by utilizing the resputtering effect of ions, and has been used for forming a barrier metal film. However, due to the miniaturization and high aspect ratio of wiring, conformal film formation sufficient to maintain wiring resistance, barrier properties, and adhesion will become increasingly difficult in the future. On the other hand, although a conformal barrier metal film can be formed by chemical vapor deposition (CVD), a high temperature process cannot be applied in the wiring process due to the problem of SM failure. For this reason, the CVD method has a problem that the source gas of the material to be deposited as a barrier metal film is decomposed at the allowable temperature in the wiring process. Further, an atomic layer growth method (ALD method) has been proposed in which atomic layers are stacked one by one on a substrate surface to grow a thin film as a conformal film forming method for an ultrathin film. Although the ALD method is not suitable for forming a thick film, an ultrathin film can be formed with good step coverage. As with the CVD method, the ALD method has a problem that it is difficult to thermally decompose the source gas within the allowable temperature of the wiring process, and the decomposition is promoted by plasma irradiation in the step of decomposing the adsorbed source gas to lower the temperature. Methods (for example, see Patent Document 1) and methods for promoting decomposition by UV light irradiation (for example, see Patent Documents 2 and 3) have been proposed.

最近の層間絶縁膜は、信号遅延を抑制するために低誘電率絶縁膜が用いられるようになってきている。低誘電率絶縁膜は、有機系絶縁膜だけではなく、無機系絶縁膜であっても炭素(C)を多く含み、空孔が多く、水(H2O)等の酸化種がトラップされている。そのため、CVD法、ALD法においてプラズマ照射を用いて成膜温度を低温化する方法では、原料ガスの分解工程でのプラズマ照射により絶縁膜中の炭素が放出され、絶縁膜がダメージを受ける問題がある。特に、水素(H)や酸素(O)を含むガスを用いたプラズマの場合は、低誘電率絶縁膜のエッチングが起こり、絶縁膜のはがれが生じる場合がある。 In recent interlayer insulating films, low dielectric constant insulating films have been used to suppress signal delay. The low dielectric constant insulating film is not only an organic insulating film but also an inorganic insulating film, which contains a lot of carbon (C), has many vacancies, and traps oxidizing species such as water (H 2 O). Yes. Therefore, in the CVD method and the ALD method in which the film formation temperature is lowered using plasma irradiation, carbon in the insulating film is released by plasma irradiation in the decomposition process of the source gas, and the insulating film is damaged. is there. In particular, in the case of plasma using a gas containing hydrogen (H) or oxygen (O), the low dielectric constant insulating film may be etched, and the insulating film may be peeled off.

更に、酸化種を多く含む低誘電率絶縁膜では、プラズマ照射やUV光照射を用いて、補助的に分解温度を低温化するALD法やCVD法を用いてもバリアメタル膜の形成時にバリアメタル膜が酸化される場合がある。酸化したバリアメタル膜は、酸化種の透過を抑制できない。その結果、酸化種によりバリアメタル膜すべてが酸化し、バリアメタル膜とCu膜等の配線材との密着性が低下する問題がある。   Furthermore, in the case of a low dielectric constant insulating film containing a large amount of oxidizing species, the barrier metal film can be formed during the formation of the barrier metal film even if an ALD method or a CVD method is used to lower the decomposition temperature by plasma irradiation or UV light irradiation. The film may be oxidized. The oxidized barrier metal film cannot suppress the transmission of oxidized species. As a result, the barrier metal film is entirely oxidized by the oxidizing species, and there is a problem that the adhesion between the barrier metal film and a wiring material such as a Cu film is lowered.

バリアメタル膜とCu膜との密着性は、材料として決まる密着性と、バリアメタル膜が変質して経時的に変化する密着性がある。特に、経時的な密着性の変化は、製造工程中だけでなく、実使用時にSM、EM不良などを引き起こすため、極めて深刻である。プラズマ照射、電子ビーム照射、紫外線照射を伴う加工工程や絶縁膜キュア工程では、絶縁膜中の炭素を含む分子が放出され、絶縁膜がダメージを受け、脱離した炭素が結合していたサイトには水が吸着しやすい。   The adhesion between the barrier metal film and the Cu film includes an adhesion determined as a material, and an adhesion that changes over time due to a change in the barrier metal film. In particular, the change in adhesion over time is extremely serious because it causes SM and EM defects during actual use as well as during the manufacturing process. In processing steps involving plasma irradiation, electron beam irradiation, ultraviolet irradiation, and insulating film curing processes, molecules containing carbon in the insulating film are released, the insulating film is damaged, and the debonded carbon is bonded to the site. Is easy to adsorb water.

この製造工程中、或いは実使用中にバリアメタル膜が経時的に変質する原因は、絶縁膜中に含まれる酸化種によりバリアメタル膜が酸化し、Cu膜との密着性が低下することがある。また、絶縁膜中に含まれるCを含む分子により、バリアメタル膜が炭化(カーバイド化)する場合もある。   During this manufacturing process or during actual use, the barrier metal film may deteriorate over time due to the oxidation of the barrier metal film due to the oxidizing species contained in the insulating film, which may reduce the adhesion to the Cu film. . Further, the barrier metal film may be carbonized (carbideized) by molecules containing C contained in the insulating film.

このように、バリアメタル膜の変質を抑制し、密着性を保持することが、今後ますます難しくなる。また、あらかじめ界面に酸化物を形成するプロセス(例えば、特許文献4参照)が提案されているが、酸化物を積極的に形成した場合、価数の大きな密度の低い酸化物を形成されるため、所望の形態は得られない。
特開2003−297814号公報 特開2001−220287号公報 特開2002−170821号公報 特開2000−269213号公報
As described above, it becomes increasingly difficult to suppress the deterioration of the barrier metal film and maintain the adhesion. In addition, a process for forming an oxide at the interface in advance (for example, see Patent Document 4) has been proposed. However, when an oxide is actively formed, an oxide having a large valence and a low density is formed. The desired form cannot be obtained.
JP 2003-297814 A JP 2001-220287 A JP 2002-170821 A JP 2000-269213 A

本発明は、配線材との密着性良く、金属膜を形成する半導体装置の製造方法、及び配線材との密着性が良い金属膜を備えた半導体装置を提供する。   The present invention provides a method for manufacturing a semiconductor device in which a metal film is formed with good adhesion to a wiring material, and a semiconductor device having a metal film with good adhesion to a wiring material.

本発明の一態様によれば、(イ)第1の基板温度で、表面に凹部が形成された絶縁膜中及びその絶縁膜表面の酸化種を一部残存するように放出させる工程と、(ロ)酸化種を放出させる工程と真空連続で、第1の基板温度より低い、絶縁膜中から酸化種が放出されない第2の基板温度で、絶縁膜上に金属からなるバリアメタル膜を形成する工程と、(ハ)バリアメタル膜上にCu配線金属膜を形成する工程と、(ニ)バリアメタル膜を形成後、絶縁膜中に残存させた酸化種によって、バリアメタル膜の酸素濃度が、絶縁膜側が高くCu配線金属膜側が低い濃度勾配となるように、バリアメタル膜を酸化させる工程とを含み、(ホ)バリアメタル膜を酸化させる工程は、バリアメタル膜を第1の基板温度より高い温度で加熱する工程を含む半導体装置の製造方法が提供される。 According to one aspect of the present invention, (a) a step of releasing at a first substrate temperature so as to leave a part of oxidized species in an insulating film having a recess formed on the surface and the surface of the insulating film; B) A barrier metal film made of metal is formed on the insulating film at a second substrate temperature that is lower than the first substrate temperature and does not release oxidizing species from the insulating film in a continuous vacuum with the step of releasing the oxidizing species. And (c) a step of forming a Cu wiring metal film on the barrier metal film, and (d) an oxygen concentration of the barrier metal film due to the oxidized species remaining in the insulating film after the barrier metal film is formed . And (e) oxidizing the barrier metal film so that the insulating film side is high and the Cu wiring metal film side has a low concentration gradient, and (e) the barrier metal film is oxidized from the first substrate temperature. Semiconductor including the process of heating at high temperature A method for manufacturing a body device is provided.

本発明によれば、配線材との密着性が良い配線構造を形成できる半導体装置の製造方法、及び配線材との密着性が良い金属膜を備えた半導体装置を提供できる。   ADVANTAGE OF THE INVENTION According to this invention, the semiconductor device provided with the manufacturing method of the semiconductor device which can form a wiring structure with favorable adhesiveness with a wiring material, and the metal film with favorable adhesiveness with a wiring material can be provided.

次に、図面を参照して、本発明の第1乃至第6の実施の形態を説明する。以下の図面の記載において、同一又は類似の部分には同一又は類似の符号を付している。ただし、図面は模式的なものであり、厚みと平面寸法との関係、各層の厚みの比率等は現実のものとは異なることに留意すべきである。したがって、具体的な厚みや寸法は以下の説明を参酌して判断すべきものである。又、図面相互間においても互いの寸法の関係や比率が異なる部分が含まれていることはもちろんである。   Next, first to sixth embodiments of the present invention will be described with reference to the drawings. In the following description of the drawings, the same or similar parts are denoted by the same or similar reference numerals. However, it should be noted that the drawings are schematic, and the relationship between the thickness and the planar dimensions, the ratio of the thickness of each layer, and the like are different from the actual ones. Therefore, specific thicknesses and dimensions should be determined in consideration of the following description. Moreover, it is a matter of course that portions having different dimensional relationships and ratios are included between the drawings.

又、以下に示す第1乃至第6の実施の形態は、この発明の技術的思想を具体化するための装置や方法を例示するものであって、この発明の技術的思想は、構成部品の材質、形状、構造、配置等を下記のものに特定するものでない。この発明の技術的思想は、特許請求の範囲において、種々の変更を加えることができる。   Also, the following first to sixth embodiments exemplify devices and methods for embodying the technical idea of the present invention, and the technical idea of the present invention is the component parts. The material, shape, structure, arrangement, etc. are not specified below. The technical idea of the present invention can be variously modified within the scope of the claims.

(第1の実施の形態)
本発明の第1の実施の形態に係わる半導体装置の製造方法は、絶縁膜から放出されるガスを使用して、金属膜と絶縁膜との界面に接する金属膜の表面に酸化物を形成する方法である。
(First embodiment)
In the method of manufacturing a semiconductor device according to the first embodiment of the present invention, an oxide is formed on the surface of the metal film in contact with the interface between the metal film and the insulating film using the gas released from the insulating film. Is the method.

図1〜図12を用いて、まず半導体装置の製造工程について説明する。以下では、配線材にCu膜、バリアメタル膜にチタン(Ti)膜、絶縁膜に有機系低誘電率絶縁膜であるポリアリーレンエーテル(以下、PAEと略す)膜及び無機系低誘電率絶縁膜である炭素含有シリコン酸化(以下、SiCOと略す)膜等を使用したデュアルダマシン構造の多層配線を形成する場合を説明する。   First, a manufacturing process of a semiconductor device will be described with reference to FIGS. In the following, a Cu film as a wiring material, a titanium (Ti) film as a barrier metal film, a polyarylene ether (hereinafter abbreviated as PAE) film, which is an organic low dielectric constant insulating film, and an inorganic low dielectric constant insulating film as an insulating film A case of forming a dual damascene multilayer wiring using a carbon-containing silicon oxide (hereinafter abbreviated as SiCO) film or the like will be described.

(イ)図には示していないが、半導体基板10内の半導体素子等に電気的に接続された下層電極が露出する第1のシリコン酸化(SiO2)膜21上に第1のPAE膜22及び第2のSiO2膜23を順次形成し、図1に示す構造断面図を得る。 (A) Although not shown in the drawing, the first PAE film 22 is formed on the first silicon oxide (SiO 2 ) film 21 from which the lower electrode electrically connected to the semiconductor element or the like in the semiconductor substrate 10 is exposed. Then, the second SiO 2 film 23 is sequentially formed to obtain the structural cross-sectional view shown in FIG.

(ロ)次に、図2に示すように、フォトリソグラフィ技術及び反応性イオンエッチング(RIE)法等を用いて、第1のPAE膜22及び第2のSiO2膜23を選択的にエッチング除去して第1配線溝201を形成する。次いで、第2のSiO2膜23の表面、第1配線溝201の側面部及び底部にバリアメタル膜として第1のTi膜30bを形成する。第1のTi膜30bは、段差被覆性良く形成され、図3に示す構造断面図を得る。 (B) Next, as shown in FIG. 2, the first PAE film 22 and the second SiO 2 film 23 are selectively etched away by using a photolithography technique and a reactive ion etching (RIE) method. Thus, the first wiring groove 201 is formed. Next, a first Ti film 30 b is formed as a barrier metal film on the surface of the second SiO 2 film 23, the side surface portion and the bottom portion of the first wiring groove 201. The first Ti film 30b is formed with a good step coverage, and the structural cross-sectional view shown in FIG. 3 is obtained.

(ハ)図4に示すように、真空連続で第1のCuシード膜41を形成する。次いで、めっき装置を用いて第1配線溝201を充填するように第1のCuめっき膜42を形成する(図5)。次に、Cu膜のセルフエージングなどによる膜質の経時変化によるばらつきを防ぐ為に、あらかじめ大粒径化する熱処理工程を行う。その後、化学的機械研磨(CMP)法を用いて第1のCuめっき膜42と第1のTi膜30bの平坦化を行い、図6に示すように第1のTi膜30b及び第1のCuめっき膜42からなる第1配線層40が形成される。   (C) As shown in FIG. 4, the first Cu seed film 41 is formed in a continuous vacuum. Next, a first Cu plating film 42 is formed so as to fill the first wiring groove 201 using a plating apparatus (FIG. 5). Next, a heat treatment step for increasing the particle size in advance is performed in order to prevent variations due to changes in film quality over time due to self-aging of the Cu film. Thereafter, the first Cu plating film 42 and the first Ti film 30b are planarized by using a chemical mechanical polishing (CMP) method, and the first Ti film 30b and the first Cu film as shown in FIG. A first wiring layer 40 made of the plating film 42 is formed.

(ニ)次に、図7に示すように、炭窒化シリコン(SiCN)膜51、SiCO膜52、第2のPAE膜53、第3のSiO2膜54を順次に形成する。ここで、SiCN膜51はRIE法を用いる工程におけるストッパー膜、及びCuの拡散防止膜として形成される。又、第3のSiO2膜54はCMP法を用いる工程における保護膜として形成される。SiCN膜51、SiCO膜52、第2のPAE膜53、及び第3のSiO2膜54により層間絶縁膜50が形成される。 (D) Next, as shown in FIG. 7, a silicon carbonitride (SiCN) film 51, a SiCO film 52, a second PAE film 53, and a third SiO 2 film 54 are formed in sequence. Here, the SiCN film 51 is formed as a stopper film and a Cu diffusion preventing film in the process using the RIE method. The third SiO 2 film 54 is formed as a protective film in a process using the CMP method. An interlayer insulating film 50 is formed by the SiCN film 51, the SiCO film 52, the second PAE film 53, and the third SiO 2 film 54.

(ホ)次いで、フォトリソグラフィ技術及びRIE法を用いて層間絶縁膜50を選択的にエッチング除去して、第2配線溝202及びビアホール203を形成する。その結果、図8に示すように第1配線層40の表面の一部が露出する。次いで、層間絶縁膜50の表面にバリアメタル膜として第2のTi膜30cを形成する。第2のTi膜30cは段差被覆性良く形成され、図9に示す構造断面図を得る。   (E) Next, the interlayer insulating film 50 is selectively removed by etching using the photolithography technique and the RIE method to form the second wiring trench 202 and the via hole 203. As a result, a part of the surface of the first wiring layer 40 is exposed as shown in FIG. Next, a second Ti film 30 c is formed as a barrier metal film on the surface of the interlayer insulating film 50. The second Ti film 30c is formed with good step coverage and obtains a structural cross-sectional view shown in FIG.

(ヘ)図10に示すように、真空連続で第2のCuシード膜71を形成する。次いで、めっき装置を用いて第2配線溝202及びビアホール203を充填するように第2のCuめっき膜72を形成する(図11)。次に、Cu膜のセルフエージングなどによる膜質の経時変化によるばらつきを防ぐ為に、あらかじめ大粒径化する熱処理工程を行う。その後、CMP法を用いて第2のCuめっき膜72と第2のTi膜30cの平坦化を行い、図12に示すように第2のTi膜30c及び第2のCuめっき膜72からなる第2配線層70が形成される。更に多層配線を形成するためには、図7〜図12の工程を繰り返せばよい。   (F) As shown in FIG. 10, a second Cu seed film 71 is formed in a continuous vacuum. Next, a second Cu plating film 72 is formed so as to fill the second wiring trench 202 and the via hole 203 using a plating apparatus (FIG. 11). Next, a heat treatment step for increasing the particle size in advance is performed in order to prevent variations due to changes in film quality over time due to self-aging of the Cu film. Thereafter, the second Cu plating film 72 and the second Ti film 30c are planarized using CMP, and the second Ti film 30c and the second Cu plating film 72 are formed as shown in FIG. Two wiring layers 70 are formed. Further, in order to form a multilayer wiring, the steps of FIGS. 7 to 12 may be repeated.

以下に、第1の実施の形態に係る半導体装置の製造方法の例を説明する。以下では、図7に示す層間絶縁膜50及び図9に示した第2のTi膜30cを形成する場合を例に説明する。図7の説明で述べたように、第1配線層40上にストッパー膜としてSiCN膜51、層間絶縁膜としてSiCO膜52及び第2のPAE膜53、CMP法を用いる工程における保護膜として第3のSiO2膜54等が適用可能である。又、層間絶縁膜として、SiCO膜52のみ或いは第2のPAE膜53のみを形成してもよい。複数種の絶縁膜からなる層間絶縁膜のうち、少なくとも1つの絶縁膜に吸湿性の高いポーラス膜を使用した場合、絶縁膜から放出される酸化種が多くなる。「ポーラス膜」とは、誘電率を低下させるために空孔を多く含む膜であり、絶縁膜から放出されるガスを使用して金属膜の表面に酸化物を形成するうえで、その膜中に水などの酸化種を含む、特に比誘電率3以下の絶縁膜を用いることは極めて有効である。 An example of the method for manufacturing the semiconductor device according to the first embodiment will be described below. Hereinafter, the case where the interlayer insulating film 50 shown in FIG. 7 and the second Ti film 30c shown in FIG. 9 are formed will be described as an example. As described in the description of FIG. 7, the SiCN film 51 as the stopper film on the first wiring layer 40, the SiCO film 52 and the second PAE film 53 as the interlayer insulating film, and the third film as the protective film in the process using the CMP method. The SiO 2 film 54 or the like can be applied. Further, only the SiCO film 52 or only the second PAE film 53 may be formed as an interlayer insulating film. When a porous film having a high hygroscopic property is used for at least one insulating film among the interlayer insulating films composed of a plurality of kinds of insulating films, more oxidized species are released from the insulating film. A “porous film” is a film that contains a large number of pores in order to lower the dielectric constant. When a gas released from an insulating film is used to form an oxide on the surface of a metal film, It is extremely effective to use an insulating film containing an oxidizing species such as water and having a relative dielectric constant of 3 or less.

(イ)図8に示したように、フォトリソグラフィ技術及びRIE法を用いて層間絶縁膜50を選択的にエッチング除去して、第2配線溝202及びビアホール203を形成する。その後、例えば250℃以上300℃以下の温度で、真空中或いはHガス等の還元雰囲気中で熱処理を行う。この熱処理により、層間絶縁膜50中に含まれるHO、或いは第2配線溝202及びビアホール203の形成時に結合が切れて、層間絶縁膜50中に残っている炭素系の残留物等が除去される。このとき、還元雰囲気中で行えば、ビアホール203底部に露出した第1配線層40表面の酸化膜の還元処理も行える。 (A) As shown in FIG. 8, the interlayer insulating film 50 is selectively removed by etching using a photolithography technique and an RIE method to form the second wiring trench 202 and the via hole 203. Thereafter, heat treatment is performed in a vacuum or a reducing atmosphere such as H 2 gas at a temperature of, for example, 250 ° C. or more and 300 ° C. or less. By this heat treatment, H 2 O contained in the interlayer insulating film 50 or bonds are broken when the second wiring trench 202 and the via hole 203 are formed, and carbon-based residues and the like remaining in the interlayer insulating film 50 are removed. Is done. At this time, if it is performed in a reducing atmosphere, the oxide film on the surface of the first wiring layer 40 exposed at the bottom of the via hole 203 can be reduced.

(ロ)次に、真空連続にて、バリアメタル膜を形成する、例えば図13に示すイオン化スパッタリングチャンバー内に、基板10を搬送する。そして、少なくとも層間絶縁膜50の脱ガス処理工程での加熱温度以下、望ましくは室温以下に設定されたサセプタ上に基板10を設置する。基板10はサセプタに吸着され、基板10の温度をサセプタと同等の温度に保つ。   (B) Next, the substrate 10 is transported in a continuous vacuum, for example, into an ionization sputtering chamber shown in FIG. Then, the substrate 10 is placed on a susceptor that is set to at least the heating temperature in the degassing process step of the interlayer insulating film 50, preferably at room temperature or lower. The substrate 10 is adsorbed by the susceptor, and the temperature of the substrate 10 is maintained at a temperature equivalent to that of the susceptor.

(ハ)図9で説明したように、イオン化スパッタリング法等の方法により、第2のTi膜30cを形成する。一般に、通常プラズマを用いて第2のTi膜30c膜を形成する場合、第2のTi膜30c形成中に基板10の温度が上昇する。そのため、第2のTi膜30cの形成前に行われる脱ガス処理或いはH還元加熱処理における温度を超えないように、第2のTi膜30c形成中は、基板10の温度を制御する。例えば、脱ガス処理或いはH還元加熱処理を250℃で行っていれば250℃を超えない温度、300℃で行っていれば、300℃を超える温度にならないように基板10の温度を制御する。 (C) As described in FIG. 9, the second Ti film 30c is formed by a method such as ionization sputtering. Generally, when the second Ti film 30c film is formed using normal plasma, the temperature of the substrate 10 rises during the formation of the second Ti film 30c. Therefore, the temperature of the substrate 10 is controlled during the formation of the second Ti film 30c so as not to exceed the temperature in the degassing process or the H 2 reduction heating process performed before the formation of the second Ti film 30c. For example, if the degassing process or the H 2 reduction heat treatment is performed at 250 ° C., the temperature of the substrate 10 is controlled so as not to exceed 250 ° C., and if it is performed at 300 ° C., the temperature does not exceed 300 ° C. .

(ニ)次に、真空連続にて基板10をCu膜形成用のチャンバーに搬送し、基板10を室温以下に冷却し、図10に示すように第2のCuシード膜71を形成する。第2のCuシード膜71は、所望の膜厚、例えば60nm程度の膜厚になるようにPVD法、CVD法、或いはALD法等によって形成すればよい。次いで、基板10を大気中に出し、図11に示したように、めっき法にてビアホール203及び第2配線溝202を第2のCuめっき膜72で埋め込む。そして、第2のCuめっき膜72のセルフエージングなどによる膜質の経時変化によるばらつきを防ぐ為に、あらかじめ大粒径化する熱処理工程(めっき後アニール)を行う。めっき後アニールを、真空、窒素(N)ガス雰囲気中、或いはN/Hガス雰囲気中のいずれかにおいて、温度150℃/時間60分〜温度300℃/時間60分等の条件で行う。このアニール条件は、種々めっきの条件と共に、最適温度や最適時間が変わることは言うまでも無い。最後にCMP法により第2のCuめっき膜72の平坦化を行い、デュアルダマシン構造を形成する。 (D) Next, the substrate 10 is transported to a chamber for forming a Cu film in a continuous vacuum, and the substrate 10 is cooled to a room temperature or lower to form a second Cu seed film 71 as shown in FIG. The second Cu seed film 71 may be formed by a PVD method, a CVD method, an ALD method, or the like so as to have a desired film thickness, for example, about 60 nm. Next, the substrate 10 is exposed to the atmosphere, and the via hole 203 and the second wiring groove 202 are filled with the second Cu plating film 72 by plating as shown in FIG. Then, a heat treatment step (annealing after plating) for increasing the particle size is performed in advance in order to prevent variation due to the change in film quality with time due to self-aging of the second Cu plating film 72 and the like. Annealing after plating is performed under conditions such as a temperature of 150 ° C./hour 60 minutes to a temperature of 300 ° C./hour 60 minutes in either a vacuum, a nitrogen (N 2 ) gas atmosphere, or an N 2 / H 2 gas atmosphere. . Needless to say, the annealing conditions change with the various plating conditions as well as the optimum temperature and time. Finally, the second Cu plating film 72 is planarized by CMP to form a dual damascene structure.

以下に、Ti膜の形成時のTi酸化について説明する。既に述べたように、誘電率を下げるため、低誘電率絶縁膜は空孔が多い。そのため、基板10を加熱した場合、絶縁膜の空孔内に含まれる水や酸素等の酸化種が溝、あるいはビアホールの側面から放出される。図14(a)に示すように、スパッタ粒子であるTi原子が飛来してくる過程において酸化種が放出されると、Ti原子は酸素と結合して酸化チタン(TiOx)を形成する。その場合、Ti原子は他のTi原子と結合していない状態で酸素と結合するため、Ti−O原子間距離が広い。これは、Ti粒子が原子状或いは分子状で飛来し、十分な酸化反応を生じやすい形態で酸化物を生じるため、安定な結合を形成する酸化物で、比較的価数が大きな酸化物が形成されうるためである。このような成膜過程を繰り返して絶縁膜20の表面に形成されるTiOx膜は、Ti−O原子間隔が広く、分子密度が低いTiOx膜となってしまう(図14(b))。さらに図14(c)に示すように、このような分子密度の低いTiOx膜は、絶縁膜20に残留する酸化種のさらなる放出を抑えられず、最終的にはすべてのTi膜は分子密度の低いTiOx膜として形成されてしまう。このようなTiOx膜はCu膜との密着性が低く、溝、あるいはビアホールにCu膜が配線材として埋め込まれた場合、TiOx/Cu界面でCu原子の界面拡散が起こってしまう。つまり、Cu配線のSM耐性が低く、配線中にボイドが発生する。   Hereinafter, Ti oxidation during formation of the Ti film will be described. As already described, in order to lower the dielectric constant, the low dielectric constant insulating film has many vacancies. Therefore, when the substrate 10 is heated, oxidizing species such as water and oxygen contained in the vacancies of the insulating film are released from the grooves or the side surfaces of the via holes. As shown in FIG. 14A, when oxidized species are released in the process in which Ti atoms, which are sputtered particles, fly, Ti atoms combine with oxygen to form titanium oxide (TiOx). In that case, since Ti atoms are bonded to oxygen in a state where they are not bonded to other Ti atoms, the distance between Ti-O atoms is wide. This is because the Ti particles fly in atomic or molecular form and form an oxide in a form that is likely to cause a sufficient oxidation reaction, so an oxide that forms a stable bond and an oxide with a relatively large valence is formed. Because it can be done. The TiOx film formed on the surface of the insulating film 20 by repeating such a film forming process becomes a TiOx film having a wide Ti—O atomic interval and a low molecular density (FIG. 14B). Further, as shown in FIG. 14C, such a low molecular density TiOx film cannot suppress further release of the oxidized species remaining in the insulating film 20, and finally all Ti films have a molecular density. It will be formed as a low TiOx film. Such a TiOx film has low adhesion to the Cu film, and when Cu film is buried as a wiring material in a groove or a via hole, interfacial diffusion of Cu atoms occurs at the TiOx / Cu interface. That is, the SM resistance of the Cu wiring is low, and voids are generated in the wiring.

一方、脱ガス処理工程より低い温度でTi膜を形成した場合は、図15(a)のように、絶縁膜20からの酸化種の放出がない。そのため、図15(b)に示すようにTiOx等を含まない純(pure)Ti膜30aがバリアメタル膜として形成される。この後、Ti膜形成後の絶縁膜形成工程やシンタリング工程などにおいて、脱ガス処理工程より高い温度に加熱された場合、絶縁膜20中に残存した酸化種が放出され、絶縁膜20に接するTi膜30aの表面は酸化される。しかし、Ti膜30aのTi−Ti結合が既に形成されている為、Ti膜30aの酸化は、Ti−Ti原子間への拡散と固溶によって進行する。そのため、分子密度が緻密なTiOx膜が形成される(図15(c))。分子密度が高いTiOx膜は、絶縁膜20からの酸化種の放出を抑制する。そのため、Ti膜30aの酸化は、絶縁膜20とTi膜30aとの界面近傍の領域に限られ、界面から離れた領域のTi膜が酸化することを抑制できる。つまり、第1の実施の形態に係る半導体製造方法によれば、配線金属膜と絶縁膜の両方に接する金属膜において、金属膜が配線金属膜に接する部分の金属の原子或いは分子密度と比較して、金属膜が絶縁膜に接する部分の金属の原子或いは分子密度の方が高く、かつ金属の密度が徐々に変化する半導体装置を実現できる。図1〜図12に示した半導体装置でいえば、第2のCuめっき膜72と層間絶縁膜50の両方に接する第2のTi膜30cにおいて、第2のTi膜30cが第2のCuめっき膜72に接する部分のTiの原子或いは分子密度と比較して、第2のTi膜30cが層間絶縁膜50に接する部分のTiの原子或いは分子密度の方が高く、かつ第2のTi膜30cのTiの原子密度が徐々に変化する。   On the other hand, when the Ti film is formed at a temperature lower than that in the degassing process, there is no release of oxidizing species from the insulating film 20 as shown in FIG. Therefore, as shown in FIG. 15B, a pure Ti film 30a not containing TiOx or the like is formed as a barrier metal film. Thereafter, in an insulating film forming process or a sintering process after the Ti film is formed, when heated to a temperature higher than that in the degassing process process, oxidized species remaining in the insulating film 20 are released and come into contact with the insulating film 20. The surface of the Ti film 30a is oxidized. However, since the Ti-Ti bond of the Ti film 30a is already formed, the oxidation of the Ti film 30a proceeds by diffusion and solid solution between Ti-Ti atoms. Therefore, a TiOx film having a dense molecular density is formed (FIG. 15C). The TiOx film having a high molecular density suppresses the release of oxidized species from the insulating film 20. Therefore, the oxidation of the Ti film 30a is limited to the region near the interface between the insulating film 20 and the Ti film 30a, and the Ti film in the region away from the interface can be suppressed from being oxidized. That is, according to the semiconductor manufacturing method according to the first embodiment, the metal film in contact with both the wiring metal film and the insulating film is compared with the atomic or molecular density of the metal in the portion where the metal film is in contact with the wiring metal film. Thus, it is possible to realize a semiconductor device in which the metal atom or molecular density of the portion where the metal film is in contact with the insulating film is higher and the metal density gradually changes. In the semiconductor device shown in FIGS. 1 to 12, in the second Ti film 30 c in contact with both the second Cu plating film 72 and the interlayer insulating film 50, the second Ti film 30 c is the second Cu plating. Compared with the Ti atom or molecular density of the portion in contact with the film 72, the Ti atom or molecular density of the portion of the second Ti film 30c in contact with the interlayer insulating film 50 is higher and the second Ti film 30c. The atomic density of Ti gradually changes.

第1の実施の形態に係る半導体装置の製造方法の、脱ガス処理工程及びバリアメタル成膜工程の温度の上下関係を規定することにより、溝、あるいはビアホールにCu膜が埋め込まれた時点では、Ti/Cu界面でのTi膜は酸化されていない。そして、良好な密着性を確保できたTi/Cu界面を形成した後の工程で、バリアメタルの酸化が、前述のような分子密度の差をもって生じることになる。その結果、Ti/Cu界面は密着性を保つことができ、Cu膜のSM耐性が劣化することはない。又、分子密度が高いTiOx膜は絶縁膜20からの酸化種の放出を抑制することに有効であるだけでなく、Cuの絶縁膜20中への拡散を抑制する効果も合わせもつ。本発明の第1の実施の形態に係る半導体装置の製造方法は、特に比誘電率3以下の絶縁膜20に対して極めて有効である。   By defining the vertical relationship of the temperature of the degassing process and the barrier metal film forming process of the semiconductor device manufacturing method according to the first embodiment, at the time when the Cu film is embedded in the groove or via hole, The Ti film at the Ti / Cu interface is not oxidized. Then, in the step after forming the Ti / Cu interface that can ensure good adhesion, the oxidation of the barrier metal occurs with the difference in molecular density as described above. As a result, the Ti / Cu interface can maintain adhesion, and the SM resistance of the Cu film does not deteriorate. In addition, the TiOx film having a high molecular density is effective not only for suppressing the release of oxidizing species from the insulating film 20 but also for suppressing the diffusion of Cu into the insulating film 20. The method for manufacturing a semiconductor device according to the first embodiment of the present invention is extremely effective particularly for the insulating film 20 having a relative dielectric constant of 3 or less.

第1の実施の形態に係る半導体装置の製造方法にて作成したサンプルの解析結果を、図16(a)〜(d)に示す。図16(a)〜(c)は、X線回折法の2θ―θ法で本発明の第1の実施の形態に係る半導体製造方法を用いて製造したサンプルと、先行技術で製造したサンプルを比較測定した結果である。図16(b)、図16(c)のステージ温度はTi膜の成膜時のステージ保持温度であり、基板の温度ではないことを記しておく。横軸は測定時の2θである。縦軸は強度(Intensity)、単位はcps(count per sec)である。   The analysis results of the sample created by the semiconductor device manufacturing method according to the first embodiment are shown in FIGS. 16A to 16C show a sample manufactured by using the semiconductor manufacturing method according to the first embodiment of the present invention by the 2θ-θ method of the X-ray diffraction method, and a sample manufactured by the prior art. It is the result of comparative measurement. It should be noted that the stage temperatures in FIGS. 16B and 16C are stage holding temperatures when forming the Ti film, not the substrate temperature. The horizontal axis is 2θ at the time of measurement. The vertical axis represents intensity (Intensity), and the unit is cps (count per sec).

図16(a)〜(c)から、先行技術により形成されたサンプルのTiOx膜のピーク強度は2182cpsである。一方、本発明の第1の実施の形態に係る半導体製造方法により形成されたサンプルのTiOx膜のピーク強度は、ステージ温度25℃では706cps、ステージ温度−20℃では543cpsである。つまり、先行技術により形成されたサンプルのTiOx膜のピーク強度は、本発明の第1の実施の形態に係る半導体製造方法により形成されたサンプルのTiOx膜のピーク強度に比べて大きいことがわかる。先行技術においては、Ti膜が成膜時に低誘電率絶縁膜から放出された酸化種で酸化され、シンター工程などの熱処理工程中も酸化種の放出を抑えられず、酸化が進行したと考えられる。一方、第1の実施の形態に係る半導体製造方法においては、Ti膜の成膜中の酸化が抑えられる。そのため、Ti膜の成膜後の加熱工程において分子密度の高いTiOx膜が形成され、それ以上のTi膜の酸化の進行を抑えられたと考えられる。また、本発明の第1の実施の形態に係る半導体製造方法では、Ti膜が酸化されなかったため、Ti膜とCu膜が接する領域でTiCux膜が形成されていることが図16(a)〜図16(c)からわかる。TiCux膜のピーク強度は、ステージ温度25℃では224cps、ステージ温度−20℃では210cpsである。一方、先行技術においては、TiCux膜のピーク強度は108cpsであり、ほぼバックグラウンド強度と同等か、計測できないレベルである。以上の結果から、先行技術ではTiCux膜の形成量は非常に少ないか、或いはTiCux膜は形成されていないと考えられる。   From FIGS. 16A to 16C, the peak intensity of the TiOx film of the sample formed by the prior art is 2182 cps. On the other hand, the peak intensity of the sample TiOx film formed by the semiconductor manufacturing method according to the first embodiment of the present invention is 706 cps at a stage temperature of 25 ° C. and 543 cps at a stage temperature of −20 ° C. That is, it can be seen that the peak intensity of the sample TiOx film formed by the prior art is larger than the peak intensity of the sample TiOx film formed by the semiconductor manufacturing method according to the first embodiment of the present invention. In the prior art, the Ti film is oxidized by the oxidized species released from the low dielectric constant insulating film at the time of film formation, and the release of the oxidized species cannot be suppressed during the heat treatment process such as the sintering process, and the oxidation is considered to have progressed. . On the other hand, in the semiconductor manufacturing method according to the first embodiment, oxidation during the formation of the Ti film is suppressed. Therefore, it is considered that a TiOx film having a high molecular density was formed in the heating process after the Ti film was formed, and the progress of oxidation of the Ti film was further suppressed. In the semiconductor manufacturing method according to the first embodiment of the present invention, since the Ti film was not oxidized, the TiCux film was formed in the region where the Ti film and the Cu film were in contact with each other. It can be seen from FIG. The peak intensity of the TiCux film is 224 cps at a stage temperature of 25 ° C. and 210 cps at a stage temperature of −20 ° C. On the other hand, in the prior art, the peak intensity of the TiCux film is 108 cps, which is almost equal to the background intensity or a level that cannot be measured. From the above results, it is considered that the amount of formation of the TiCux film is very small in the prior art, or no TiCux film is formed.

更に、本発明者らが多数の実験と鋭意研究を行った結果、2θ―θ法で測定したTiCux膜のピーク強度を1とした場合に、TiOx膜のピーク強度が10を超えるとき、半導体装置の信頼性が劣化することが明らかになった。Ti膜を、TiOx膜ではなく、TiCux膜が多く形成されるように形成比率をコントロールすることにより、TiCux膜が、Cu膜とTi膜との密着層となり、Cu膜のSM耐性、EM耐性を大幅に向上することができる。図16(d)に構成を示したサンプルのCu膜、Ti膜及び低誘電率絶縁膜は、図12の第2のCuめっき膜70、第2のTi膜30c及び層間絶縁膜50に相当する。したがって、本発明の第1の実施の形態に係る半導体装置によれば、基板上に絶縁膜、金属膜、及び配線金属膜がこの順で積層された積層構造を具備してなり、積層構造におけるX線回折測定による金属膜の酸化物の回折強度が、金属膜と配線金属膜との化合物の回折強度に対し、10倍以下である半導体装置を実現できる。例えば、図12に示すように基板10上に層間絶縁膜50、第2のTi膜30c及び第2のCuめっき膜70がこの順に積層された積層構造を具備してなり、積層構造におけるX線回折測定法によるTi酸化物の回折強度が、第2のTi膜30cと第2配線層70との化合物の回折強度に対し、10倍以下である半導体装置を実現できる。   Furthermore, as a result of the present inventors conducting numerous experiments and earnest studies, when the peak intensity of the TiOx film exceeds 10 when the peak intensity of the TiCux film measured by the 2θ-θ method is 1, the semiconductor device It became clear that the reliability of the system deteriorated. By controlling the formation ratio of the Ti film so that many TiCux films are formed instead of the TiOx film, the TiCux film becomes an adhesion layer between the Cu film and the Ti film, and the SM resistance and EM resistance of the Cu film are reduced. It can be greatly improved. The Cu film, Ti film, and low dielectric constant insulating film of the sample shown in FIG. 16D correspond to the second Cu plating film 70, the second Ti film 30c, and the interlayer insulating film 50 in FIG. . Therefore, according to the semiconductor device according to the first embodiment of the present invention, the semiconductor device includes a stacked structure in which an insulating film, a metal film, and a wiring metal film are stacked in this order on the substrate. A semiconductor device in which the diffraction intensity of the oxide of the metal film by X-ray diffraction measurement is 10 times or less than the diffraction intensity of the compound of the metal film and the wiring metal film can be realized. For example, as shown in FIG. 12, the substrate 10 has a laminated structure in which an interlayer insulating film 50, a second Ti film 30c, and a second Cu plated film 70 are laminated in this order, and an X-ray in the laminated structure. A semiconductor device in which the diffraction intensity of the Ti oxide by the diffraction measurement method is 10 times or less than the diffraction intensity of the compound of the second Ti film 30c and the second wiring layer 70 can be realized.

図1〜図12に説明した工程を繰り返すことにより多層配線を形成し、その後、電極用のCuパッド或いはAlパッドを形成する。そして、最終工程として多層アニールを行う。なお、真空中、或いはH等の還元雰囲気中での脱ガス処理工程における処理温度以下の低い温度で、第2のTi膜30cの形成が行われるのであれば、第2のTi膜30cの形成方法には、PVD法以外に、CVD法或いはALD法が採用可能である。 A multilayer wiring is formed by repeating the steps described in FIGS. 1 to 12, and then a Cu pad or an Al pad for electrodes is formed. Then, multilayer annealing is performed as a final process. If the second Ti film 30c is formed at a temperature lower than the processing temperature in the degassing process step in a reducing atmosphere such as H 2 or the like, if the second Ti film 30c is formed, As a forming method, a CVD method or an ALD method can be adopted in addition to the PVD method.

通常、低誘電率絶縁膜中に含まれるHO、OH基、或いは遊離炭素系のガスを短時間で十分に除去するためには、脱ガス処理工程において350℃〜400℃の加熱を必要とする。したがって、上記で説明した方法のように、250℃〜300℃で脱ガス処理或いはH還元加熱処理を行った場合、層間絶縁膜50中には、サーマルバジェット差分のHO、OH基、遊離炭素系のガスが残留する。これらの残留酸化種、或いは炭素を含む遊離基による第2のTi膜30cとの固相反応によって、層間絶縁膜50と第2のTi膜30cの界面近傍において、第2のTi膜30cの酸化(以下において、「Ti後酸化」という。)が行われる。 Usually, in order to sufficiently remove H 2 O, OH group or free carbon-based gas contained in the low dielectric constant insulating film in a short time, heating at 350 ° C. to 400 ° C. is required in the degassing process. And Therefore, when the degassing process or the H 2 reduction heating process is performed at 250 ° C. to 300 ° C. as in the method described above, the interlayer insulating film 50 includes H 2 O, OH group of thermal budget difference, Free carbon-based gas remains. Oxidation of the second Ti film 30c in the vicinity of the interface between the interlayer insulating film 50 and the second Ti film 30c by a solid-phase reaction with the second Ti film 30c due to these residual oxidation species or free radicals containing carbon. (Hereinafter referred to as “Ti post-oxidation”).

Ti後酸化は、上記で説明した脱ガス処理工程での温度の上限である300℃より低い温度でも発生する。層間絶縁膜50中の酸化種成分の濃度勾配によっては、300℃以下でもHOの拡散が進行するためである。又、第2のTi膜30cのTi後酸化を積極的に行う熱工程が、多層配線形成後に行われてもよい。或いは、第2のCuめっき膜72の膜質の安定化処理のアニール時に、250℃〜300℃の温度を選択することによってもTi後酸化を行うことが可能である。又、第2のCuめっき膜72を形成する工程の前にTi後酸化を行う熱工程を行うことも有効である。例えば、第2のCuシード膜71を形成するPVD法は、一般的に室温以下で行われる。しかし、第2のCuシード膜71にCVD法或いはALD法を用いた場合には、第2のTi膜30cの形成温度よりも第2のCuシード膜71を形成する温度を高く設定することにより、Ti後酸化を行うことが可能である。 The post-Ti oxidation occurs even at a temperature lower than 300 ° C., which is the upper limit of the temperature in the degassing process described above. This is because the diffusion of H 2 O proceeds even at 300 ° C. or lower depending on the concentration gradient of the oxidizing species component in the interlayer insulating film 50. In addition, a thermal process for positively oxidizing the second Ti film 30c after Ti may be performed after the multilayer wiring is formed. Alternatively, the post-Ti oxidation can be performed by selecting a temperature of 250 ° C. to 300 ° C. at the time of annealing for stabilizing the film quality of the second Cu plating film 72. It is also effective to perform a thermal process in which post-Ti oxidation is performed before the process of forming the second Cu plating film 72. For example, the PVD method for forming the second Cu seed film 71 is generally performed at room temperature or lower. However, when the CVD method or the ALD method is used for the second Cu seed film 71, the temperature at which the second Cu seed film 71 is formed is set higher than the temperature at which the second Ti film 30c is formed. Ti post-oxidation can be performed.

Ti膜の固相拡散/固相酸化反応で形成されるTi酸化物は、Ti−O2元相図にあるように、酸素を固溶する濃度が他の金属材料よりも高い。その為、酸素固溶領域では、純Tiの重量原子密度4.507g/cm3よりもTi酸化物の重量分子密度が高い。例えば、酸化チタン(II)(TiO)の重量分子密度は4.93g/cm3、五酸化チタン(Ti)の重量分子密度は4.6g/cm3である。60原子%まで酸素がある場合に形成される酸化チタンTiOでも、重量分子密度は4.26g/cm3で、Ti原子の重量原子密度の80%以上である。マグネシウム(Mg)もTiと同様の特性で、Mg酸化物の重量分子密度が純Mgの重量原子密度より高い。このように酸素を固溶する形態を持つ材料をバリアメタル膜として用いることは、形成される酸化物の重量分子密度が高いため、絶縁膜から放出される酸化種をブロックするのに有効である。更に、実験の結果、純金属の重量原子密度の80%以上の重量分子密度をもつ金属酸化物であれば、より望ましいことが明らかになった。前述のようにCu膜とバリアメタル膜の密着部が形成された後にバリアメタル膜が酸化されるため、Cu膜との密着性を保つ部分を含む領域の一部にTi膜が残り、Cu膜とTi膜の密着性は劣化しない。又、第1の実施の形態に係る半導体装置の製造方法によれば、配線金属膜と絶縁膜の両方に接する金属膜において、金属膜が配線金属に接する部分の金属の原子或いは分子密度と、金属膜が絶縁膜と接する部分の金属の原子或いは分子密度に比較して、配線金属膜と絶縁膜の間に挟まれる部分の金属の原子或いは分子密度の方が高い半導体装置を実現できる。図1〜図12に示した半導体装置でいえば、第2のCuめっき膜72と層間絶縁膜50の両方に接する第2のTi膜30cにおいて、第2のTi膜30c中の酸素濃度に膜厚方向に沿った勾配を持たせることで、第2のTi膜30cが第2のCuめっき膜72に接する部分のTiの原子或いは分子密度と、第2のTi膜30cが層間絶縁膜50と接する部分のTiの原子或いは分子密度に比較して、第2のCuめっき膜72と層間絶縁膜50の間に挟まれる部分のTiの原子或いは分子密度の方が高い半導体装置を実現できる。 As shown in the Ti—O2 binary phase diagram, the Ti oxide formed by the solid phase diffusion / solid phase oxidation reaction of the Ti film has a higher concentration of oxygen dissolved than other metal materials. Therefore, in the oxygen solid solution region, the weight molecular density of the Ti oxide is higher than the weight atomic density of pure Ti of 4.507 g / cm 3 . For example, the weight molecular density of titanium oxide (II) (TiO) is 4.93 g / cm 3, the weight molecular density pentoxide titanium (Ti 3 O 5) is 4.6 g / cm 3. Even the titanium oxide TiO 2 formed when oxygen is present up to 60 atom%, the weight molecular density is 4.26 g / cm 3 , which is 80% or more of the weight atom density of Ti atoms. Magnesium (Mg) has the same characteristics as Ti, and the weight molecular density of Mg oxide is higher than the weight atomic density of pure Mg. The use of such a material having a solid solution form of oxygen as the barrier metal film is effective in blocking the oxidized species released from the insulating film because the formed molecular weight of the oxide is high. . Furthermore, as a result of experiments, it has become clear that a metal oxide having a weight molecular density of 80% or more of the weight atom density of a pure metal is more desirable. Since the barrier metal film is oxidized after the adhesion portion between the Cu film and the barrier metal film is formed as described above, the Ti film remains in a part of the region including the portion that maintains the adhesion with the Cu film. The adhesion between the Ti film and the Ti film does not deteriorate. Further, according to the method of manufacturing the semiconductor device according to the first embodiment, in the metal film in contact with both the wiring metal film and the insulating film, the atomic or molecular density of the metal in the portion where the metal film is in contact with the wiring metal, A semiconductor device can be realized in which the metal atom or molecular density of the portion sandwiched between the wiring metal film and the insulating film is higher than the metal atom or molecular density of the portion where the metal film is in contact with the insulating film. In the semiconductor device shown in FIG. 1 to FIG. 12, in the second Ti film 30c in contact with both the second Cu plating film 72 and the interlayer insulating film 50, the oxygen concentration in the second Ti film 30c is increased. By providing the gradient along the thickness direction, the Ti or atomic density of the portion where the second Ti film 30 c is in contact with the second Cu plating film 72 and the second Ti film 30 c are connected to the interlayer insulating film 50. A semiconductor device in which the Ti atom or molecular density of the portion sandwiched between the second Cu plating film 72 and the interlayer insulating film 50 is higher than the Ti atom or molecular density of the portion in contact therewith can be realized.

Ti後酸化後に層間絶縁膜とTi膜の界面近傍に形成されるチタン酸化(TiOx)膜、及びCu膜側のTi膜のO/Ti強度比を確認した例を以下に示す。先ず、透過型電子顕微鏡(TEM)解析用サンプルを作成する。そして、解析用サンプルのTEM−電子エネルギー損失分光(EELS)分析を行う。解析用サンプルは、第1の実施の形態に係る半導体装置の製造方法及び関連技術によってそれぞれ作成される。このとき、各製造方法で作成される測定サンプルのサンプル厚を同一にする。EELS分析によって、上記の、例えば室温で成膜を行った解析用サンプルのTi強度と酸素強度を取得したところ、溝側壁の観察により、Cu側のO/Ti強度比が絶縁膜側のO/Ti強度比よりも低く、Cu側のO/Ti強度比は0.12未満(約0.11程度)であることが明らかになった。また、Ti膜の体積膨張等はほとんど観察されなかった。以上から、特にCu膜に接するTi膜の状態は、酸素を固溶している状態に近いものと推察される。更に、TEM解析を行った解析サンプルのビアホール側壁のTi膜について、同じくEELS分析によってTi強度と酸素強度の比を評価した結果を図17に示す。図17において、温度T1は第1の実施の形態に係る半導体装置の製造方法によってバリアメタル膜を形成した時の基板温度、例えば25℃である。温度T2は、関連技術によってバリアメタル膜を形成した時の基板温度であり、脱ガス工程の基板温度と同程度以上である。図17に示したように、O/Tiの強度比は0.1以下である。   An example in which the O / Ti intensity ratio of the titanium oxide (TiOx) film formed near the interface between the interlayer insulating film and the Ti film after the post-Ti oxidation and the Ti film on the Cu film side is shown below. First, a transmission electron microscope (TEM) analysis sample is created. Then, TEM-electron energy loss spectroscopy (EELS) analysis of the analysis sample is performed. The analysis samples are respectively created by the semiconductor device manufacturing method and related technology according to the first embodiment. At this time, the sample thickness of the measurement sample produced by each manufacturing method is made the same. By EELS analysis, the Ti strength and oxygen strength of the above-described analysis sample formed at room temperature, for example, were obtained. As a result of observation of the groove sidewall, the Cu side O / Ti strength ratio was determined to be O / Ti on the insulating film side. It was found that the O / Ti intensity ratio on the Cu side was lower than 0.12 (about 0.11), which was lower than the Ti intensity ratio. Further, almost no volume expansion of the Ti film was observed. From the above, it is speculated that the state of the Ti film in contact with the Cu film is particularly close to the state in which oxygen is dissolved. Furthermore, FIG. 17 shows the results of evaluating the ratio of Ti intensity to oxygen intensity by EELS analysis for the Ti film on the via hole side wall of the analysis sample subjected to TEM analysis. In FIG. 17, a temperature T1 is a substrate temperature when the barrier metal film is formed by the method for manufacturing a semiconductor device according to the first embodiment, for example, 25 ° C. The temperature T2 is a substrate temperature when the barrier metal film is formed by the related technique, and is equal to or higher than the substrate temperature in the degassing step. As shown in FIG. 17, the O / Ti strength ratio is 0.1 or less.

上記のように、TiOx膜が除かれたCu膜との界面に接し、Ti膜の膜厚変化がほとんどない場所でのO/Ti強度比は0.12未満である。このことから、Ti膜が酸素を固溶している状態でのO/Ti強度比が0.12未満であることが推察される。この数値は、EELS解析によるO/Ti強度比が原子数比に対応していると仮定すると、図17中で示した解析サンプルの工程最高温度(400℃)での酸素固溶濃度とも一致する。なお酸素の固溶濃度は、420℃であれば、O/Ti原子数比で0.123、450℃であれば、O/Ti原子数比で0.15である。   As described above, the O / Ti intensity ratio is less than 0.12 at a place where it is in contact with the interface with the Cu film from which the TiOx film has been removed and there is almost no change in the thickness of the Ti film. From this, it is inferred that the O / Ti intensity ratio is less than 0.12 in a state where the Ti film is dissolved in oxygen. Assuming that the O / Ti intensity ratio according to the EELS analysis corresponds to the atomic ratio, this numerical value also coincides with the oxygen solid solution concentration at the process maximum temperature (400 ° C.) shown in FIG. . If the solid solution concentration of oxygen is 420 ° C., the O / Ti atomic ratio is 0.123, and if it is 450 ° C., the O / Ti atomic ratio is 0.15.

上記のように、Ti膜中に酸素が固溶することによるTiの密度変化はほとんど生じず、タンタル(Ta)膜等の酸化形態の材料と異なり、TiOx膜では粒界による大きな拡散経路の増加が抑制される。以上に説明したように、Ti後酸化工程における、Ti中の酸素の固溶濃度まで層間絶縁膜側からの酸化種による酸素がTiOx膜に含まれているが、Ti後酸化工程においてTi膜とCu膜との界面に化合物が形成されるため、Ti膜とCu膜との密着性は確保される。   As described above, there is almost no change in the density of Ti due to the solid solution of oxygen in the Ti film, and unlike a tantalum (Ta) film or other oxidized form material, the TiOx film has a large diffusion path increase due to grain boundaries. Is suppressed. As described above, in the Ti post-oxidation step, oxygen due to oxidation species from the interlayer insulating film side is contained in the TiOx film up to the solid solution concentration of oxygen in Ti. Since a compound is formed at the interface with the Cu film, adhesion between the Ti film and the Cu film is ensured.

更に、Ti膜がすべて酸素を含む形態になっても、Ti膜とCu膜の界面反応物が形成された後なので、Ti膜とCu膜の密着性の劣化は生じない。又、Ti膜が一部或いはすべて酸化された後に追加Ti膜を形成する工程を行ってもよい。更に、Ti膜が一部或いはすべて酸化された後に、酸素の固溶度が低いTa等のTiとは異なる金属膜を形成してもよい。密度の高いTi酸化物上に形成されるTa等の金属膜は、Cu膜との密着層として有効に機能する。その場合、Ta膜と絶縁膜の間に存在するTi酸化物が、絶縁膜から放出されるHO等の酸化種をブロックするため、Ta膜の酸化が抑制される。そのため、Ta膜を単体で使用する場合よりも、バリアメタル膜として安定に使用することができる。図18に、TiOx膜35上にTa膜36を形成した例を示す。酸素を固溶する濃度が高い材料としては、Ti、Mg、ジルコニウム(Zr)、バナジウム(V)等が有望である。 Further, even if the Ti film is entirely in a form containing oxygen, since the interfacial reaction product between the Ti film and the Cu film is formed, the adhesion between the Ti film and the Cu film does not deteriorate. Further, a step of forming an additional Ti film after the Ti film is partially or entirely oxidized may be performed. Furthermore, after the Ti film is partially or entirely oxidized, a metal film different from Ti such as Ta having a low solid solubility of oxygen may be formed. A metal film such as Ta formed on a high-density Ti oxide effectively functions as an adhesion layer with the Cu film. In that case, since the Ti oxide existing between the Ta film and the insulating film blocks oxidizing species such as H 2 O released from the insulating film, oxidation of the Ta film is suppressed. Therefore, it can be used more stably as a barrier metal film than when a Ta film is used alone. FIG. 18 shows an example in which a Ta film 36 is formed on the TiOx film 35. Ti, Mg, zirconium (Zr), vanadium (V) and the like are promising as a material having a high concentration for dissolving oxygen.

Ti膜上に形成する膜の材料としては、酸化されにくい、或いは酸化物でもCu膜と密着性のよい材料を選択することももちろん可能であり、例えば、ルテニウム(Ru)、パラヂウム(Pd)、白金(Pt)、金(Au)等が挙げられる。   As a material for the film formed on the Ti film, it is of course possible to select a material that is difficult to be oxidized or is an oxide and has good adhesion with the Cu film. For example, ruthenium (Ru), palladium (Pd), Examples include platinum (Pt) and gold (Au).

又、上記の説明では、絶縁膜との界面で酸素を固溶しやすい材料(例えばTi)を後酸化することを望ましい形態として示したが、例えば合金のターゲットを用いて混合膜をバリアメタル膜として成膜し、後酸化工程でバリアメタル膜の酸化を行うことももちろん可能である。例えば合金の種類としてはTiRux、TiPdx、TiPt、TiAux等が挙げられる。これらの材料では、特に絶縁膜とバリアメタル膜の界面に接するTiが先に酸化されやすい。そのため、Ti膜のバリアメタル膜を後酸化する場合と同様の効果が得られる。   In the above description, it has been shown as a desirable mode that post-oxidation is performed on a material that easily dissolves oxygen (for example, Ti) at the interface with the insulating film. For example, the mixed film is formed by using an alloy target as a barrier metal film. Of course, it is possible to oxidize the barrier metal film in a post-oxidation step. For example, examples of the alloy include TiRux, TiPdx, TiPt, TiAux, and the like. In these materials, Ti in contact with the interface between the insulating film and the barrier metal film is likely to be oxidized first. Therefore, the same effect as that obtained when the barrier metal film of the Ti film is post-oxidized can be obtained.

Ti後酸化の熱処理条件として望ましい条件を以下に示す。Tiのバリアメタル膜とCu膜が反応することによる効果は、既に述べたストレスマイグレーション耐性及びエレクトロマイグレーション耐性の向上以外に、以下の効果がある。即ち、Cu膜中、特にCu粒界にTi原子が存在することにより、Cu原子の拡散が抑制される。しかし、Cu原子の拡散を抑制する効果を、現実的な製造工程の時間、例えば30分や1時間で得るためには熱処理温度は150℃では不足で、200℃以上の熱処理工程が必要である。図19に、以下の方法で作成されたサンプルの二次イオン質量分析(SIMS)を行った結果を示す。サンプルの作成方法は、以下のとおりである。イオン化PVD法によってTi膜を10nm形成する。次いで、イオン化PVD法によってCuシード膜を46nm形成する。更に銅配線用めっき(ECP)装置によってCu膜を120nm形成する。そして、Ti後酸化の熱処理工程を模擬して、図19に示した各温度で1時間、Hガスの還元雰囲気中で熱処理する。図19は、上記サンプルにおいて、Ti/Cu界面から0.2nmまでのCu膜中のTi濃度の最大濃度を示す。図19に示したように、熱処理温度が150℃を超えることが、Cu膜中にTi原子を拡散させてCu原子の拡散を抑制することに効果的である。 Desirable conditions as heat treatment conditions for post-Ti oxidation are shown below. The effect of the reaction between the Ti barrier metal film and the Cu film has the following effects in addition to the improvement of the stress migration resistance and the electromigration resistance described above. That is, the presence of Ti atoms in the Cu film, particularly at the Cu grain boundaries, suppresses the diffusion of Cu atoms. However, in order to obtain the effect of suppressing the diffusion of Cu atoms in a realistic manufacturing process time, for example, 30 minutes or 1 hour, the heat treatment temperature is insufficient at 150 ° C., and a heat treatment step of 200 ° C. or higher is required. . In FIG. 19, the result of having performed the secondary ion mass spectrometry (SIMS) of the sample created with the following method is shown. The sample creation method is as follows. A Ti film having a thickness of 10 nm is formed by ionized PVD. Next, a 46 nm Cu seed film is formed by ionized PVD. Further, a Cu film is formed to 120 nm by a copper wiring plating (ECP) apparatus. Then, a heat treatment step of post-Ti oxidation is simulated, and heat treatment is performed in a reducing atmosphere of H 2 gas for 1 hour at each temperature shown in FIG. FIG. 19 shows the maximum concentration of Ti in the Cu film from the Ti / Cu interface to 0.2 nm in the above sample. As shown in FIG. 19, the heat treatment temperature exceeding 150 ° C. is effective for suppressing the diffusion of Cu atoms by diffusing Ti atoms in the Cu film.

このように、Ti原子を拡散させてCu膜中に添加するためには、150℃を超える熱処理が望ましいが、一方でTi/Cu界面で十分な化合物を形成してしまうと、形成された化合物中のTi拡散に律速され、所望のCu膜へのTi原子の添加効果が得られない可能性がある。又、はじめから高温の熱処理を行うと、多量のTi原子をCu膜に添加してしまうとともに、Ti/Cu界面に多量のTiとCuの化合物が形成されてしまい、化合物の形成と後酸化のバランスがうまくとれない可能性もある。つまり、化合物の形成により、絶縁膜から放出される酸化種によるTi膜の酸化が影響を受け、所望の特性のTi酸化物が形成されない可能性がある。   Thus, in order to diffuse Ti atoms and add them to the Cu film, a heat treatment exceeding 150 ° C. is desirable. On the other hand, if a sufficient compound is formed at the Ti / Cu interface, the formed compound There is a possibility that the effect of addition of Ti atoms to the desired Cu film cannot be obtained due to the rate limiting by the Ti diffusion therein. In addition, when high-temperature heat treatment is performed from the beginning, a large amount of Ti atoms are added to the Cu film, and a large amount of Ti and Cu compounds are formed at the Ti / Cu interface. There is a possibility that it is not well balanced. In other words, the formation of the compound may affect the oxidation of the Ti film by the oxidizing species released from the insulating film, and the Ti oxide having the desired characteristics may not be formed.

これらの反応をコントロールする為には、Ti/Cuの化合物によってTi膜からCu膜への拡散を抑制されることのない第1の加熱温度で熱処理を行ってCu膜中にTi原子を添加すると共に、絶縁膜からの酸化種の放出によってTi膜と絶縁膜の界面に界面酸化層を形成する。次に、第1の加熱温度より高い第2の加熱温度で熱処理を行って、Ti膜とCu膜の反応によりTi/Cu化合物を生じさせ、Ti膜とCu膜との密着性を向上させる。つまり、望ましい後酸化のための加熱工程は、第1の加熱温度で熱処理と第2の加熱温度での熱処理を含む。上記の熱処理により、Ti原子のCu膜中への拡散のコントロールとTi/Cu界面の化合物層形成の調整が有効に行えるばかりでなく、絶縁膜とTi膜界面で初期に酸化物層が形成されている。そのため、酸化物層形成後の高温処理時においても、酸化物層によって酸素の供給をコントロールできるという効果もある。   In order to control these reactions, Ti atoms are added to the Cu film by heat treatment at the first heating temperature at which diffusion from the Ti film to the Cu film is not suppressed by the Ti / Cu compound. At the same time, an interface oxide layer is formed at the interface between the Ti film and the insulating film by releasing the oxidizing species from the insulating film. Next, heat treatment is performed at a second heating temperature higher than the first heating temperature to generate a Ti / Cu compound by a reaction between the Ti film and the Cu film, thereby improving the adhesion between the Ti film and the Cu film. That is, the heating step for desirable post-oxidation includes a heat treatment at the first heating temperature and a heat treatment at the second heating temperature. The above heat treatment not only effectively controls the diffusion of Ti atoms into the Cu film and adjusts the formation of the compound layer at the Ti / Cu interface, but also forms an oxide layer initially at the insulating film / Ti film interface. ing. Therefore, there is also an effect that the supply of oxygen can be controlled by the oxide layer even during the high temperature treatment after the oxide layer is formed.

ただし、Ti膜の酸化が進行することによって上記に示したTiOx膜の有利な特性が得られる反面、高温で熱処理を行うとTi膜とCu膜の反応が過剰になり、ダマシン配線の抵抗が増大する。図20に、ダマシン配線を模擬したサンプルの、アニール前の抵抗率に対するアニール後の抵抗率の比の例を示す。図20に抵抗率の変動を示したサンプルは、イオン化PVD法によってバリアメタル膜及びCu膜をそれぞれ10nm及び100nm形成した後、水素/アルゴン加熱雰囲気中にてアニールを行った。図20に黒丸で示した抵抗率比は、バリアメタル膜がTiの例である。図20に白丸で示した抵抗率比は、バリアメタル膜がTaの例である。図20に示したように、アニール温度が450℃を上回る場合、アニール後の抵抗率が増大する。そのため、抵抗率を増大させない温度以下でTi後酸化を行って、Ti膜とCu膜の反応、及びCu配線中へのTi原子の拡散を生じさせる。例えば、アニール温度400℃程度までであれば、Cu膜中にTi原子が約1E20原子/cm3程度拡散するが、配線の抵抗は増大しない。上記のようにTi後酸化のアニール温度を適切に選択することにより、Ti膜とCu膜の反応によって種々の効果が得られる。尚、上記のアニール温度は例を示したものであり、Ti後酸化のアニール温度が上記アニール温度に限定されるものではない。 However, as the oxidation of the Ti film progresses, the advantageous characteristics of the TiOx film described above can be obtained. On the other hand, if the heat treatment is performed at a high temperature, the reaction between the Ti film and the Cu film becomes excessive and the resistance of the damascene wiring increases. To do. FIG. 20 shows an example of the ratio of the resistivity after annealing to the resistivity before annealing of a sample simulating damascene wiring. In the sample whose resistivity change was shown in FIG. 20, a barrier metal film and a Cu film were formed to 10 nm and 100 nm, respectively, by ionization PVD, and then annealed in a hydrogen / argon heated atmosphere. The resistivity ratio indicated by black circles in FIG. 20 is an example in which the barrier metal film is Ti. The resistivity ratio indicated by white circles in FIG. 20 is an example in which the barrier metal film is Ta. As shown in FIG. 20, when the annealing temperature exceeds 450 ° C., the resistivity after annealing increases. For this reason, post-Ti oxidation is performed at a temperature not increasing the resistivity, thereby causing a reaction between the Ti film and the Cu film and diffusion of Ti atoms into the Cu wiring. For example, if the annealing temperature is up to about 400 ° C., Ti atoms diffuse into the Cu film by about 1E20 atoms / cm 3, but the wiring resistance does not increase. By appropriately selecting the annealing temperature for the post-Ti oxidation as described above, various effects can be obtained by the reaction between the Ti film and the Cu film. The above annealing temperature is an example, and the annealing temperature for post-Ti oxidation is not limited to the above annealing temperature.

配線間のコンタクト抵抗を低減するために、下層配線と接する箇所のバリアメタル膜の酸化物中の酸素濃度は低いほど望ましい。既に述べたように、層間絶縁膜から放出される酸化種によってバリアメタル膜は酸化される。バリアメタル膜の成膜工程の温度、前処理としての層間絶縁膜からの脱ガス処理を最適化することにより、下層配線と接する箇所でのバリアメタル膜の酸化物中の酸素濃度を、絶縁膜が接する箇所のバリアメタル膜の酸化物中の酸素濃度より低くできる。その結果、絶縁膜と接触する箇所のバリア性を低下することなく、配線間のコンタクト抵抗を低減できる。   In order to reduce the contact resistance between the wirings, it is desirable that the oxygen concentration in the oxide of the barrier metal film at the portion in contact with the lower layer wiring is lower. As already described, the barrier metal film is oxidized by the oxidizing species released from the interlayer insulating film. By optimizing the temperature of the barrier metal film deposition process and the degassing treatment from the interlayer insulating film as a pre-treatment, the oxygen concentration in the oxide of the barrier metal film at the location in contact with the lower layer wiring can be reduced. It can be made lower than the oxygen concentration in the oxide of the barrier metal film at the point of contact. As a result, it is possible to reduce the contact resistance between the wirings without deteriorating the barrier property of the portion that contacts the insulating film.

例えば、バリアメタル膜の成膜工程の前(図8参照)に、前処理として200℃〜350℃の真空中で、10〜600秒の熱処理を行う。この熱処理によって層間絶縁膜から放出される酸化種の量を制御することにより、その後のバリアメタル膜の成膜時に下層配線表面に成膜されるバリアメタル膜の酸化物中の酸素濃度を、絶縁膜に接する部分に成膜されるバリアメタル膜の酸化物中の酸素濃度より小さくできる。つまり、図12に示した第2のTi膜30cの酸化物の酸素濃度が、層間絶縁膜50に接する箇所より第1配線層40に接する箇所の方で低くなる。   For example, before the barrier metal film forming step (see FIG. 8), heat treatment is performed for 10 to 600 seconds in a vacuum of 200 ° C. to 350 ° C. as pretreatment. By controlling the amount of oxidizing species released from the interlayer insulating film by this heat treatment, the oxygen concentration in the oxide of the barrier metal film formed on the surface of the lower wiring is insulated during the subsequent formation of the barrier metal film. The oxygen concentration in the oxide of the barrier metal film formed on the portion in contact with the film can be made smaller. That is, the oxygen concentration of the oxide of the second Ti film 30 c shown in FIG. 12 is lower in the portion in contact with the first wiring layer 40 than in the portion in contact with the interlayer insulating film 50.

図21に、Ti膜及びTiOx膜の分子密度を示す。図21に示すように、Ti35膜よりTiOx膜中の酸素含有量が小さい場合に、TiOx膜の分子密度はTi膜の分子密度より大きい。つまり、Tiに対する酸素の原子比が5/3以下のTiOx膜のバリア性はTi膜より高い。そのため、第2のTi膜30cを酸化した酸化膜中のTiに対する酸素の原子比は5/3以下であることが望ましい。 FIG. 21 shows the molecular densities of the Ti film and the TiOx film. As shown in FIG. 21, when the oxygen content in the TiOx film is smaller than that of the Ti 3 O 5 film, the molecular density of the TiOx film is larger than the molecular density of the Ti film. That is, the barrier property of the TiOx film having an atomic ratio of oxygen to Ti of 5/3 or less is higher than that of the Ti film. For this reason, the atomic ratio of oxygen to Ti in the oxide film obtained by oxidizing the second Ti film 30c is desirably 5/3 or less.

第1の実施の形態に係る半導体装置の製造方法の説明では、第2のCuシード膜71をPVD法、CVD法或いはALD法のいずれかで行うことを示したが、第2のCuシード膜71をPVD法で形成した後、CVD法或いはALD法でビアホール203及び第2配線溝202の一部又は全部を埋め込むことも可能である。更に、第2のCuシード膜71の形成をCVD法、又はALD法にて第2のTi膜30cの形成後に行っても、或いはそのまま埋め込み工程を行ってもよい。また第2のTi膜30cの形成後に直接めっき法にて埋め込み工程を行うことが可能であることは勿論である。   In the description of the manufacturing method of the semiconductor device according to the first embodiment, it has been shown that the second Cu seed film 71 is performed by any one of the PVD method, the CVD method, and the ALD method. After forming 71 by the PVD method, it is also possible to bury part or all of the via hole 203 and the second wiring groove 202 by the CVD method or the ALD method. Further, the second Cu seed film 71 may be formed after the second Ti film 30c is formed by the CVD method or the ALD method, or the embedding process may be performed as it is. Needless to say, the embedding step can be directly performed by plating after the formation of the second Ti film 30c.

又、第1の実施の形態に係る半導体装置の製造方法では、バリアメタル膜を主に構成するTi膜中に酸素を導入することにより、バリアメタルの膜厚方向で分子密度を変化させている。このような場合、一般的には応力勾配が発生して膜剥がれを起こす場合がある。しかし本発明の第1の実施の形態に係る半導体装置の製造方法では、絶縁膜に近いTi膜ほど分子密度が低く、Cu側に近づくほど分子密度が徐々に高くなるように、脱ガス処理工程の温度とTi形成工程の温度を調整するため、応力勾配は緩和され、絶縁膜やCuからバリアメタルが剥がれる問題がなくなることがわかった。   In the semiconductor device manufacturing method according to the first embodiment, the molecular density is changed in the thickness direction of the barrier metal by introducing oxygen into the Ti film mainly constituting the barrier metal film. . In such a case, generally, a stress gradient may be generated to cause film peeling. However, in the method of manufacturing the semiconductor device according to the first embodiment of the present invention, the degassing process step is performed such that the Ti film closer to the insulating film has a lower molecular density and the closer to the Cu side, the higher the molecular density. It was found that the stress gradient was relaxed and the problem of the barrier metal peeling off from the insulating film and Cu was eliminated by adjusting the temperature of and the temperature of the Ti forming step.

(第2の実施の形態)
本発明の第2の実施の形態に係わる半導体装置の製造方法は、酸素を固溶する特性をもつ金属膜を、絶縁膜から放出されるガス以外で酸化する方法である。以下に、第2の実施の形態に係る半導体装置の製造方法の例を説明する。以下では、図8に示した層間絶縁膜50上に金属膜30として第2のTi膜30cを形成する場合を例にして説明する。
(Second Embodiment)
The method for manufacturing a semiconductor device according to the second embodiment of the present invention is a method for oxidizing a metal film having a characteristic of dissolving oxygen with a gas other than the gas released from the insulating film. An example of a method for manufacturing a semiconductor device according to the second embodiment will be described below. Hereinafter, a case where the second Ti film 30c is formed as the metal film 30 on the interlayer insulating film 50 shown in FIG. 8 will be described as an example.

(イ)第1の実施の形態で説明した工程と同様の工程により、例えば250℃以上300℃以下の温度で、真空中或いはHガス等の還元雰囲気中で基板10の脱ガス処理を行う。その結果、層間絶縁膜50中に残っている炭素系の残留物等が除去される。同時にビアホール203底部に露出した第1配線層40表面の酸化膜の還元処理が行われる。 (A) The substrate 10 is degassed in a vacuum or in a reducing atmosphere such as H 2 gas at a temperature of 250 ° C. or higher and 300 ° C. or lower, for example, by a process similar to the process described in the first embodiment. . As a result, carbon-based residues and the like remaining in the interlayer insulating film 50 are removed. At the same time, the oxide film on the surface of the first wiring layer 40 exposed at the bottom of the via hole 203 is reduced.

(ロ)次に、真空連続にて、基板10上にバリアメタル膜を形成する。例えば図13に示すようなイオン化スパッタリングチャンバー内に搬送する。そして、少なくとも層間絶縁膜50の脱ガス処理工程での加熱温度以下、望ましくは室温以下に設定されたサセプタ上に基板10を搬送し、基板10の温度をサセプタと同等の温度に保つ。次に、図9に示したように、イオン化スパッタリング法により第2のTi膜30cを形成する。   (B) Next, a barrier metal film is formed on the substrate 10 by continuous vacuum. For example, it is transferred into an ionization sputtering chamber as shown in FIG. Then, the substrate 10 is transferred onto a susceptor that is set to at least the heating temperature in the degassing process step of the interlayer insulating film 50, preferably below room temperature, and the temperature of the substrate 10 is maintained at a temperature equivalent to that of the susceptor. Next, as shown in FIG. 9, a second Ti film 30c is formed by ionized sputtering.

(ハ)次に、図13に示すイオン化スパッタリングチャンバー内の圧力が、第2のTi膜30cの形成を行った真空度、例えば0.5×10−5Paよりも高い圧力、例えば1×10−5Paになるように、アルゴン(Ar)ガス、窒素(N)ガス、及びOガスあるいはHOをイオン化スパッタリングチャンバー中に導入して60秒間保持した後、ガスを排気する。その結果、第2のTi膜30cの表面にTi酸化物が形成される。そして、第2のTi膜30cを形成したときと同等の温度まで基板10を冷却し、更に第2のTi膜30cを最終的に所望の膜厚になるように追加堆積する。このとき、Ti酸化物を形成する前に第2のTi膜30cを形成したときの圧力と、イオン化スパッタリングチャンバー内の圧力を同等にすることが好ましい。第2のTi膜30cを酸化する際の圧力が大気圧と同等の場合は、第2のTi膜30cの酸化状態を制御できない。そのため、基板10を大気に出して、再度イオン化スパッタリングチャンバーに戻した場合は、第2のTi膜30cに酸素を固溶した状態を実現できない。 (C) Next, the pressure in the ionization sputtering chamber shown in FIG. 13 is higher than the degree of vacuum at which the second Ti film 30c is formed, for example, 0.5 × 10 −5 Pa, for example, 1 × 10. Argon (Ar) gas, nitrogen (N 2 ) gas, and O 2 gas or H 2 O are introduced into the ionization sputtering chamber so as to be −5 Pa and held for 60 seconds, and then the gas is exhausted. As a result, Ti oxide is formed on the surface of the second Ti film 30c. Then, the substrate 10 is cooled to a temperature equivalent to that when the second Ti film 30c is formed, and the second Ti film 30c is additionally deposited so as to finally have a desired film thickness. At this time, it is preferable that the pressure when the second Ti film 30c is formed before the Ti oxide is formed is equal to the pressure in the ionization sputtering chamber. When the pressure at the time of oxidizing the second Ti film 30c is equal to the atmospheric pressure, the oxidation state of the second Ti film 30c cannot be controlled. Therefore, when the substrate 10 is exposed to the atmosphere and returned to the ionization sputtering chamber again, it is impossible to realize a state in which oxygen is dissolved in the second Ti film 30c.

上記に説明したように、第2の実施の形態に係る半導体装置の製造方法によれば、原子密度の高い第2のTi膜30cを形成する途中に、第2のTi膜30cの表面を酸化することで、さらに分子密度の高いTi酸化物を形成し、層間絶縁膜50から放出されるHO等の酸化種により、第2のTi膜30c全体が酸化することを防止できる。そのため、Cu膜との界面近傍の第2のTi膜30cとして、Cu膜との密着性がよい純Ti膜を残すことができる。更に、第1の実施の形態で説明したTi後酸化の工程を行うことにより、第2のTi膜30cと層間絶縁膜50との界面近傍でのTi酸化が促進される。その結果、第2のTi膜30c中にTi酸化物が形成され、層間絶縁膜50からCu膜への酸化種の拡散が抑制される。つまり、Cu膜との密着層としてのバリアメタル膜の機能の確保がより有効に行われる。他は、第1の実施の形態と実質的に同様であり、重複した記載を省略する。 As described above, according to the method for manufacturing the semiconductor device according to the second embodiment, the surface of the second Ti film 30c is oxidized during the formation of the second Ti film 30c having a high atomic density. Thus, a Ti oxide having a higher molecular density is formed, and the entire second Ti film 30c can be prevented from being oxidized by an oxidizing species such as H 2 O released from the interlayer insulating film 50. Therefore, a pure Ti film having good adhesion with the Cu film can be left as the second Ti film 30c in the vicinity of the interface with the Cu film. Furthermore, by performing the Ti post-oxidation step described in the first embodiment, Ti oxidation near the interface between the second Ti film 30c and the interlayer insulating film 50 is promoted. As a result, Ti oxide is formed in the second Ti film 30c, and the diffusion of oxidized species from the interlayer insulating film 50 to the Cu film is suppressed. That is, the function of the barrier metal film as an adhesion layer with the Cu film is more effectively ensured. Others are substantially the same as those in the first embodiment, and redundant description is omitted.

又、上記に説明したように、第2の実施の形態に係る半導体装置の製造方法によれば、原子密度の高い第2のTi膜30cを形成する途中に、第2のTi膜30cを酸化して、さらに原子密度の高いTi酸化物を形成することを説明したが、図18に示すように第2のTi膜30cを形成した後、酸素の固溶濃度が低いTa膜36のような異種金属を形成し、Cu膜との密着層に使用しても有効である。このとき、下層の原子密度の高いTiOx層がHOなどの拡散を抑制することでTa膜36の酸化を防ぎ、Ta膜36を単層で使用するより配線信頼性を改善することができる。更に、この第2のTi膜30c上に積層する膜としては、酸化されにくい、或いは酸化物でもCuと密着性のよい材料が選択される場合も非常に有効であり、例えば、Ru、Pd、Pt、Au等が挙げられる。 Further, as described above, according to the method of manufacturing the semiconductor device according to the second embodiment, the second Ti film 30c is oxidized during the formation of the second Ti film 30c having a high atomic density. Then, it has been explained that the Ti oxide having a higher atomic density is formed. However, after the second Ti film 30c is formed as shown in FIG. It is also effective to form a dissimilar metal and use it for the adhesion layer with the Cu film. At this time, the TiOx layer having a high atomic density in the lower layer suppresses the diffusion of H 2 O or the like to prevent the Ta film 36 from being oxidized, and the wiring reliability can be improved as compared with the case where the Ta film 36 is used as a single layer. . Furthermore, as a film laminated on the second Ti film 30c, it is very effective when a material that is hardly oxidized or is an oxide and has good adhesion with Cu is selected. For example, Ru, Pd, Pt, Au, etc. are mentioned.

(第3の実施の形態)
本発明の第3の実施の形態に係る半導体装置の製造方法は、第1の基板温度において、表面に溝、及びビアホールなどの凹部が形成された絶縁膜中、および表面の吸着ガスを放出させる工程と、第1の基板温度より低い第2の基板温度で金属膜を形成する工程と、金属膜上に配線金属膜を少なくとも凹部の一部が埋め込まれていない状態で形成する工程と、第2の基板温度より高い第3の基板温度で加熱して絶縁膜中に残存する酸化種によって、金属膜の少なくとも一部を酸化し、同時に金属膜と配線金属膜の界面で反応層を形成する工程とを含む。
(Third embodiment)
The method of manufacturing a semiconductor device according to the third embodiment of the present invention releases the adsorbed gas in the insulating film in which grooves and via holes are formed on the surface and on the surface at the first substrate temperature. A step of forming a metal film at a second substrate temperature lower than the first substrate temperature, a step of forming a wiring metal film on the metal film in a state where at least a part of the recess is not buried, Heating at a third substrate temperature higher than the substrate temperature of 2 causes oxidation species remaining in the insulating film to oxidize at least a portion of the metal film, and simultaneously forms a reaction layer at the interface between the metal film and the wiring metal film. Process.

例えば、図8に示したように、第2配線溝202及びビアホール203を形成する。その後、例えば250℃以上300℃以下の温度で、真空中或いはHガス等の還元雰囲気中で熱処理を行う。この熱処理により、層間絶縁膜50中に含まれるHO等の一部が除去される。このとき、還元雰囲気中で行えば、ビアホール203底部に露出した第1配線層40表面の酸化膜の還元処理も行える。 For example, as shown in FIG. 8, the second wiring trench 202 and the via hole 203 are formed. Thereafter, heat treatment is performed in a vacuum or a reducing atmosphere such as H 2 gas at a temperature of, for example, 250 ° C. or more and 300 ° C. or less. By this heat treatment, a part of H 2 O and the like contained in the interlayer insulating film 50 is removed. At this time, if it is performed in a reducing atmosphere, the oxide film on the surface of the first wiring layer 40 exposed at the bottom of the via hole 203 can be reduced.

次に、真空連続にて基板10の表面にバリアメタル膜としてTi膜を図13に示したイオン化スパッタリング法や、光照射を用いたALD法を用いて段差被覆性よく形成する。この時、基板温度は少なくとも層間絶縁膜50の脱ガス処理工程の加熱温度以下とする。   Next, a Ti film is formed as a barrier metal film on the surface of the substrate 10 in a continuous vacuum with good step coverage by using the ionized sputtering method shown in FIG. 13 or the ALD method using light irradiation. At this time, the substrate temperature is at least equal to or lower than the heating temperature in the degassing process of the interlayer insulating film 50.

次に、真空連続にてTi膜上に配線金属膜としてCu膜を形成する。この時、Cu膜はイオン化スパッタリング法やCVD法で形成すれば良いが、少なくとも図22の成膜例に示すように第2配線溝202やビアホール203の一部は第2のCuシード膜71で充填しないように形成する。   Next, a Cu film is formed as a wiring metal film on the Ti film by continuous vacuum. At this time, the Cu film may be formed by ionized sputtering or CVD, but at least part of the second wiring trench 202 and the via hole 203 is formed by the second Cu seed film 71 as shown in the film formation example of FIG. Form so as not to fill.

次に、基板を例えば、250〜380℃の範囲内で加熱し、層間絶縁膜50中に残存するHO等の酸化種によって層間絶縁膜50と接する第2のTi膜30cを酸化するとともに、第2のCuシード膜71と第2のTi膜30cの界面にCuTi化合物を形成する。この加熱工程は第2のCuシード膜71形成後に真空連続的に行なわれてもよいし、大気開放後に行われてもよい。また、加熱温度は、層間絶縁膜50中に含まれるHO等の一部を除去する加熱温度や後の工程の加熱温度と同等とした方がよい。 Next, the substrate is heated, for example, within a range of 250 to 380 ° C., and the second Ti film 30 c in contact with the interlayer insulating film 50 is oxidized by an oxidizing species such as H 2 O remaining in the interlayer insulating film 50. Then, a CuTi compound is formed at the interface between the second Cu seed film 71 and the second Ti film 30c. This heating process may be performed continuously in vacuum after the formation of the second Cu seed film 71 or may be performed after the atmosphere is released. Further, the heating temperature is preferably equal to the heating temperature for removing a part of H 2 O or the like contained in the interlayer insulating film 50 or the heating temperature in the subsequent process.

次に、先に形成した第2のCuシード膜71をシード膜としためっき等のCu充填方法を用いて、Cu膜で充填されていない第2配線溝202やビアホール203を、図11に示すように完全充填する。次に、第2のCuめっき膜72、第2のCuシード膜71及び第2のTi膜30cをCMP法によって除去して2層配線を完成させる。   Next, the second wiring trench 202 and the via hole 203 not filled with the Cu film are shown in FIG. 11 by using a Cu filling method such as plating using the second Cu seed film 71 previously formed as a seed film. Fill completely. Next, the second Cu plating film 72, the second Cu seed film 71, and the second Ti film 30c are removed by CMP to complete a two-layer wiring.

一般に、Cuめっき膜をCuシード膜上に形成した場合、結晶粒径を大きくするために熱処理を行う場合が多い。しかし、バリアメタル膜とCu膜の密着性が低いと、図23に示すように、加熱工程の後にビアホール203内のCu膜が吸い上げられ、ボイドが発生する。これはビアホール203上の第2配線溝202内に形成されたCu膜の体積が大きいため、ビアホール203内のCu膜を引っ張る応力が発生するためである。又、図24に示すように、Cu膜とバリアメタル膜をCMP法で除去して配線形状を形成した場合も、幅の広い配線に接続されるビアホール203では、層間絶縁膜の形成時の加熱やシンタリング工程での加熱によって、ビアホール203内にボイドが発生する。   Generally, when a Cu plating film is formed on a Cu seed film, heat treatment is often performed to increase the crystal grain size. However, if the adhesion between the barrier metal film and the Cu film is low, as shown in FIG. 23, the Cu film in the via hole 203 is sucked up after the heating process, and voids are generated. This is because the Cu film formed in the second wiring groove 202 on the via hole 203 has a large volume, so that stress that pulls the Cu film in the via hole 203 is generated. Further, as shown in FIG. 24, even when the wiring shape is formed by removing the Cu film and the barrier metal film by CMP, the via hole 203 connected to the wide wiring is heated when forming the interlayer insulating film. In addition, voids are generated in the via hole 203 due to heating in the sintering process.

しかし、本発明の第3の実施の形態に係る半導体装置の製造方法では、配線溝やビアホールを完全にめっき充填する前にCuシードのような薄膜状態で基板が加熱されるため、応力によるボイドは発生しない。特にビアホール203がCu膜で充填されている図22(c)の場合でも、ビアホール203上の配線溝202内に充填されるCu膜の体積が小さいため、ビアホール203内のCu膜を引っ張る応力は小さい。したがって、熱処理時にバリアメタル膜であるTi膜とCu膜との反応が起こり、Ti膜とCu膜の界面に化合物層が形成される。この化合物層はTi膜とCu膜の密着層となるため、強い密着力が得られ、後の応力を受ける加熱工程でもボイドを形成しない。また、化合物層が形成されると同時に絶縁膜とTi膜との界面には原子密度の高い酸化膜が形成されるため、さらなる絶縁膜からの酸化種の放出を抑制でき、Ti膜のさらなる酸化を抑えられる。また、第1の実施の形態で説明したように、Cuシードのような薄膜状態での加熱時に、Ti膜と絶縁膜の界面には分子密度の高い酸化膜も同時に形成されるため、後の加熱工程における酸化種の拡散による不良を抑えられるのは勿論である。   However, in the method of manufacturing a semiconductor device according to the third embodiment of the present invention, since the substrate is heated in a thin film state such as a Cu seed before completely filling and filling the wiring grooves and via holes, voids due to stress are generated. Does not occur. In particular, even in the case of FIG. 22C in which the via hole 203 is filled with a Cu film, since the volume of the Cu film filled in the wiring groove 202 on the via hole 203 is small, the stress that pulls the Cu film in the via hole 203 is small. Accordingly, a reaction between the Ti film, which is a barrier metal film, and the Cu film occurs during the heat treatment, and a compound layer is formed at the interface between the Ti film and the Cu film. Since this compound layer becomes an adhesion layer between the Ti film and the Cu film, a strong adhesion can be obtained, and voids are not formed even in a heating process that receives subsequent stress. In addition, since an oxide film with a high atomic density is formed at the interface between the insulating film and the Ti film at the same time as the compound layer is formed, it is possible to suppress the release of oxidizing species from the further insulating film and further oxidize the Ti film. Can be suppressed. Further, as described in the first embodiment, an oxide film having a high molecular density is simultaneously formed at the interface between the Ti film and the insulating film during heating in a thin film state such as a Cu seed. Of course, defects due to diffusion of oxidized species in the heating process can be suppressed.

又、イオン化スパッタリング法を用いて形成した第2のCuシード膜71は、コンフォーマル形状となるようにスパッタ条件を調整することが望ましい。しかし条件によっては、図25(a)に示すように、ビアホール203の開口部や第2配線溝202の開口部にオーバーハング形状の突起が発生する場合がある。このようなオーバーハング状突起は後のめっき工程においてめっき液の進入を阻害し、ひいては第2配線溝202やビアホール203の開口部を塞いでしまい、配線内やビアホール内にボイドを残す問題がある。しかし、本発明の第3の実施の形態に係る半導体装置の製造方法のように第2のCuシード膜71を形成後に加熱処理をすると、第2のCuシード膜71は表面エネルギーを下げて安定な形状となろうとして、表面積が小さくなるように表面拡散する。つまり、図25(b)に示すように、オーバーハング形状の突起が平坦化され、よりコンフォーマルに近い形状とすることができる。特に、第2のCuシード膜71の形成後、熱処理を真空連続で行うとこの効果が大きい。したがって、本発明の第3の実施の形態に係る半導体装置の製造方法によれば、第2配線溝202やビアホール203へのめっき液の進入を阻害することなく、ボイド発生の問題を解決できる。   In addition, it is desirable to adjust the sputtering conditions so that the second Cu seed film 71 formed using the ionized sputtering method has a conformal shape. However, depending on the conditions, as shown in FIG. 25A, an overhang-shaped protrusion may occur in the opening of the via hole 203 or the opening of the second wiring groove 202. Such overhang-like protrusions obstruct the ingress of the plating solution in the subsequent plating process, and as a result, block the opening of the second wiring groove 202 and the via hole 203, leaving a void in the wiring and the via hole. . However, when the heat treatment is performed after forming the second Cu seed film 71 as in the method of manufacturing a semiconductor device according to the third embodiment of the present invention, the second Cu seed film 71 is stabilized by lowering the surface energy. The surface diffuses so as to reduce the surface area in an attempt to obtain a simple shape. That is, as shown in FIG. 25 (b), the overhang-shaped protrusions are flattened, and the shape can be made more conformal. In particular, when the second Cu seed film 71 is formed and then heat treatment is continuously performed in vacuum, this effect is great. Therefore, according to the method of manufacturing a semiconductor device according to the third embodiment of the present invention, the problem of void generation can be solved without hindering the ingress of the plating solution into the second wiring trench 202 or the via hole 203.

又、めっき法を用いて溝やビアホールにCu膜を充填する場合、めっき法で形成されたCu膜中にはOやHO等のような酸化種が多く含まれる。一方、イオン化スパッタ法で形成したCuシード膜やCVD法で形成されたCuシード膜は残留不純物の少ない減圧下で形成されるため、酸化種が少ない。しかし、酸化種の少ないCuシード膜上にめっき法によりCu膜を形成した場合、めっき工程後の加熱工程でめっき法により形成されたCu膜中の酸化種が薄いシードCu膜を透過し、バリアメタル膜を酸化する場合がある。上述したように、酸化したバリアメタル膜はCu膜との密着性が低下し、信頼性が低下する。この場合、図22(b)に示すようにCVD法を用いてビアホール203側壁の第2のCuシード膜71を厚くする、もしくは図22(c)に示すようにCVD法を用いてビアホール203全体を第2のCuシード膜71で充填すれば、ビアホール203を充填する第2のCuめっき膜72からの酸化種の影響を少なくできる。つまり、ビアホール203での第2のTi膜30cの酸化を抑制することができる。特にCVD法を用いてビアホール203を第2のCuシード膜71で完全に充填する場合の効果は大きい(図22(c))。したがって、ビアホール203でのCu膜とバリアメタル膜との密着性が低下することはなく、後の加熱工程でビアホール203内のCu膜を引っ張るような応力が発生しても、ボイドは発生しない。 In addition, when a Cu film is filled in a groove or a via hole using a plating method, the Cu film formed by the plating method contains a lot of oxidizing species such as O 2 and H 2 O. On the other hand, a Cu seed film formed by ionization sputtering or a Cu seed film formed by CVD is formed under reduced pressure with few residual impurities, so that there are few oxidizing species. However, when a Cu film is formed by plating on a Cu seed film with few oxidizing species, the oxidized seed in the Cu film formed by plating in the heating step after the plating step passes through the thin seed Cu film, and the barrier The metal film may be oxidized. As described above, the oxidized barrier metal film has low adhesion with the Cu film, and the reliability decreases. In this case, the second Cu seed film 71 on the side wall of the via hole 203 is thickened using the CVD method as shown in FIG. 22B, or the entire via hole 203 is used using the CVD method as shown in FIG. Is filled with the second Cu seed film 71, the influence of the oxidized species from the second Cu plating film 72 filling the via hole 203 can be reduced. That is, the oxidation of the second Ti film 30c in the via hole 203 can be suppressed. In particular, the effect when the via hole 203 is completely filled with the second Cu seed film 71 by using the CVD method is great (FIG. 22C). Therefore, the adhesion between the Cu film and the barrier metal film in the via hole 203 is not deteriorated, and no void is generated even if a stress that pulls the Cu film in the via hole 203 is generated in the subsequent heating process.

(第4の実施の形態)
本発明の第4の実施の形態に係る半導体装置の製造方法は、第1の基板温度において、表面に溝、およびビアホールなどの凹部が形成された絶縁膜中、および表面の酸化種吸着ガスを放出させる工程と、第1の基板温度より低い第2の基板温度で、原料ガスを凹部表面に付着させる工程と、凹部表面に付着されなかった残余の原料ガスを排気した後、凹部表面に光を照射して凹部表面に付着した原料ガスの分子を分解し、原料ガスの成分に含まれる金属原子からなる金属膜を、凹部表面に形成する工程とを含む。
(Fourth embodiment)
In the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention, at a first substrate temperature, an oxidizing species adsorption gas on the surface and in the insulating film in which recesses such as grooves and via holes are formed are formed. A step of releasing, a step of depositing the source gas on the surface of the recess at a second substrate temperature lower than the first substrate temperature, and exhausting the remaining source gas not attached to the surface of the recess, To decompose the molecules of the source gas adhering to the concave surface to form a metal film made of metal atoms contained in the component of the raw material gas on the concave surface.

例えば、図26(a)に示すように、圧力や流量を調整して原料ガスとしての四塩化チタン(TiCl4)ガスを、薄膜形成工程を行うチャンバー内に導入すると、絶縁膜20の表面にはTiCl4分子が一様に吸着する。ところで、絶縁膜20として低誘電率の絶縁膜を採用した場合には、誘電率を低下させるために絶縁膜20に空孔が多く含まれる。そして、大気開放時に吸湿された水や酸素といった酸化種が絶縁膜20中に残留している。そのため、絶縁膜20の表面に付着したTiCl4分子を分解するために絶縁膜20を加熱した場合、吸着ガスが凹部200の側面等から放出される。その結果、TiCl4分子を分解して形成される金属膜30であるチタン(Ti)膜と絶縁膜20から放出された酸化種が結合し、Ti膜の特性が劣化する。そのため、金属膜30を形成する前に、絶縁膜20中の酸化種を放出させる工程を行う。更に、金属膜30を形成する工程では、絶縁膜20の温度を、酸化種を放出させる工程での温度より低く保つ必要がある。図26(b)に示すように、照射光111の光エネルギーにより吸着分子の分解を促進する方法によれば、絶縁膜20の温度を低く保てる。 For example, as shown in FIG. 26 (a), when titanium tetrachloride (TiCl 4 ) gas as a raw material gas is introduced into a chamber for performing a thin film forming process by adjusting the pressure and flow rate, the surface of the insulating film 20 is introduced. Adsorbs TiCl 4 molecules uniformly. By the way, when an insulating film having a low dielectric constant is employed as the insulating film 20, the insulating film 20 includes many holes in order to lower the dielectric constant. Then, oxidized species such as water and oxygen absorbed when the atmosphere is released remain in the insulating film 20. Therefore, when the insulating film 20 is heated in order to decompose the TiCl 4 molecules adhering to the surface of the insulating film 20, the adsorbed gas is released from the side surface of the recess 200. As a result, the titanium (Ti) film, which is the metal film 30 formed by decomposing TiCl 4 molecules, and the oxidized species released from the insulating film 20 are combined to deteriorate the characteristics of the Ti film. Therefore, before the metal film 30 is formed, a step of releasing oxidized species in the insulating film 20 is performed. Further, in the process of forming the metal film 30, it is necessary to keep the temperature of the insulating film 20 lower than the temperature in the process of releasing the oxidized species. As shown in FIG. 26B, according to the method of promoting the decomposition of the adsorbed molecules by the light energy of the irradiation light 111, the temperature of the insulating film 20 can be kept low.

図27に、本発明の第4の実施の形態に係る半導体装置の製造方法に使用可能な半導体製造装置の断面構成を示す。図27に示す半導体製造装置は、チャンバー100と、光源110とを備え、図示を省略するガス供給系及び排気系に接続されている。チャンバー100は基板10が設置されるサセプタ101、光透過窓102、光透過窓102を遮蔽する開閉可能な遮蔽板103、及びチャンバー100内にガスを導入するガス導入部104を備える。光透過窓102を透過した光源110からの照射光111が、基板10に照射される。又、必要に応じて、照射光111は、遮蔽板103により遮蔽される。チャンバー100は基板搬送機構を備えた搬送室(図示略)に接続され、チャンバー100内での成膜工程前、或いは成膜工程後に、搬送室に接続された別のチャンバーにより真空連続的に基板10の別処理が行える。   FIG. 27 shows a cross-sectional configuration of a semiconductor manufacturing apparatus that can be used in the semiconductor device manufacturing method according to the fourth embodiment of the present invention. The semiconductor manufacturing apparatus shown in FIG. 27 includes a chamber 100 and a light source 110, and is connected to a gas supply system and an exhaust system (not shown). The chamber 100 includes a susceptor 101 on which the substrate 10 is installed, a light transmission window 102, an openable / closable shielding plate 103 that shields the light transmission window 102, and a gas introduction unit 104 that introduces gas into the chamber 100. The substrate 10 is irradiated with irradiation light 111 from the light source 110 that has passed through the light transmission window 102. Further, the irradiation light 111 is shielded by the shielding plate 103 as necessary. The chamber 100 is connected to a transfer chamber (not shown) provided with a substrate transfer mechanism, and the substrate is continuously vacuumed by another chamber connected to the transfer chamber before or after the film formation process in the chamber 100. Ten different processes can be performed.

以下に、図27に示した半導体製造装置を用いた半導体装置の製造方法の例を、図27〜図30を用いて説明する。なお、以下に述べる半導体装置の製造方法は一例であり、この変形例を含めて、これ以外の種々の半導体装置の製造方法により実現可能であることは勿論である。以下では、絶縁膜20の表面に金属膜30としてTi膜を形成する半導体装置の製造方法を説明する。   Hereinafter, an example of a semiconductor device manufacturing method using the semiconductor manufacturing apparatus shown in FIG. 27 will be described with reference to FIGS. The semiconductor device manufacturing method described below is merely an example, and it is needless to say that the present invention can be realized by various other semiconductor device manufacturing methods including this modification. Hereinafter, a method for manufacturing a semiconductor device in which a Ti film is formed as the metal film 30 on the surface of the insulating film 20 will be described.

(イ)搬送室に接続された別チャンバー(図示略)で250℃〜300℃程度の加熱を行って、絶縁膜20に吸着された吸着ガスの脱ガス処理を行う。この時、絶縁膜20の下層にCu配線が配置され、ビアホールの底面にCu配線が露出していれば、H2を導入して脱ガス処理を行うことにより、Cu配線表面のH還元処理を同時に行うことが可能である。或いは、脱ガス処理の前後でCu配線表面のH還元処理を行うことが可能である。 (A) Heating at about 250 ° C. to 300 ° C. is performed in a separate chamber (not shown) connected to the transfer chamber to degas the adsorbed gas adsorbed on the insulating film 20. At this time, if the Cu wiring is arranged under the insulating film 20 and the Cu wiring is exposed at the bottom surface of the via hole, H 2 reduction treatment is performed on the surface of the Cu wiring by introducing H 2 and performing degassing treatment. Can be performed simultaneously. Alternatively, it is possible to perform a H 2 reduction process on the Cu wiring surface before and after the degassing process.

(ロ)次いで、基板10を図27に示すように真空連続で搬送室からサセプタ101上に搬送する。この時、基板10の温度は、少なくとも脱ガス処理での基板10の温度より低く設定される。例えば、基板10の温度は150〜200℃に設定する。   (B) Next, the substrate 10 is transferred from the transfer chamber onto the susceptor 101 in a continuous vacuum as shown in FIG. At this time, the temperature of the substrate 10 is set to be lower than at least the temperature of the substrate 10 in the degassing process. For example, the temperature of the substrate 10 is set to 150 to 200 ° C.

(ハ)次に、図28に示すように、遮蔽板103を閉じた状態で、Ti膜の原料ガスであるTiCl4ガスを、ガス導入部104を介してガス供給系から導入する。TiCl4の沸点は136.4℃のため、TiCl4の沸点以上に基板10の温度を設定すれば、基板10上で凝縮することなくTiCl4分子層として絶縁膜20の表面に吸着する。また、TiCl4は常温で液体であるため、図示を省略する気化器内でTiCl4を気化させ、Arガス、Nガス、ヘリウム(He)ガス、H2ガス等のキャリアガスを用いてチャンバー100内に導入する。 (C) Next, as shown in FIG. 28, TiCl 4 gas, which is a raw material gas for the Ti film, is introduced from the gas supply system via the gas introduction unit 104 with the shielding plate 103 closed. Since the boiling point of TiCl 4 is 136.4 ° C., if the temperature of the substrate 10 is set equal to or higher than the boiling point of TiCl 4 , it is adsorbed on the surface of the insulating film 20 as a TiCl 4 molecular layer without condensing on the substrate 10. Further, TiCl 4 is because it is liquid at room temperature, is vaporized TiCl 4 in omitted vaporizer shown, using Ar gas, N 2 gas, helium (He) gas, a carrier gas such as H 2 gas chamber Introduce within 100.

(ニ)チャンバー100内にTiCl4ガスを所定時間導入した後、図29に示すように、遮蔽板103を閉じた状態で、TiCl4ガスの導入を止める。次いで、チャンバー100内に残留しているTiCl4ガスを排気する。 (D) After introducing the TiCl 4 gas into the chamber 100 for a predetermined time, the introduction of the TiCl 4 gas is stopped with the shielding plate 103 closed as shown in FIG. Next, the TiCl 4 gas remaining in the chamber 100 is exhausted.

(ホ)図30に示すように、遮蔽板103を開き、光源110からの照射光111を光透過窓102を透過させ、基板10に照射する。照射光111のエネルギーにより、絶縁膜20表面に吸着したTiCl4分子が分解され、絶縁膜20上にTiの薄膜層が形成される。この段階でH2ガスのような反応性ガスを導入してもよい。反応性ガスとしてH2ガスを導入した場合、照射光111が照射されたH2ガスは解離して活性な水素ラジカル(H)になり、TiCl4分子の分解は更に促進される。 (E) As shown in FIG. 30, the shielding plate 103 is opened, and the irradiation light 111 from the light source 110 is transmitted through the light transmission window 102 and irradiated onto the substrate 10. The TiCl 4 molecules adsorbed on the surface of the insulating film 20 are decomposed by the energy of the irradiation light 111, and a Ti thin film layer is formed on the insulating film 20. At this stage, a reactive gas such as H 2 gas may be introduced. The case of introducing H 2 gas as the reactive gas, H 2 gas to the irradiation light 111 is irradiated becomes active hydrogen radicals dissociated (H *), the decomposition of TiCl 4 molecules are further promoted.

以上の工程により、Ti原子層が絶縁膜20の表面に形成される。そして、上記の工程を繰り返して、所望の膜厚のTi膜を形成する。低誘電率の絶縁膜20としては、PAE膜、SiCO膜等が適用可能である。   Through the above steps, a Ti atomic layer is formed on the surface of the insulating film 20. Then, the above process is repeated to form a Ti film having a desired film thickness. As the low dielectric constant insulating film 20, a PAE film, a SiCO film, or the like can be applied.

又、例えばサセプタ101の位置がチャンバー100内で上下するような機構を備える場合、原料ガスの残留成分を排気する工程で、排気効率が高くなるようにサセプタ101の高さを低く調整してもよい。又、図27〜図30では、一つのガス導入部104から原料ガスを導入する例を示したが、チャンバー100内での原料ガスの均一性をよくするために複数のガス導入部104を備えてもよい。又、ガス導入部104から遮蔽板103の内部に原料ガスを導入し、遮蔽板103の基板10に向いた部分に設けた複数の孔からチャンバー100内に原料ガスを導入してもよい。その結果、原料ガス分子の吸着が絶縁膜20の表面で均一化され、成膜された膜厚の均一性を向上できる。   For example, when a mechanism is provided that moves the position of the susceptor 101 up and down in the chamber 100, the height of the susceptor 101 may be adjusted to be low so that the exhaust efficiency is increased in the process of exhausting residual components of the source gas. Good. 27 to 30 show an example in which the raw material gas is introduced from one gas introduction unit 104, a plurality of gas introduction units 104 are provided in order to improve the uniformity of the raw material gas in the chamber 100. May be. Alternatively, the source gas may be introduced into the shielding plate 103 from the gas introduction unit 104 and the source gas may be introduced into the chamber 100 through a plurality of holes provided in a portion of the shielding plate 103 facing the substrate 10. As a result, the adsorption of source gas molecules is made uniform on the surface of the insulating film 20, and the uniformity of the formed film thickness can be improved.

照射光111の波長は、原料ガス分子の光吸収性に合わせて選択すればよい。例えば、エキシマランプを用いる場合は、エキシマランプに用いるガスの種類に応じて、以下のように照射光111の波長を選択する。即ち、Arエキシマ:126nm、クリプトン(Kr)エキシマ:146nm、キセノン(Xe)エキシマ:172nm、塩化クリプトン(KrCl)エキシマ:222nm、塩化キセノン(XeCl)エキシマ:308nm等の単波長のエキシマランプを選択する。水銀ランプを用いる場合は、185nmや254nmの複数の波長を含む光が選択可能である。照射光111の照射エネルギー及び照射時間は、基板10上に形成されたビアホールや配線溝のアスペクト比に応じて調整される。   The wavelength of the irradiation light 111 may be selected according to the light absorptivity of the source gas molecules. For example, when an excimer lamp is used, the wavelength of the irradiation light 111 is selected as follows according to the type of gas used for the excimer lamp. That is, an excimer lamp having a single wavelength such as Ar excimer: 126 nm, krypton (Kr) excimer: 146 nm, xenon (Xe) excimer: 172 nm, krypton chloride (KrCl) excimer: 222 nm, xenon chloride (XeCl) excimer: 308 nm is selected. . When a mercury lamp is used, light including a plurality of wavelengths such as 185 nm and 254 nm can be selected. The irradiation energy and irradiation time of the irradiation light 111 are adjusted according to the aspect ratio of via holes and wiring grooves formed on the substrate 10.

上記の説明で用いたTiCl4分子の標準生成エンタルピーは、以下の式(1)及び式(2)で表される:

TiCl4(気体) → Ti(固体) + 2Cl2(気体) ・・・(1)
標準生成エンタルピーΔHf°=763kJ/mol ・・・(2)

式(1)及び式(2)から、TiCl4の1分子あたりの標準生成エンタルピーは、1.27×10-15Jとなる。TiCl4分子にはTi−Cl結合が4つあるため、1つのTi−Cl結合の結合解離エネルギーは3.17×10-16Jである。Ti−Cl結合の結合解離エネルギーを得るための光の波長は、627nm以下になる。また、TiCl4分子の光吸収波長は、280nmと232nmに極大値を持つ。そのため、627nm以下、且つTiCl4分子の極大吸収波長近傍の波長の光を照射光111として用いれば、TiCl4分子を効率的に分解できる。照射光111をエキシマランプから選択する場合には、Xeエキシマ(波長:172nm)、KrClエキシマ(波長:222nm)、XeClエキシマ(波長:308nm)が選択可能である。又、185nmと254nmの波長の水銀ランプが照射光111として使用可能である。また、狭波長帯の光源の必要はなく、広波長帯の光源を用いてもよい。また、最近の低誘電率絶縁膜は、加熱、電子ビーム照射、UV光照射などによって前駆体をキュア(焼結、重合、あるいは縮合)して形成している。このような低誘電率絶縁膜にさらにUV光を照射した場合、内部の結合しているべき結合手が切れ、場合によっては誘電率の増加を招く場合がある。そのような場合、使用する絶縁膜の性質に合わせて、絶縁膜に影響を及ぼさない波長を選べばよい。特に、UV光照射によるキュア(一般的にはUVキュアと呼ばれる)はエネルギーが一定領域に限られるため、特定、かつ必要な結合手のみの解離を行うことができる。この場合、長時間UV光照射を行なっても、不必要な分解は起こらず、低誘電率絶縁膜の性質は変化しない。従って、第4の実施の形態に用いる光の波長を低誘電率絶縁膜のUVキュアの波長と合わせておけば、絶縁膜にダメージを与えることはない。
The standard production enthalpy of TiCl 4 molecules used in the above description is represented by the following formulas (1) and (2):

TiCl 4 (gas) → Ti (solid) + 2Cl 2 (gas) (1)
Standard generation enthalpy ΔHf ° = 763 kJ / mol (2)

From the formulas (1) and (2), the standard enthalpy of formation per molecule of TiCl 4 is 1.27 × 10 −15 J. Since the TiCl 4 molecule has four Ti—Cl bonds, the bond dissociation energy of one Ti—Cl bond is 3.17 × 10 −16 J. The wavelength of light for obtaining bond dissociation energy of Ti—Cl bond is 627 nm or less. The light absorption wavelength of the TiCl 4 molecule has maximum values at 280 nm and 232 nm. Therefore, 627 nm or less, and by using the light having a wavelength of maximum absorption wavelength near the TiCl 4 molecules as the irradiation light 111, it can degrade TiCl 4 molecules efficiently. When the irradiation light 111 is selected from an excimer lamp, an Xe excimer (wavelength: 172 nm), a KrCl excimer (wavelength: 222 nm), or an XeCl excimer (wavelength: 308 nm) can be selected. Further, mercury lamps having wavelengths of 185 nm and 254 nm can be used as the irradiation light 111. Further, there is no need for a light source in a narrow wavelength band, and a light source in a wide wavelength band may be used. Further, recent low dielectric constant insulating films are formed by curing (sintering, polymerizing, or condensing) a precursor by heating, electron beam irradiation, UV light irradiation, or the like. When such a low dielectric constant insulating film is further irradiated with UV light, the bonds that should be bonded to each other are cut off and the dielectric constant may be increased in some cases. In such a case, a wavelength that does not affect the insulating film may be selected in accordance with the properties of the insulating film to be used. In particular, curing by UV light irradiation (generally referred to as UV curing) is limited to a certain region of energy, so that only specific and necessary bonds can be dissociated. In this case, even if UV light irradiation is performed for a long time, unnecessary decomposition does not occur and the properties of the low dielectric constant insulating film do not change. Therefore, if the wavelength of the light used in the fourth embodiment is matched with the wavelength of the UV cure of the low dielectric constant insulating film, the insulating film will not be damaged.

ところで、図26(a)の凹部200の底部では、他の絶縁膜20表面に比べて照射光111が届きにくいため、TiCl4分子の分解速度は遅い。そのため、照射光111によって絶縁膜20表面上のTiCl4分子の分解に必要な時間が経過した後でも、凹部200の底部ではTiCl4分子の分解が十分に進んでいない場合がある。したがって、照射光111が当りにくいために分解に最も時間がかかる凹部200の底部で分解が終了するように、照射光111の強度及び照射時間を調整する。そして、図26(b)に示すように、絶縁膜20の表面にチタン(Ti)原子が一様に吸着する。図31に、照射時間を凹部200の絶縁膜20の表面に近い領域RでのTiCl4分子の分解に必要な時間に設定した例を示す。図31に示すように、領域Rや絶縁膜20表面上のTiCl4分子が分解した後でも、凹部200の底部ではTiCl4分子の分解が十分に進んでいない。 Incidentally, at the bottom of the recess 200 in FIG. 26A, the irradiation light 111 is less likely to reach compared to the surface of the other insulating film 20, so that the decomposition rate of TiCl 4 molecules is slow. For this reason, even after the time necessary for the decomposition of the TiCl 4 molecules on the surface of the insulating film 20 by the irradiation light 111 has elapsed, the decomposition of the TiCl 4 molecules may not sufficiently proceed at the bottom of the recess 200. Accordingly, the intensity and irradiation time of the irradiation light 111 are adjusted so that the decomposition ends at the bottom of the recess 200 that takes the longest time to decompose because the irradiation light 111 is difficult to hit. Then, as shown in FIG. 26B, titanium (Ti) atoms are uniformly adsorbed on the surface of the insulating film 20. FIG. 31 shows an example in which the irradiation time is set to a time necessary for decomposition of TiCl 4 molecules in the region R near the surface of the insulating film 20 in the recess 200. As shown in FIG. 31, even after the TiCl 4 molecules on the region R and the surface of the insulating film 20 are decomposed, the decomposition of the TiCl 4 molecules does not proceed sufficiently at the bottom of the recess 200.

図32(a)〜図32(c)に、原料ガスTiCl4を導入しながら照射光111を照射する光CVD法によりTi膜を形成する例を示す。図32(a)に示すように、原料ガスTiCl4を導入しながら照射光111を照射する光CVD法では、凹部200の間口でのTiCl4の分解速度が速く、底部でのTiCl4の分解速度が遅い。そのため、先ず凹部200の間口でTi膜が厚く形成され、底部に原料ガスTiCl4が入りにくくなる。その結果、図32(b)及び図32(c)に示すようにTi膜の段差被覆性が低く、凹部200の間口では不純物であるCl原子を多く含む膜となる問題がある。又、原料ガスを流しながら照射光111を照射するため、照射光111を透過する光透過窓102のチャンバー100側の表面にTi膜等が付着し、照射光111の強度が徐々に低下してしまう問題がある。 FIGS. 32A to 32C show an example in which a Ti film is formed by a photo-CVD method that irradiates the irradiation light 111 while introducing the source gas TiCl 4 . As shown in FIG. 32A, in the photo-CVD method in which the irradiation light 111 is irradiated while introducing the source gas TiCl 4 , the decomposition rate of TiCl 4 at the front of the recess 200 is fast, and the decomposition of TiCl 4 at the bottom is performed. The speed is slow. For this reason, first, a thick Ti film is formed at the opening of the recess 200, and the source gas TiCl 4 is difficult to enter at the bottom. As a result, as shown in FIGS. 32B and 32C, the step coverage of the Ti film is low, and there is a problem that a film containing a lot of Cl atoms as impurities is formed at the front of the recess 200. Further, since the irradiation light 111 is irradiated while flowing the source gas, a Ti film or the like adheres to the surface of the light transmission window 102 that transmits the irradiation light 111 on the chamber 100 side, and the intensity of the irradiation light 111 gradually decreases. There is a problem.

一方、図27〜図30を用いて説明したように、本発明の第4の実施の形態に係る半導体装置の製造方法では、ALD法を利用して原子層単位でTi膜が形成されるため、光CVD法で問題であった凹部200の間口と底部における原料ガスの分解速度の差に起因する段差被覆性の低さを解決でき、極めてコンフォーマルなTi膜を形成することが可能となる。また、図27〜図30を用いて説明したように、照射光111の照射と原料ガスの導入を別々の工程で行っている。そのため、TiCl4ガスの導入時は遮蔽板103で光透過窓102が覆われ、光透過窓へのTi膜の付着の問題がない。 On the other hand, as described with reference to FIGS. 27 to 30, in the method of manufacturing a semiconductor device according to the fourth embodiment of the present invention, the Ti film is formed in units of atomic layers using the ALD method. The low step coverage due to the difference in the decomposition rate of the source gas at the front and bottom of the recess 200, which was a problem in the photo-CVD method, can be solved, and an extremely conformal Ti film can be formed. . In addition, as described with reference to FIGS. 27 to 30, the irradiation with the irradiation light 111 and the introduction of the source gas are performed in separate steps. Therefore, when the TiCl 4 gas is introduced, the light transmission window 102 is covered with the shielding plate 103, and there is no problem of adhesion of the Ti film to the light transmission window.

又、上述したように、照射光111の光エネルギーにより吸着分子の分解を促進する方法は、基板10の温度を低く保てる。吸湿性の高い低誘電率の絶縁膜20上にバリアメタル膜としてTi膜を形成するためには、図27の説明で述べたように、基板10の温度を脱ガス処理での基板10の温度より低く設定して、Ti膜を形成することが重要になる。なぜなら、基板10の温度を脱ガス処理温度より高くしてTi膜を形成した場合、絶縁膜20中に吸着され、脱ガス処理工程では放出されなかった酸化種が放出されながらTi膜が形成される。その結果、後述するようにTi膜の酸化等の影響が生じるためである。Ti膜の形成時での絶縁膜20からの酸化種の放出を少なくするためには、Ti膜の形成温度を下げると共に、Ti膜を形成する前に基板10の温度を、Ti膜を形成する温度以上に上げる加熱処理を行うことが有効である。この加熱処理により、Ti膜形成時に絶縁膜20中に含まれる酸化種が除去される。仮に絶縁膜20中に含まれる酸化種を完全には除去できない場合でも、Ti膜の形成時の酸化種の放出量を少なくすることができる。   Further, as described above, the method of promoting the decomposition of the adsorbed molecules by the light energy of the irradiation light 111 can keep the temperature of the substrate 10 low. In order to form a Ti film as a barrier metal film on the insulating film 20 having a high hygroscopicity and a low dielectric constant, as described in the explanation of FIG. 27, the temperature of the substrate 10 is set to the temperature of the substrate 10 in the degassing process. It is important to set the Ti film to a lower value. This is because when the Ti film is formed with the temperature of the substrate 10 higher than the degassing temperature, the Ti film is formed while the oxidized species that are adsorbed in the insulating film 20 and not released in the degassing process are released. The As a result, as will be described later, an effect such as oxidation of the Ti film occurs. In order to reduce the release of oxidizing species from the insulating film 20 during the formation of the Ti film, the Ti film formation temperature is lowered and the temperature of the substrate 10 is formed before the Ti film is formed. It is effective to perform a heat treatment that raises the temperature or higher. By this heat treatment, oxidizing species contained in the insulating film 20 are removed when the Ti film is formed. Even if the oxidized species contained in the insulating film 20 cannot be completely removed, the released amount of oxidized species during the formation of the Ti film can be reduced.

第4の実施の形態を用いたTi膜の形成時のTi酸化について、図33に示す。図33(a)に示すように、TiCl4分子の分解過程において酸化種が放出されると、Ti原子は酸素(O)と結合して酸化チタン(TiOx)が形成される。つまり、第1の実施の形態で図14を用いて説明した同様のメカニズムで分子密度が低いTiOx膜となり(図33(b))、図33(c)に示すように、酸化種がTiOx膜を通過してCu膜に達し、Cu膜が酸化され、Cu配線のSM耐性が劣化する。
一方、脱ガス処理工程より低い温度でTi膜を形成した場合は、図34(b)のように、純Ti膜30aがバリアメタル膜として形成される。つまり、第1の実施の形態で図15を用いて説明したのと同様のメカニズムで、Ti膜30a形成後の絶縁膜形成工程やシンタリング工程などにおいて、分子密度が高いTiOx膜35が形成され(図34(c))、Cu配線のSM耐性が劣化することはない。
FIG. 33 shows Ti oxidation during the formation of the Ti film using the fourth embodiment. As shown in FIG. 33A, when oxidized species are released during the decomposition process of TiCl 4 molecules, Ti atoms are combined with oxygen (O) to form titanium oxide (TiOx). That is, a TiOx film having a low molecular density is obtained by the same mechanism described with reference to FIG. 14 in the first embodiment (FIG. 33B), and as shown in FIG. 33C, the oxidized species is a TiOx film. The Cu film reaches the Cu film and is oxidized, and the SM resistance of the Cu wiring deteriorates.
On the other hand, when the Ti film is formed at a temperature lower than that in the degassing process, the pure Ti film 30a is formed as a barrier metal film as shown in FIG. That is, the TiOx film 35 having a high molecular density is formed in the insulating film forming process and the sintering process after forming the Ti film 30a by the same mechanism as described with reference to FIG. 15 in the first embodiment. (FIG. 34 (c)), the SM resistance of the Cu wiring does not deteriorate.

既に述べたように、低誘電率絶縁膜としては、SiCO等の無機系絶縁膜やPAE等の有機系絶縁膜が適用可能である。又、エッチング工程におけるストッパー材としては、SiCN膜や窒化シリコン(SiN)膜等が適用可能である。絶縁膜の種類によって原料ガスの吸着量が異なる。これは絶縁膜の種類によって最表面原子の終端基が異なるためである。例えば、CH3基で終端されている場合は疎水性を示し、OH基で終端されていれば親水性を示す等の吸着性質の違いが生じる。そのため、複数種類の絶縁膜を積層して1つの層間絶縁膜を形成したデュアルダマシン構造では、種類の異なる絶縁膜上に形成されるバリアメタル膜の膜厚にばらつきが発生する。その場合、予め光照射を行って絶縁膜表面を改質することが有効である。例えば、CH3基を特定の光エネルギーで解離させて除去すれば、終端基がOH基となり複数の種類の絶縁膜表面に金属膜を形成する場合においても、原料ガスの吸着状態を一様にすることが可能となる。吸着しやすい状態にして原料ガスを導入すれば、絶縁膜の種類による金属膜の膜厚のばらつきを抑制することができる。 As already described, as the low dielectric constant insulating film, an inorganic insulating film such as SiCO or an organic insulating film such as PAE is applicable. As a stopper material in the etching process, a SiCN film, a silicon nitride (SiN) film, or the like can be applied. The amount of source gas adsorbed varies depending on the type of insulating film. This is because the termination group of the outermost surface atom varies depending on the type of insulating film. For example, when it is terminated with a CH 3 group, it exhibits hydrophobicity, and when it is terminated with an OH group, it exhibits a difference in adsorption properties such as hydrophilicity. Therefore, in the dual damascene structure in which a plurality of types of insulating films are stacked to form one interlayer insulating film, the thickness of the barrier metal film formed on different types of insulating films varies. In that case, it is effective to modify the surface of the insulating film by performing light irradiation in advance. For example, if the CH 3 group is dissociated and removed with a specific light energy, the terminal group becomes an OH group, and even when a metal film is formed on the surface of a plurality of types of insulating films, the adsorption state of the source gas is made uniform. It becomes possible to do. If the source gas is introduced in a state where it can be easily adsorbed, variations in the thickness of the metal film due to the type of insulating film can be suppressed.

又、層間絶縁膜に凹部200を形成する際、レジスト膜の残渣やエッチング工程において副次的に生成された副生成物が基板10上に残留し、凹部200の底部での導通を妨げる場合がある。このような残留物は炭素(C)やフッ素(F)を含むため、O、H2、H2O、アンモニア(NH3)等の分解性ガスを流しながら光照射を行い、残留物を除去するステップを入れてもよい。また、O、H2、H2O、NH3等の分解性ガスを流しながら光照射を行い、レジスト除去を行ってもよい。 In addition, when the recess 200 is formed in the interlayer insulating film, a resist film residue or a by-product generated by a secondary process in the etching process may remain on the substrate 10 to prevent conduction at the bottom of the recess 200. is there. Since such a residue contains carbon (C) and fluorine (F), light irradiation is performed while flowing a decomposable gas such as O 2 , H 2 , H 2 O, and ammonia (NH 3 ). A step to remove may be included. Alternatively, the resist may be removed by irradiating light while flowing a decomposable gas such as O 2 , H 2 , H 2 O, NH 3 or the like.

上記の説明では、Ti膜を形成する例を説明したが、窒化チタン(TiN)膜を形成したい場合は、図30の工程でN2ガスやNH3ガス等の反応性ガスを導入する。NH3ガスを導入した場合、光照射を受けたNH3ガスは解離して活性な窒化水素ラジカル(NH)となり、TiCl4分子を分解するとともにTiを窒化してTiN膜を形成できる。また、テトラキスジメチルアミノチタン(TDMAT;(Ti[N(CH324))、テトラキスジエチルアミノチタン(TEMAT;(Ti[N(C25CH324))等の原料ガスを用いてTiN膜を形成することも可能である。又、第4の実施の形態では、無機化合物であるTiCl4を原料ガスに用いる例について説明したが、四臭化チタン(TiBr4)、四ヨウ化チタン(TiI4)或いは有機化合物の原料ガスを用いてもよい。 In the above description, an example of forming a Ti film has been described. However, when it is desired to form a titanium nitride (TiN) film, a reactive gas such as N 2 gas or NH 3 gas is introduced in the process of FIG. If the introduction of the NH 3 gas, NH 3 gas which has received the light irradiation dissociate to active hydrogen nitride radicals (NH *) next, to form a TiN film by nitriding the Ti as well as degrade the TiCl 4 molecule. Further, source gases such as tetrakisdimethylaminotitanium (TDMAT; (Ti [N (CH 3 ) 2 ] 4 )), tetrakisdiethylaminotitanium (TEMAT; (Ti [N (C 2 H 5 CH 3 ) 2 ] 4 )), etc. It is also possible to form a TiN film using In the fourth embodiment, an example in which TiCl 4 that is an inorganic compound is used as a raw material gas has been described. However, a raw material gas of titanium tetrabromide (TiBr 4 ), titanium tetraiodide (TiI 4 ), or an organic compound is used. May be used.

上記の説明ではバリアメタルとしてTi膜を形成する例を説明したが、Ti膜の上に形成するめっき膜のシードとなるCu膜を、同様の成膜方法を用いて形成してもよい。この場合、原料ガスにはヘキサフルオロアセチルアセトン銅(I)トリメチルビニルシランアダクト/トリメチルビニルシラン添加(Cu(hfac)TMVS)などの有機金属ガスを用いればよい。この時、Ti膜を形成するチャンバーとCu膜を形成するチャンバーを分けてもよいし、同一チャンバーで原料ガスを切り替えて形成することも可能である。また、Cuの数原子層を本発明の第4の実施の形態に係る半導体装置の製造方法で形成した後、従来のCVD法に切り替えてCu膜を形成することも可能である。CVD法で異種金属上にCu膜を形成する場合、異種金属表面にはCu成長のための核が必要であり、この核密度が低いと均一なCu膜が形成できない問題がある。本発明の第4の実施の形態に係る半導体装置の製造方法を用いて、Ti上にCu層を数原子層で形成しておけば、成膜速度の速いCVDを用いても均一なCu膜を成長できる。また、初期の光照射によりTi膜とCu膜との結合が促進され、Ti/Cu界面の密着性に優れる。   In the above description, an example in which a Ti film is formed as a barrier metal has been described. However, a Cu film serving as a seed for a plating film formed on the Ti film may be formed using a similar film forming method. In this case, an organic metal gas such as hexafluoroacetylacetone copper (I) trimethylvinylsilane adduct / trimethylvinylsilane added (Cu (hfac) TMVS) may be used as the source gas. At this time, the chamber for forming the Ti film and the chamber for forming the Cu film may be separated, or may be formed by switching the source gas in the same chamber. It is also possible to form a Cu film by switching to the conventional CVD method after forming several Cu atomic layers by the method of manufacturing a semiconductor device according to the fourth embodiment of the present invention. When a Cu film is formed on a dissimilar metal by the CVD method, a nucleus for Cu growth is required on the surface of the dissimilar metal, and there is a problem that a uniform Cu film cannot be formed if the nucleus density is low. If a Cu layer is formed in several atomic layers on Ti using the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention, a uniform Cu film can be obtained even by using CVD with a high deposition rate. Can grow. Further, the initial light irradiation promotes the bonding between the Ti film and the Cu film, and is excellent in adhesion at the Ti / Cu interface.

(第5の実施の形態)
本発明の第5の実施の形態に係わる半導体装置の製造方法は、第1から第4の実施の形態で示したCu多層配線に用いられるバリアメタルとしてのTi膜の形成ではなく、メモリー素子のキャパシタンスなどに用いられる高誘電体膜である酸化アルミニウム(Al23)膜を形成する方法である。以下に、図27に示した半導体製造装置を用いて、基板上にAl23膜を形成する方法を説明する。以下では、トリメチルアルミニウム(TMA;(Al(CH33))を原料ガスとして用いる場合を説明する。
(Fifth embodiment)
The semiconductor device manufacturing method according to the fifth embodiment of the present invention is not the formation of a Ti film as a barrier metal used in the Cu multilayer wiring shown in the first to fourth embodiments, but a memory element. This is a method of forming an aluminum oxide (Al 2 O 3 ) film which is a high dielectric film used for capacitance or the like. Hereinafter, a method for forming an Al 2 O 3 film on a substrate using the semiconductor manufacturing apparatus shown in FIG. 27 will be described. Hereinafter, a case where trimethylaluminum (TMA; (Al (CH 3 ) 3 )) is used as a source gas will be described.

先ず、図27〜図30を用いて説明した方法と同様にして、基板10の表面にアルミニウム(Al)膜を形成する。即ち、基板10を搬送室からサセプタ101上に搬送する。次いで、光透過窓102の遮蔽板103を閉じ、TMAガスをチャンバー100内に導入する。TMAは常温で液体であるため、気化させてArガス、Nガス、Heガス、Hガス等のキャリアガスを用いてチャンバー100内に導入する。そして、TMAガスが凝縮しない温度に基板10を調整し、TMA分子を基板10に吸着させる。次に原料ガスの導入を停止してチャンバー100内のTMAガスを排気する。その後、遮蔽板103を開けて基板10に照射光111を照射し、Al薄膜を基板10の表面に形成する。   First, an aluminum (Al) film is formed on the surface of the substrate 10 in the same manner as described with reference to FIGS. That is, the substrate 10 is transferred from the transfer chamber onto the susceptor 101. Next, the shielding plate 103 of the light transmission window 102 is closed, and TMA gas is introduced into the chamber 100. Since TMA is a liquid at room temperature, it is vaporized and introduced into the chamber 100 using a carrier gas such as Ar gas, N gas, He gas, H gas. Then, the substrate 10 is adjusted to a temperature at which the TMA gas does not condense, and the TMA molecules are adsorbed on the substrate 10. Next, the introduction of the source gas is stopped and the TMA gas in the chamber 100 is exhausted. Thereafter, the shielding plate 103 is opened, and the substrate 10 is irradiated with the irradiation light 111 to form an Al thin film on the surface of the substrate 10.

次に、図35に示すように、O、H2O等の酸化種をチャンバー100内に導入して基板10上に形成されたAl膜を酸化してAl23膜を形成する。或いは、図27〜図30で説明した工程を複数回繰り返して所望の膜厚のAl膜を形成した後、図35に示すAl膜の酸化工程を行っても良い。また、酸化工程では酸化種の導入により酸化しても良いし、光照射を行い、酸化種を解離させて酸素ラジカルを形成し、酸素ラジカルによって酸化効率を高めてもよい。また、この酸化工程は別チャンバーに基板10を搬送して行ってもよい。あるいは大気開放して別装置を用いて酸化工程を行ってもよい。 Next, as shown in FIG. 35, oxidizing species such as O 2 and H 2 O are introduced into the chamber 100 to oxidize the Al film formed on the substrate 10 to form an Al 2 O 3 film. Alternatively, the Al film oxidation process shown in FIG. 35 may be performed after an Al film having a desired film thickness is formed by repeating the processes described with reference to FIGS. Further, in the oxidation step, oxidation may be performed by introducing an oxidation species, or light irradiation may be performed to dissociate the oxidation species to form oxygen radicals, and the oxidation efficiency may be increased by oxygen radicals. Further, this oxidation step may be performed by transporting the substrate 10 to another chamber. Alternatively, the oxidation step may be performed using a separate apparatus after opening to the atmosphere.

Al膜形成後の加熱による酸化によって、Al膜の表面に高密度のAl23膜が形成されることが知られている。しかし、Alは低融点金属であるため、非常に薄いAl膜を形成しようとすると、比較的低温でも基板上でAl膜が凝集して島状に分離してしまい、連続したAl薄膜を形成することが困難である。したがって、CVD法やALD法のように原料ガスの分解に基板加熱が必要な成膜方法でAl極薄膜を形成した後、酸化して原子密度の高いAl23膜を形成することが困難であった。そのため、酸化種を流しながら吸着ガスを分解するALD法や酸素を含む原料ガスを用いてAl23膜を形成するALD法が検討されている。しかし、分解過程においては、Al原子が他のAl原子と結合していない(束縛のない)状態でAl原子と酸素が結合するため、図36(a)及び図36(b)に示すように、Al−O原子間距離が広い。そのため、図36(c)に示すように、分子密度の低いAl23膜が形成される。分子密度の低いAl23膜はダングリングボンドを多く含み、リーク電流が大きい等の問題がある。「ダングリングボンド」は、結合に関与しない電子で占められている未結合手である。 It is known that a high-density Al 2 O 3 film is formed on the surface of the Al film by oxidation by heating after the Al film is formed. However, since Al is a low melting point metal, when trying to form a very thin Al film, the Al film aggregates and separates into islands on the substrate even at a relatively low temperature, forming a continuous Al thin film. Is difficult. Therefore, it is difficult to form an Al 2 O 3 film having a high atomic density after forming an Al ultrathin film by a film forming method that requires heating of the substrate for decomposition of the source gas, such as CVD or ALD. Met. Therefore, an ALD method for decomposing the adsorbed gas while flowing oxidizing species and an ALD method for forming an Al 2 O 3 film using a source gas containing oxygen have been studied. However, in the decomposition process, since Al atoms and oxygen are bonded in a state where Al atoms are not bonded to other Al atoms (unbound), as shown in FIGS. 36 (a) and 36 (b). The distance between Al—O atoms is wide. Therefore, as shown in FIG. 36C, an Al 2 O 3 film having a low molecular density is formed. The Al 2 O 3 film having a low molecular density has many dangling bonds and has problems such as a large leakage current. “Dangling bonds” are dangling bonds occupied by electrons that do not participate in bonding.

これに対して本発明の第5の実施の形態に係る半導体装置の製造方法によれば、図37(a)及び図37(b)に示すように、光エネルギーで吸着分子を分解するため、基板10を高温にする必要がなく、Al膜37の凝集を抑えながらAl原子層を形成できる。さらに図37(c)に示すように、Al膜37を形成した後にAl膜37のAl−Al結合による自己応力を受けながらAl膜37の酸化を行うため、分子密度の高い緻密なAl23膜を形成することができる。 On the other hand, according to the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention, as shown in FIGS. 37 (a) and 37 (b), the adsorbed molecules are decomposed with light energy. The substrate 10 need not be at a high temperature, and an Al atomic layer can be formed while suppressing aggregation of the Al film 37. Further, as shown in FIG. 37 (c), after the Al film 37 is formed, the Al film 37 is oxidized while being subjected to self-stress due to the Al—Al bond of the Al film 37, so that the dense Al 2 O having a high molecular density. Three films can be formed.

又、ここではAl23膜の形成について説明したが、リーク電流をさらに低下するためにハフニウム(Hf)という不純物を添加してもよい。この場合、ハフニウムを含むガスを原料ガスと一緒に導入すればよい。 Although the formation of the Al 2 O 3 film has been described here, an impurity called hafnium (Hf) may be added to further reduce the leakage current. In this case, a gas containing hafnium may be introduced together with the source gas.

なお、上記の説明ではTMAを用いる例を説明したが、ジメチルアルミニウムハイドライド(DMAH;(Al(CHH))、ジメチルエチルアミンアテン(DMEAA;(AlH・N(CH)(C)))等の原料ガスを用いることができる。又、Al以外の他の金属の酸化膜の形成に適用することも可能であり、更には、金属膜を形成後、窒化種を導入して金属膜の窒化を行うことで、金属の窒化膜を形成してもよい。他は、第1の実施の形態と実質的に同様であり、重複した記載を省略する。 In the above description, an example using TMA has been described. However, dimethylaluminum hydride (DMAH; (Al (CH 3 ) 2 H)), dimethylethylamine atene (DMEAA; (AlH 3 .N (CH 3 ) (C 2 A source gas such as H 5 ))) can be used. It can also be applied to the formation of an oxide film of a metal other than Al, and further, after forming the metal film, nitriding the metal film by introducing a nitriding species, the metal nitride film May be formed. Others are substantially the same as those in the first embodiment, and redundant description is omitted.

(第6の実施の形態)
本発明の第6の実施の形態に係る半導体製造装置を図38(a)及び図38(b)に示す。図38(a)及び図38(b)に示す半導体製造装置は、第1〜4の実施の形態で説明した半導体装置の製造方法に適用可能である。
(Sixth embodiment)
A semiconductor manufacturing apparatus according to the sixth embodiment of the present invention is shown in FIGS. 38 (a) and 38 (b). The semiconductor manufacturing apparatus shown in FIGS. 38A and 38B can be applied to the semiconductor device manufacturing methods described in the first to fourth embodiments.

既に述べたように、信号遅延を抑制するために使用される低誘電率絶縁膜は空孔を多く含み、吸湿性が高い。そのため、低誘電率絶縁膜中に含まれるHO等の酸化種は金属膜形成時の加熱で放出される。金属膜等への放出ガスの影響を少なくするため、金属膜形成前に脱ガス処理を行っているが、絶縁膜の低誘電率化に伴って放出ガス量は増加傾向にあり、脱ガス処理のための加熱時間が長くなってきている。脱ガス処理での加熱温度を高くすれば加熱時間は短縮できる。しかし、バリアメタル膜等の金属膜形成前に基板を高温で加熱をすると、基板上に形成されているCu配線にボイドが生じる問題がある。一方、絶縁膜からの脱ガス処理を低温で行うと時間がかかり、スループットが低下する。 As already described, the low dielectric constant insulating film used for suppressing the signal delay includes many holes and has high hygroscopicity. Therefore, oxidizing species such as H 2 O contained in the low dielectric constant insulating film are released by heating at the time of forming the metal film. In order to reduce the influence of the released gas on the metal film, etc., the degassing treatment is performed before the metal film is formed. However, the amount of the released gas is increasing as the dielectric constant of the insulating film is reduced. The heating time for is getting longer. The heating time can be shortened by increasing the heating temperature in the degassing treatment. However, if the substrate is heated at a high temperature before forming a metal film such as a barrier metal film, there is a problem that voids are generated in the Cu wiring formed on the substrate. On the other hand, if the degassing treatment from the insulating film is performed at a low temperature, it takes time and the throughput is lowered.

すでに第1〜4の実施の形態で示したように、低誘電率絶縁膜からの脱ガスをコントロールすることが重要であり、十分なコントロールを行う為には、スループットを改善するか、脱ガスを高温加熱と同様に高効率化する必要がある。   As already shown in the first to fourth embodiments, it is important to control the degassing from the low dielectric constant insulating film. In order to perform sufficient control, the throughput is improved or the degassing is performed. It is necessary to increase the efficiency as in high-temperature heating.

以下に、脱ガス処理においてスループットを改善する例を示す。図38(a)及び図38(b)に示す半導体製造装置により、金属膜形成前に行う脱ガス処理のスループットを上げることができる。   An example of improving the throughput in the degassing process is shown below. With the semiconductor manufacturing apparatus shown in FIGS. 38A and 38B, the throughput of the degassing process performed before forming the metal film can be increased.

図38(a)に示す半導体製造装置は、複数のホットプレート210a〜210jが接続し、上下移動可能な支持体150aと、回転動作が可能なピックアップ機構230を備える。ピックアップ機構230にはリフトピン240が取り付けられている。又、ホットプレート210a〜210jにはリフトピン240が通る穴があけられている。ホットプレート210a〜210j上に、基板10をそれぞれ配置できる。以下に、ホットプレート210a上に基板10を配置する方法を図38(b)を用いて説明する。図38(b)は、半導体製造装置のホットプレート210aの部分の上面図である。   The semiconductor manufacturing apparatus shown in FIG. 38 (a) includes a support 150a that can be moved up and down and a pickup mechanism 230 that can be rotated, to which a plurality of hot plates 210a to 210j are connected. A lift pin 240 is attached to the pickup mechanism 230. The hot plates 210a to 210j have holes through which the lift pins 240 pass. The substrates 10 can be arranged on the hot plates 210a to 210j, respectively. Hereinafter, a method of arranging the substrate 10 on the hot plate 210a will be described with reference to FIG. FIG. 38B is a top view of the hot plate 210a portion of the semiconductor manufacturing apparatus.

(イ)図38(b)に示すように、ピックアップ機構230はホットプレート210aの下の位置Aに移動する。そして、ホットプレート210aに開けられた穴を通してリフトピン240の先端がホットプレート210aの上面から突出するように、支持体150aの上下方向の位置を調整する。   (A) As shown in FIG. 38B, the pickup mechanism 230 moves to the position A below the hot plate 210a. Then, the vertical position of the support 150a is adjusted so that the tip of the lift pin 240 protrudes from the upper surface of the hot plate 210a through the hole formed in the hot plate 210a.

(ロ)基板10が、図示を省略する搬送室から搬送ロボットハンド220により搬送される。搬送された基板10は、リフトピン240の先端上に置かれる。   (B) The substrate 10 is transferred by a transfer robot hand 220 from a transfer chamber (not shown). The conveyed substrate 10 is placed on the tip of the lift pin 240.

(ハ)次に支持体150aが上方に動くことにより、リフトピン240の先端がホットプレート210aの上面より低くなり、基板10がホットプレート210a上に配置される。   (C) Next, when the support 150a moves upward, the tip of the lift pin 240 becomes lower than the upper surface of the hot plate 210a, and the substrate 10 is placed on the hot plate 210a.

(ニ)次いで、ピックアップ機構230は回転して位置Bに移動する。   (D) Next, the pickup mechanism 230 rotates and moves to the position B.

その後、支持体150aが上方に移動し、上記で説明した方法と同様にして、ホットプレート210b〜210j上に基板が配置される。又、処理が終了した後は、上記で説明した方法と逆の動作を行って、基板10を搬送室に搬送する。次に処理を行う基板がある場合は、処理が終了して基板が取り出されたホットプレート210a〜210j上に置くことを繰り返せば、必要以上にホットプレート210a〜210jの数を増加させずに済む。図38(a)では、ホットプレートの数が10である例を示したが、ホットプレートの数が10に限定されないのは勿論である。   Thereafter, the support 150a moves upward, and the substrate is placed on the hot plates 210b to 210j in the same manner as described above. Further, after the processing is completed, the substrate 10 is transferred to the transfer chamber by performing the reverse operation to the method described above. If there is a substrate to be processed next, it is not necessary to increase the number of hot plates 210a to 210j more than necessary by repeatedly placing the substrates on the hot plates 210a to 210j that have been processed and taken out. . FIG. 38A shows an example in which the number of hot plates is 10, but it goes without saying that the number of hot plates is not limited to 10.

以上に説明した図38(a)に示す半導体製造装置は、クラスターツールに接続された複数のチャンバーの1つとして使用可能である。そして、図38(a)に示す半導体製造装置で行う処理の前、もしくは処理の後に、搬送室に接続された別チャンバーで真空連続的に別処理を行うことが可能である。例えば、図38(a)に示す半導体製造装置で絶縁膜中に含まれる酸化種を除去、低減させた後、搬送室を介して基板を別チャンバーに移動させてCu配線表面の酸化膜の除去処理を行う。次いで別チャンバーでバリアメタル膜を形成し、さらに別チャンバーでCu膜を形成する連続プロセスが可能である。   The semiconductor manufacturing apparatus shown in FIG. 38A described above can be used as one of a plurality of chambers connected to the cluster tool. Then, before or after the process performed by the semiconductor manufacturing apparatus shown in FIG. 38A, it is possible to perform another process continuously in a vacuum in another chamber connected to the transfer chamber. For example, after removing and reducing the oxidized species contained in the insulating film by the semiconductor manufacturing apparatus shown in FIG. 38A, the substrate is moved to another chamber through the transfer chamber to remove the oxide film on the Cu wiring surface. Process. Next, a continuous process is possible in which a barrier metal film is formed in a separate chamber and a Cu film is formed in a separate chamber.

図38(a)に示した半導体製造装置を使用することにより、脱ガス処理のスループットを上げることができる。脱ガス処理に長時間が必要であり、且つ複数枚の基板を単位として工程が進められる半導体装置の製造方法の場合に、クラスターツールの1つのチャンバーで基板を1枚ずつの脱ガス処理しか行えない半導体製造装置では、脱ガス処理の時間が非常に長くなる。例えば、絶縁膜の脱ガス処理に10分間が必要な場合を考える。別チャンバーで処理される工程で、脱ガス処理工程の次に処理時間が長い工程(以下において「第2処理工程」という。)の処理時間が72秒とする。その場合、脱処理工程と第2処理工程の処理時間の差が、別チャンバーで基板が脱ガス処理の完了を待つ時間になる。チャンバー間の搬送にかかる時間が20秒とすると、25枚の基板の脱ガス処理を連続して行うには約4時間36分を必要とする。図38に示す半導体製造装置を使用して、1つのチャンバー内で複数の基板の脱ガス処理を並行して行えば、処理時間を大幅に短縮することができる。又、基板を1枚しか処理できないチャンバーを複数設置するよりも、装置全体の装置スペースを小さく抑えられる。   By using the semiconductor manufacturing apparatus shown in FIG. 38A, the throughput of the degassing process can be increased. In the case of a semiconductor device manufacturing method in which a long time is required for degassing processing and the process can proceed in units of a plurality of substrates, only one substrate can be degassed in one chamber of the cluster tool. In the case of no semiconductor manufacturing apparatus, the degassing time is very long. For example, consider a case where 10 minutes are required for degassing the insulating film. In a process performed in a separate chamber, a process time of a process (hereinafter referred to as a “second process process”) having a long process time after the degassing process process is set to 72 seconds. In that case, the difference in processing time between the deprocessing step and the second processing step is a time for the substrate to wait for the completion of the degassing processing in another chamber. Assuming that the time required for transfer between the chambers is 20 seconds, it takes about 4 hours and 36 minutes to continuously degas the 25 substrates. If the degassing processing of a plurality of substrates is performed in parallel in one chamber using the semiconductor manufacturing apparatus shown in FIG. 38, the processing time can be greatly shortened. In addition, the apparatus space of the entire apparatus can be reduced compared to installing a plurality of chambers that can process only one substrate.

図38に示した半導体製造装置の有するホットプレート210a〜210jの数を必要最小限として装置コストを最小限にすることも工業的に求められる。例えば、ホットプレート210a〜210jの数を、脱ガス処理に要する時間を第2処理工程の処理時間で割り、得られた値の小数点以下を切り上げた数とする。上記の計算で得られた数のホットプレートを用意すれば、脱ガス処理の完了を待って、他の工程がストップする時間を最小限に抑えることができる。つまり、図38に示した半導体製造装置のホットプレートの数は、脱ガス処理の時間をt1、第2処理工程の処理時間をt2とした時、t1÷t2の小数点を切り上げた整数の個数とすればよい。上記の例で計算すると、600秒÷72秒=8.3であるため、ホットプレート数を9枚とすればよい。その場合、25枚の基板を処理単位として脱ガス処理した場合の処理時間は約1時間6分である。   It is also industrially required to minimize the apparatus cost by minimizing the number of hot plates 210a to 210j included in the semiconductor manufacturing apparatus shown in FIG. For example, the number of hot plates 210a to 210j is the number obtained by dividing the time required for the degassing process by the processing time of the second processing step and rounding up the decimal point of the obtained value. If the number of hot plates obtained by the above calculation is prepared, it is possible to minimize the time during which other processes stop after waiting for the completion of the degassing process. That is, the number of hot plates in the semiconductor manufacturing apparatus shown in FIG. 38 is the number of integers obtained by rounding up the decimal point of t1 / t2, where t1 is the degassing time and t2 is the second processing time. do it. When calculated in the above example, 600 seconds ÷ 72 seconds = 8.3, so the number of hot plates may be nine. In that case, the processing time when 25 substrates are degassed as a processing unit is about 1 hour 6 minutes.

ホットプレート210a〜210j上に配置された基板10の昇温速度を上げて脱ガス効率を上げるため、ホットプレート210a〜210jに静電チャック機構を取り付けて温度制御してもよい。又、熱伝導をよくするために、Heガス、Hガス、Arガス、Nガス等を導入して基板10の昇温速度や温度均一性を高めてもよい。或いは、ハロゲンランプ照射により基板10を加熱してもよい。 In order to increase the degassing efficiency by increasing the heating rate of the substrate 10 disposed on the hot plates 210a to 210j, the temperature may be controlled by attaching an electrostatic chuck mechanism to the hot plates 210a to 210j. Further, in order to improve heat conduction, He gas, H 2 gas, Ar gas, N 2 gas, or the like may be introduced to increase the rate of temperature increase or temperature uniformity of the substrate 10. Alternatively, the substrate 10 may be heated by halogen lamp irradiation.

又、Hなどの還元ガス、もしくは還元ガスをマイクロ波放電などで活性化したラジカルガスを脱ガス処理するチャンバー内に導入することにより、Cu配線表面の酸化膜を還元除去することができる。その結果、別チャンバーで行う還元処理を削減することができる。ただし、長時間の脱ガス処理中には、ホットプレート210a〜210j毎に基板10の搬送が行われるため、搬送室との仕切りバルブの開閉が伴う。したがって、Hなどの還元ガスが搬送室に流れ込んで搬送室の真空度が低下したり、搬送室を介して別チャンバーに還元ガスが混入し、別チャンバーを汚染する等の問題が起こる。そのため、還元ガスを導入する場合は、基板の搬送のために仕切りバルブを開ける時には還元ガスの導入を停止すればよい。又、還元ガス等が導入されない場合も、基板から放出されるガスや熱伝導のために導入したガス等により、脱ガス処理用のチャンバーの真空度が低くなる。そのため、仕切りバルブの開閉時に搬送室を介して別チャンバーにガスが混入、汚染する等の問題が起こる。その場合、仕切りバルブ開閉時には別チャンバーとの仕切りバルブを開けないようにクラスターツール全体を制御すればよい。 Further, by introducing a reducing gas such as H 2 or a radical gas activated by a microwave discharge or the like into a chamber for degassing treatment, the oxide film on the surface of the Cu wiring can be reduced and removed. As a result, the reduction process performed in another chamber can be reduced. However, during the degassing process for a long time, the substrate 10 is transferred for each of the hot plates 210a to 210j, so that the partition valve with the transfer chamber is opened and closed. Accordingly, problems such as reduction gas such as H 2 flowing into the transfer chamber and lowering the degree of vacuum of the transfer chamber, or mixing of the reduction gas into another chamber through the transfer chamber and contaminating the other chamber occur. Therefore, when introducing the reducing gas, the introduction of the reducing gas may be stopped when the partition valve is opened for transporting the substrate. Even when no reducing gas or the like is introduced, the degree of vacuum in the degassing chamber is lowered by the gas released from the substrate or the gas introduced for heat conduction. Therefore, problems such as gas mixing into and contamination of another chamber through the transfer chamber occur when the partition valve is opened and closed. In that case, the entire cluster tool may be controlled so that the partition valve with another chamber is not opened when the partition valve is opened or closed.

図38では複数のホットプレート210a〜210jを上下に重ねて配置する半導体製造装置について説明した。他に、図39に示す半導体製造装置を、脱ガス処理の工程に適用することができる。図39に示した半導体製造装置は、複数のホットプレート211a〜211fを回転可能な支持体150b上に放射線状に設置した構造である。ホットプレート211a〜211fは、上下移動可能なリフトピン241を有する。ホットプレート211a〜211f上に、基板をそれぞれ配置できる。図39は、ホットプレート211a〜211b上に、基板10a、10bがそれぞれ配置されている例を示す。図39に示した半導体製造装置の動作例として、ホットプレート211に基板10cを配置する場合を以下に説明する。図示を省略した搬送室より搬送用ロボットハンド220aにより搬送された基板10cが、ホットプレート211cの上面から突出しているリフトピン241の先端上に配置される。その後、リフトピン241が下降し、基板10cがホットプレート211c上に配置される。   In FIG. 38, the semiconductor manufacturing apparatus in which a plurality of hot plates 210a to 210j are arranged one above the other has been described. In addition, the semiconductor manufacturing apparatus illustrated in FIG. 39 can be applied to a degassing process. The semiconductor manufacturing apparatus shown in FIG. 39 has a structure in which a plurality of hot plates 211a to 211f are installed in a radial pattern on a rotatable support 150b. The hot plates 211a to 211f have lift pins 241 that can move up and down. Substrates can be arranged on the hot plates 211a to 211f, respectively. FIG. 39 shows an example in which the substrates 10a and 10b are respectively arranged on the hot plates 211a to 211b. As an example of the operation of the semiconductor manufacturing apparatus shown in FIG. 39, a case where the substrate 10c is arranged on the hot plate 211 will be described below. The substrate 10c transferred from the transfer chamber (not shown) by the transfer robot hand 220a is placed on the tip of the lift pin 241 protruding from the upper surface of the hot plate 211c. Thereafter, the lift pins 241 are lowered and the substrate 10c is placed on the hot plate 211c.

その後、支持体150bを回転させて、順次ホットプレート211d〜211f上に基板を設置する。また、処理が終了した後は、上記に説明した方法と逆の動作を行って基板を搬出する。次に処理する基板がある場合は、基板が搬出されたホットプレート211a〜211f上に基板を配置することを繰り返せば、必要以上にホットプレート211a〜211fの数を増加させずに済む。また、ホットプレート211a〜211fをそれぞれ真空的に仕切る構造にすれば、ホットプレート211a〜211f上に配置されたそれぞれの基板の脱ガス処理中に発生する脱ガスによる、他の基板の汚染を避けることができ、且つ搬送室の脱ガスによる汚染を避けることができる。図39に示すように、スリットバルブ310を開閉することにより、搬送チャンバー300の脱ガスによる汚染を防ぐことができる。スリットバルブ310は、搬送チャンバー300から基板がホットプレート211a〜211fへ搬送される時に開き、脱ガス処理工程時に閉じる。   Thereafter, the support 150b is rotated to sequentially place the substrates on the hot plates 211d to 211f. Further, after the processing is completed, the substrate is unloaded by performing the reverse operation of the method described above. If there is a substrate to be processed next, it is not necessary to increase the number of hot plates 211a to 211f more than necessary by repeatedly arranging the substrates on the hot plates 211a to 211f from which the substrates have been unloaded. Further, if the hot plates 211a to 211f are each configured to be partitioned in vacuum, contamination of other substrates due to degassing generated during degassing processing of the respective substrates disposed on the hot plates 211a to 211f is avoided. And contamination due to degassing of the transfer chamber can be avoided. As shown in FIG. 39, by opening and closing the slit valve 310, contamination of the transfer chamber 300 due to degassing can be prevented. The slit valve 310 is opened when the substrate is transferred from the transfer chamber 300 to the hot plates 211a to 211f, and is closed during the degassing process.

又、ホットプレート211a〜211f間を真空的に仕切れば、一つのホットプレート上の基板に対して行う酸化物の還元処理のためにHガス等を導入した場合に、Hガス等による他のホットプレート上の基板や搬送室の汚染を避けることができる。図39に示した半導体製造装置は、図38(a)に示した半導体製造装置と同様に、クラスターツールに接続された1つのチャンバーとして使用可能である。又、図39では、ホットプレートの数が6である例を示したが、ホットプレートの数が6に限定されないのは勿論である。 Further, if the hot plates 211a to 211f are partitioned in a vacuum state, when H 2 gas or the like is introduced for the oxide reduction process performed on the substrate on one hot plate, the other is caused by H 2 gas or the like. Contamination of the substrate and the transfer chamber on the hot plate can be avoided. The semiconductor manufacturing apparatus shown in FIG. 39 can be used as one chamber connected to the cluster tool, similarly to the semiconductor manufacturing apparatus shown in FIG. FIG. 39 shows an example in which the number of hot plates is six, but the number of hot plates is not limited to six.

図38(a)及び図39では、複数のホットプレートを備える半導体製造装置の例を説明した。以下に、複数の基板を1つの加熱機構で加熱処理可能な半導体製造装置の例を説明する。図40(a)に示す半導体製造装置は、チャンバー100cと、複数の基板10をそれぞれ支える複数の石英ボード403が内部に配置された石英管400と、石英管400の周囲をそれぞれ管状に取り囲むヒーター401及び高周波印加用コイル402を備える。複数のホットプレートを用いた場合と異なり、図40(a)に示した半導体製造装置を使用する場合は、1つのヒーター401を用いて複数の基板を一括して脱ガス処理する。ただし、複数の基板を一括して処理する場合でも、複数のホットプレートを用いる場合と同様に、基板毎に搬送を行ってもよい。例えば、図40(b)に示すように、搬送用ロボットハンド220bを使用して、基板10の搬送を行うことができる。ただし、搬送用ロボットハンド220bを使用して基板10を搬送する場合には、図40(b)に示すように、石英管400が開口部を有し、石英管400の一部がヒーター401に囲まれない。このようにヒーター401に囲まれない部分がある場合、石英管400内の均熱性が低下する。そのため、基板10を回転させる等して、基板10の面内温度の均熱性を保つ工夫が必要になる。又、脱ガス処理時のガス圧力を数百Pa以上とするにより、基板10の面内温度の均一性を改善することができる。   38A and 39, an example of a semiconductor manufacturing apparatus provided with a plurality of hot plates has been described. Hereinafter, an example of a semiconductor manufacturing apparatus capable of heat-treating a plurality of substrates with one heating mechanism will be described. The semiconductor manufacturing apparatus shown in FIG. 40A includes a chamber 100c, a quartz tube 400 in which a plurality of quartz boards 403 that respectively support a plurality of substrates 10 are arranged, and a heater that surrounds the periphery of the quartz tube 400 in a tubular shape. 401 and a high frequency application coil 402 are provided. Unlike the case where a plurality of hot plates are used, when the semiconductor manufacturing apparatus shown in FIG. 40A is used, a plurality of substrates are collectively degassed using one heater 401. However, even when a plurality of substrates are processed in a lump, the substrate may be transferred for each substrate as in the case of using a plurality of hot plates. For example, as shown in FIG. 40B, the substrate 10 can be transferred using the transfer robot hand 220b. However, when the substrate 10 is transferred using the transfer robot hand 220b, the quartz tube 400 has an opening, and a part of the quartz tube 400 serves as the heater 401 as shown in FIG. Not surrounded. Thus, when there is a part which is not surrounded by the heater 401, the thermal uniformity in the quartz tube 400 is lowered. For this reason, it is necessary to devise a method for maintaining the temperature uniformity of the in-plane temperature of the substrate 10 by rotating the substrate 10 or the like. Moreover, the uniformity of the in-plane temperature of the substrate 10 can be improved by setting the gas pressure during the degassing process to several hundred Pa or more.

次に、ホットプレートやヒーターを用いる加熱方法以外の、脱ガス処理方法で、高効率に脱ガス処理を行うことが実行可能な半導体製造装置の例を説明する。以下では、μ波を利用して絶縁膜中に含まれる水分子を効率良く放出させる半導体製造装置を説明する。水分子は酸素原子1個に水素原子2個が結び付いた構造となっている。そして、酸素原子と水素原子が結び付く際に、水素原子中の電子が酸素原子方向に片寄るため、結合部付近の極性は、酸素原子はプラスに、水素原子はマイナスになる。この結果、水素原子側はプラス、酸素原子側はマイナスの極性をもちながら、水分子は全体として中性を保つ。一方、一般に電波はプラス方向とマイナス方向に交互に極性を変えながら(振動しながら)、空間を進んでいく。そのため、μ波が酸素原子と水素原子の結合部に当たるということは、プラス/マイナス両方向の電気的エネルギーが、結合部に交互に加わることを意味する。その時、まずプラス方向のエネルギーが水分子の結合部に加えられたとすると、それまでバラバラな方向で熱運動を行っていた水分子は、マイナスの極性をもった酸素原子がμ波の方向に引き寄せられて、一斉に方向を変える。次に、結合部にマイナス方向のエネルギーが加えられると、今度はプラスの極性をもった水素原子が引き寄せられるため、水分子は再び一斉に方向転換をする。以上に説明した水分子とμ波の特徴を生かして、水分子のみを絶縁膜から放出することができる。つまり、電波発振器から放出されるμ波を絶縁膜に当てると、絶縁膜中に含まれる水分子が、水分子の運動により加熱(誘電加熱)される。その結果、絶縁膜から水分子のみを放出することができる。なお、μ波照射は真空中で行うことが好ましい。更に、アーク放電の可能性を防止するため、金属膜が露出していない状態で行うことが好ましい。使用するμ波のパワー等のパラメータは、使われている絶縁膜に応じて選択される。   Next, an example of a semiconductor manufacturing apparatus capable of performing degassing processing with high efficiency by a degassing processing method other than a heating method using a hot plate or a heater will be described. In the following, a semiconductor manufacturing apparatus that efficiently releases water molecules contained in an insulating film using μ waves will be described. A water molecule has a structure in which two hydrogen atoms are bound to one oxygen atom. When the oxygen atom and the hydrogen atom are combined, the electrons in the hydrogen atom are displaced in the direction of the oxygen atom, so that the polarity near the bond is positive for the oxygen atom and negative for the hydrogen atom. As a result, the water molecule remains neutral as a whole, while having a positive polarity on the hydrogen atom side and a negative polarity on the oxygen atom side. On the other hand, in general, radio waves travel through space while changing polarity (vibrating) alternately in the positive and negative directions. Therefore, the fact that the μ wave hits the bonding portion between oxygen atoms and hydrogen atoms means that electrical energy in both positive and negative directions is alternately applied to the bonding portion. At that time, assuming that energy in the positive direction is first applied to the water molecule bond, water molecules that have been in thermal motion in different directions until then will attract oxygen atoms with negative polarity to the direction of the μ wave. And change direction all at once. Next, when energy in the negative direction is applied to the bond, hydrogen atoms having a positive polarity are attracted this time, so the water molecules turn all at once again. By taking advantage of the characteristics of water molecules and μ waves described above, only water molecules can be released from the insulating film. That is, when the μ wave emitted from the radio wave oscillator is applied to the insulating film, the water molecules contained in the insulating film are heated (dielectric heating) by the movement of the water molecules. As a result, only water molecules can be released from the insulating film. Note that the μ wave irradiation is preferably performed in a vacuum. Furthermore, in order to prevent the possibility of arc discharge, it is preferable to carry out in a state where the metal film is not exposed. Parameters such as the power of the μ wave to be used are selected according to the insulating film being used.

又、脱ガス処理を行うチャンバーは、脱ガスしたガス成分を含む副生成物がチャンバー内に付着してゴミの原因となったり、加熱処理時の温度コントロールが困難になるという問題がある。脱ガス処理時に絶縁膜から放出されるガスは、HO等の酸化種に加えて、RIE法を用いた工程で発生するC、F等を含むガスであることが多い。チャンバー内に付着した副生成物を洗浄するために、チャンバーを大気開放してメンテナンスすることは時間がかかり、生産性の低下となる。そのため酸素、水素を含むガスのプラズマ、あるいはラジカルを用いて除去すれば、チャンバーの洗浄を短時間で終了させることが可能である。すなわち、脱ガス処理を行うチャンバーには、クリーニング機構があることが望ましい。例えば、図40(a)に示すように、石英管400の外周に高周波電力を印加できる高周波印加用コイル402を設けてあれば、酸素や水素を導入しながら高周波電力を印加することにより、石英管400内にプラズマを発生させることができる。そのため、大気開放を伴うメンテナンスを行う必要がなくなる。 Further, the chamber for performing the degassing treatment has a problem that a by-product containing a degassed gas component adheres to the chamber and causes dust, or temperature control during the heat treatment becomes difficult. The gas released from the insulating film during the degassing treatment is often a gas containing C, F, etc. generated in the process using the RIE method in addition to the oxidizing species such as H 2 O. In order to clean the by-product adhered in the chamber, it takes time to perform maintenance by opening the chamber to the atmosphere, resulting in a decrease in productivity. Therefore, the chamber cleaning can be completed in a short time if the plasma is removed using a gas containing oxygen or hydrogen, or radicals. That is, it is desirable that the chamber for performing the degassing process has a cleaning mechanism. For example, as shown in FIG. 40A, if a high-frequency application coil 402 capable of applying high-frequency power is provided on the outer periphery of the quartz tube 400, quartz can be obtained by applying high-frequency power while introducing oxygen and hydrogen. Plasma can be generated in the tube 400. Therefore, it is not necessary to perform maintenance that involves opening to the atmosphere.

又、吸湿性の高い絶縁膜に対しては、蒸気圧が高い有機性溶液で絶縁膜中の吸着水を置換、乾燥させる方法も有効である。たとえば、メタノールやエタノール等を基板上に滴下して洗浄し、乾燥させることにより絶縁膜中に含まれる水分子が減少する。この後に脱ガス処理を行うことで、脱ガス処理の高効率化を実現できる。   For an insulating film with high hygroscopicity, it is also effective to replace the adsorbed water in the insulating film with an organic solution having a high vapor pressure and dry it. For example, water molecules contained in the insulating film are reduced by dropping methanol, ethanol, or the like onto the substrate, washing, and drying. By performing the degassing process after this, high efficiency of the degassing process can be realized.

以下に、効率的な表面改質方法として、EB照射及びUV光照射を行う方法を説明する。EB照射及びUV光照射を行うチャンバーは、基板搬送機構を備えた搬送室に接続され、EB照射及びUV光照射処理の前、或いは処理の後に、搬送室に接続された別のチャンバー内で真空連続的に別処理を行うことも可能である。例えば、EB照射及びUV光照射を行うチャンバー内で層間絶縁膜に吸着された吸着ガスの除去或いは低減を行った後、搬送室を介して基板を別チャンバー内に移動させて下層のCu配線表面の酸化膜の除去処理を行う。次いで、別チャンバー内でバリアメタル膜を形成し、更に別チャンバー内でCu膜を形成する連続プロセスが可能である。   Below, the method of performing EB irradiation and UV light irradiation as an efficient surface modification method is demonstrated. The chamber for performing EB irradiation and UV light irradiation is connected to a transfer chamber provided with a substrate transfer mechanism, and is vacuumed in another chamber connected to the transfer chamber before or after the EB irradiation and UV light irradiation processing. It is also possible to perform separate processing continuously. For example, after removing or reducing the adsorbed gas adsorbed on the interlayer insulating film in the chamber for EB irradiation and UV light irradiation, the substrate is moved into another chamber through the transfer chamber, and the surface of the lower Cu wiring The oxide film is removed. Next, a continuous process in which a barrier metal film is formed in another chamber and a Cu film is further formed in another chamber is possible.

図41(a)は、バリアメタル膜或いはCu膜を形成するチャンバーに真空連続で基板を搬送可能な、EB照射機能を備える半導体製造装置の構造断面を示している。図41(a)に示す半導体製造装置は、基板10が配置されるホットプレート210と、基板10にEBを照射する電子ビーム発生源500を備えるチャンバー100dからなる。   FIG. 41A shows a cross section of a structure of a semiconductor manufacturing apparatus having an EB irradiation function capable of continuously transferring a substrate to a chamber for forming a barrier metal film or a Cu film. The semiconductor manufacturing apparatus shown in FIG. 41A includes a hot plate 210 on which the substrate 10 is disposed, and a chamber 100d including an electron beam generation source 500 that irradiates the substrate 10 with EB.

図41(b)には、UV光照射が可能な半導体製造装置の例を示す。図41(b)に示す半導体製造装置は、基板10が配置されるホットプレート210を備えるチャンバー100dと、チャンバー100d上面に設けた光透過窓610を通して基板10にUV光を照射するUV光発生源600からなる。   FIG. 41 (b) shows an example of a semiconductor manufacturing apparatus capable of UV light irradiation. The semiconductor manufacturing apparatus shown in FIG. 41B is a UV light generation source that irradiates the substrate 10 with UV light through a chamber 100d including a hot plate 210 on which the substrate 10 is disposed, and a light transmission window 610 provided on the upper surface of the chamber 100d. 600.

第4の実施の形態で説明したように、絶縁膜の種類によって最表面原子の終端基は異なる。例えば、CH3基で終端されている場合は疎水性を示し、OH基で終端されていれば親水性を示す等の吸着性質の違いが生じる。そのため、バリアメタル形成前にEB照射、UV照射した場合、表面のCH3基などの除去が可能となる。これにより、バリアメタルとの結合が容易となり、密着性を改善することができる。また、重合が不完全な絶縁膜では重合を確実にすることができ、膜中より不要なガス成分を除去することができる。 As described in the fourth embodiment, the terminal group of the outermost surface atom varies depending on the type of insulating film. For example, when it is terminated with a CH 3 group, it exhibits hydrophobicity, and when it is terminated with an OH group, it exhibits a difference in adsorption properties such as hydrophilicity. Therefore, when EB irradiation or UV irradiation is performed before barrier metal formation, it is possible to remove CH 3 groups on the surface. Thereby, coupling | bonding with a barrier metal becomes easy and adhesiveness can be improved. Moreover, in an insulating film incompletely polymerized, polymerization can be ensured, and unnecessary gas components can be removed from the film.

図42(a)及び図42(b)は、EB照射、UV光照射等の表面改質処理や脱ガス処理を行った場合の工程フローを示している。EB照射、UV光照射工程は、絶縁膜のキュア工程と同様の工程である。そのため、EB照射、UV光照射工程を複数回行うと必要以上にキュア工程が進み、絶縁膜の誘電率が上がってしまう可能性がある。そのため、図42(a)に示すように、絶縁膜キュアに必要なエネルギーと照射時間を、絶縁膜を形成する工程の後とバリアメタル膜を形成する工程前に分割することが好ましい。或いは、図42(b)に示すように、絶縁膜のキュア工程をバリアメタル膜形成前の脱ガス処理の促進工程と兼ねるようにプロセスフローを変更すればよい。   FIG. 42A and FIG. 42B show a process flow when surface modification processing such as EB irradiation and UV light irradiation and degassing processing are performed. The EB irradiation and UV light irradiation processes are the same processes as the insulating film curing process. Therefore, if the EB irradiation and UV light irradiation processes are performed a plurality of times, the curing process may proceed more than necessary, and the dielectric constant of the insulating film may increase. Therefore, as shown in FIG. 42A, it is preferable to divide the energy and irradiation time required for the insulating film cure after the step of forming the insulating film and before the step of forming the barrier metal film. Alternatively, as shown in FIG. 42B, the process flow may be changed so that the curing process of the insulating film also serves as the acceleration process of the degassing process before forming the barrier metal film.

以上に説明したように、本発明の第6の実施の形態に係る半導体製造装置によれば、吸湿性の高い低誘電率絶縁膜の脱ガス処理の工程が長時間となる場合において、スループットの低下を抑制することができ、又、高効率な脱ガス処理を行うことが可能になる。
(その他の実施の形態)
上記のように、本発明は第1乃至第6の実施の形態によって記載したが、この開示の一部をなす論述及び図面はこの発明を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例及び運用技術が明らかとなろう。
As described above, according to the semiconductor manufacturing apparatus according to the sixth embodiment of the present invention, when the degassing process of the low dielectric constant insulating film having high hygroscopicity takes a long time, the throughput is improved. The reduction can be suppressed, and a highly efficient degassing process can be performed.
(Other embodiments)
As described above, the present invention has been described according to the first to sixth embodiments. However, it should not be understood that the description and drawings constituting a part of this disclosure limit the present invention. From this disclosure, various alternative embodiments, examples and operational techniques will be apparent to those skilled in the art.

例えば、既に述べた第1乃至第6の実施の形態の説明においては、TiとTi窒化物、Al及びAl酸化物を形成する場合を例に説明したが、以下の応用も当然可能である。例えば、配線層と絶縁膜の間の金属膜としては、タンタル(Ta)、タングステン(W)、ハフニウム(Hf)、亜鉛(Zn)、マグネシウム(Mg)、ジルコニウム(Zr)、バナジウム(V)等でも可能である。特に、IIa、IIIa、IVa、Va族金属で、Mg、Zr、Vなどが有望であることは実施の形態中でも述べた。   For example, in the description of the first to sixth embodiments already described, the case where Ti and Ti nitride, Al and Al oxide are formed has been described as an example, but the following applications are naturally possible. For example, as a metal film between the wiring layer and the insulating film, tantalum (Ta), tungsten (W), hafnium (Hf), zinc (Zn), magnesium (Mg), zirconium (Zr), vanadium (V), etc. But it is possible. In particular, it has been described in the embodiment that Mg, Zr, V, etc. are promising among IIa, IIIa, IVa, and Va group metals.

更に、第4、第5の実施の形態においては、原料ガスやその他導入ガスを変更すれば、シリコン(Si)、Ta、W、Hf、Zn、ルテニウム(Ru)等、あるいはそれらの酸化物、窒化物の成膜にも応用可能である。   Furthermore, in the fourth and fifth embodiments, if the source gas and other introduced gas are changed, silicon (Si), Ta, W, Hf, Zn, ruthenium (Ru), etc., or oxides thereof, It can also be applied to nitride film formation.

このように、本発明はここでは記載していない様々な実施の形態等を含むことは勿論である。したがって、本発明の技術的範囲は上記の説明から妥当な特許請求の範囲に係る発明特定事項によってのみ定められるものである。   As described above, the present invention naturally includes various embodiments not described herein. Therefore, the technical scope of the present invention is defined only by the invention specifying matters according to the scope of claims reasonable from the above description.

本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その1)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 1). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その2)。FIG. 6 is a process cross-sectional view for explaining the manufacturing method of the semiconductor device according to the first embodiment of the present invention (No. 2). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その3)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 3). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その4)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 4). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その5)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 5). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その6)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 6). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その7)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 7). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その8)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 8). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その9)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 9). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その10)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 10). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その11)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 11). 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための工程断面図である(その12)。It is process sectional drawing for demonstrating the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention (the 12). 本発明の第1の実施の形態に係る半導体装置の製造方法を行う半導体製造装置の例を示す模式図である。It is a schematic diagram which shows the example of the semiconductor manufacturing apparatus which performs the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention. 従来の半導体装置の製造方法により形成される酸化膜の構造を示す断面構造図である。It is a sectional view showing the structure of an oxide film formed by a conventional method for manufacturing a semiconductor device. 本発明の第1の実施の形態に係る半導体装置の製造方法により形成される酸化膜の構造を示す断面構造図である。1 is a cross-sectional structure diagram illustrating a structure of an oxide film formed by a method for manufacturing a semiconductor device according to a first embodiment of the present invention. 370℃、60分熱処理後のサンプルをX線回折法により解析した結果であり、図16(a)は従来技術を用いて製造されたサンプルの解析結果を示すグラフ、図16(b)はステージ温度25℃で第1の実施の形態に係る半導体装置の製造方法を用いて製造されたサンプルの解析結果を示すグラフ、図16(c)はステージ温度−20℃で第1の実施の形態に係る半導体装置の製造方法を用いて製造されたサンプルの解析結果を示すグラフ、図16(d)は解析に使用したサンプルの構造を示す模式図である。FIG. 16A shows a result of analyzing a sample after heat treatment at 370 ° C. for 60 minutes by an X-ray diffraction method. FIG. 16A is a graph showing an analysis result of a sample manufactured using a conventional technique, and FIG. FIG. 16C is a graph showing an analysis result of a sample manufactured using the method for manufacturing a semiconductor device according to the first embodiment at a temperature of 25 ° C. FIG. 16C shows the first embodiment at a stage temperature of −20 ° C. The graph which shows the analysis result of the sample manufactured using the manufacturing method of the semiconductor device which concerns, FIG.16 (d) is a schematic diagram which shows the structure of the sample used for the analysis. 第1の実施の形態に係る半導体装置の製造方法及び関連技術を用いてそれぞれ製造されたチタン酸化膜のEELS分析結果を示すグラフである。It is a graph which shows the EELS analysis result of the titanium oxide film each manufactured using the manufacturing method and related technique of the semiconductor device which concern on 1st Embodiment. 本発明の第1の実施の形態に係る半導体装置の製造方法を用いて製造される半導体装置の例を示す構造断面図である。1 is a structural cross-sectional view showing an example of a semiconductor device manufactured by using the method for manufacturing a semiconductor device according to the first embodiment of the present invention. 第1の実施の形態に係る半導体装置の製造方法を用いて製造された配線の二次イオン質量分析(SIMS)の結果を示す表である。It is a table | surface which shows the result of the secondary ion mass spectrometry (SIMS) of the wiring manufactured using the manufacturing method of the semiconductor device which concerns on 1st Embodiment. 第1の実施の形態に係る半導体装置の製造方法を用いて製造された配線のアニール前の抵抗率に対するアニール後の抵抗率の比を示すグラフである。It is a graph which shows the ratio of the resistivity after annealing with respect to the resistivity before annealing of the wiring manufactured using the manufacturing method of the semiconductor device which concerns on 1st Embodiment. Ti膜及びTiOx膜の分子密度を示すグラフである。It is a graph which shows the molecular density of a Ti film | membrane and a TiOx film | membrane. 本発明の第3の実施の形態に係る半導体装置の製造方法により形成されたCuシード膜の例を示す構造断面図であり、図22(a)はイオン化スパッタ法によるCuシード膜形成例、図22(b)はCVD法によるCuシード膜形成例(その1)、図22(c)はCVD法によるCuシード膜形成例(その2)である。FIG. 22A is a structural cross-sectional view showing an example of a Cu seed film formed by the method of manufacturing a semiconductor device according to the third embodiment of the present invention, and FIG. 22A is an example of forming a Cu seed film by ionization sputtering; 22B shows an example of Cu seed film formation by CVD (part 1), and FIG. 22C shows an example of Cu seed film formation by CVD (part 2). 本発明の第3の実施の形態の比較例(その1)に係る半導体装置の製造方法により形成される配線層の構造を示す断面構造図であり、図23(a)は加熱を伴う工程前の断面構造図、図23(b)は加熱を伴う工程後の断面構造図である。FIG. 23A is a cross-sectional structure diagram showing a structure of a wiring layer formed by a semiconductor device manufacturing method according to a comparative example (part 1) of the third embodiment of the present invention, and FIG. FIG. 23B is a cross-sectional structure diagram after the process involving heating. 本発明の第3の実施の形態の比較例(その2)に係る半導体装置の製造方法により形成される配線層の構造を示す他の断面構造図であり、図24(a)は加熱を伴う工程前の断面構造図、図24(b)は加熱を伴う工程後の断面構造図である。FIG. 24A is another cross-sectional structure diagram showing the structure of a wiring layer formed by the method of manufacturing a semiconductor device according to the comparative example (No. 2) of the third embodiment of the present invention. FIG. FIG. 24B is a cross-sectional structure diagram before the process, and FIG. 24B is a cross-sectional structure diagram after the process with heating. 本発明の第3の実施の形態に係る半導体装置の製造方法により形成される配線層の構造を示す断面構造図であり、図25(a)は加熱を伴う工程前の断面構造図、図25(b)は加熱を伴う工程後の断面構造図である。FIG. 25A is a cross-sectional structure diagram showing a structure of a wiring layer formed by a method for manufacturing a semiconductor device according to a third embodiment of the present invention, and FIG. 25A is a cross-sectional structure diagram before a process involving heating; FIG. 4B is a cross-sectional structure diagram after a process involving heating. 本発明の第4の実施の形態に係る半導体装置の製造方法を示す模式的なフロー図である。It is a typical flowchart which shows the manufacturing method of the semiconductor device which concerns on the 4th Embodiment of this invention. 本発明の第4の実施の形態に係る半導体装置の製造方法を説明する工程フロー図である(その1)。It is a process flow figure explaining the manufacturing method of the semiconductor device which concerns on the 4th Embodiment of this invention (the 1). 本発明の第4の実施の形態に係る半導体装置の製造方法を説明する工程フロー図である(その2)。It is a process flowchart explaining the manufacturing method of the semiconductor device which concerns on the 4th Embodiment of this invention (the 2). 本発明の第4の実施の形態に係る半導体装置の製造方法を説明する工程フロー図である(その3)。It is a process flow figure explaining the manufacturing method of the semiconductor device concerning a 4th embodiment of the present invention (the 3). 本発明の第4の実施の形態に係る半導体装置の製造方法を説明する工程フロー図である(その4)。It is a process flow figure explaining the manufacturing method of the semiconductor device concerning a 4th embodiment of the present invention (the 4). 本発明の第4の実施の形態に係る半導体装置の製造方法の効果を説明するための模式図である。It is a schematic diagram for demonstrating the effect of the manufacturing method of the semiconductor device which concerns on the 4th Embodiment of this invention. 従来の半導体装置の製造方法を示す模式的なフロー図である。It is a typical flowchart which shows the manufacturing method of the conventional semiconductor device. 従来の半導体装置の製造方法により形成される酸化膜の構造を示す断面構造図である。It is a sectional view showing the structure of an oxide film formed by a conventional method for manufacturing a semiconductor device. 本発明の第4の実施の形態に係る半導体装置の製造方法により形成される酸化膜の構造を示す断面構造図である。It is sectional structure drawing which shows the structure of the oxide film formed by the manufacturing method of the semiconductor device which concerns on the 4th Embodiment of this invention. 本発明の第5の実施の形態に係る半導体装置の製造方法を説明する工程フロー図である。It is a process flow figure explaining a manufacturing method of a semiconductor device concerning a 5th embodiment of the present invention. 従来の半導体装置の製造方法により形成されるAl酸化膜の構造を示す断面構造図である。It is sectional drawing which shows the structure of the Al oxide film formed by the manufacturing method of the conventional semiconductor device. 本発明の第5の実施の形態に係る半導体装置の製造方法を用いて形成されるAl酸化膜の構造を示す断面構造図である。It is a cross-section figure showing the structure of the Al oxide film formed using the manufacturing method of the semiconductor device concerning a 5th embodiment of the present invention. 本発明の第6の実施の形態に係る半導体製造装置の構造を示す模式図であり、図38(a)は断面図であり、図38(b)は上面図である。It is a schematic diagram which shows the structure of the semiconductor manufacturing apparatus based on the 6th Embodiment of this invention, Fig.38 (a) is sectional drawing, FIG.38 (b) is a top view. 本発明の第6の実施の形態に係る半導体製造装置の他の構造を示す模式図である。It is a schematic diagram which shows the other structure of the semiconductor manufacturing apparatus based on the 6th Embodiment of this invention. 本発明の第6の実施の形態に係る半導体製造装置の更に他の構造を示す模式図であり、図40(a)は断面構造図であり、図40(b)は上面図である。FIG. 40 is a schematic view showing still another structure of the semiconductor manufacturing apparatus according to the sixth embodiment of the present invention, FIG. 40 (a) is a sectional view, and FIG. 40 (b) is a top view. 本発明の第6の実施の形態に係る半導体製造装置の構造を示す模式図であり、図41(a)はEB照射を行う半導体製造装置の模式図、図41(b)はUV光照射を行う半導体製造装置の模式図である。FIG. 41A is a schematic diagram illustrating a structure of a semiconductor manufacturing apparatus according to a sixth embodiment of the present invention, FIG. 41A is a schematic diagram of a semiconductor manufacturing apparatus that performs EB irradiation, and FIG. 41B is a UV light irradiation. It is a schematic diagram of the semiconductor manufacturing apparatus to perform. 図41に示した半導体製造装置を使用する絶縁膜のキュア工程を説明するための工程フロー図であり、図42(a)はキュア工程を2回に分割する場合の工程フロー図、図42(b)はキュア工程を1回で行う場合の工程フロー図である。FIG. 42 is a process flow diagram for explaining a curing process of an insulating film using the semiconductor manufacturing apparatus shown in FIG. 41, and FIG. 42 (a) is a process flowchart in the case where the curing process is divided into two, and FIG. b) is a process flow diagram when the curing process is performed once.

符号の説明Explanation of symbols

10…基板
20…絶縁膜
30…金属膜
35…チタン酸化膜
36…タンタル膜
50…層間絶縁膜
70…第2配線層
71…第2のCuシード膜
200…凹部
DESCRIPTION OF SYMBOLS 10 ... Substrate 20 ... Insulating film 30 ... Metal film 35 ... Titanium oxide film 36 ... Tantalum film 50 ... Interlayer insulating film 70 ... Second wiring layer 71 ... Second Cu seed film 200 ... Recess

Claims (4)

第1の基板温度で、表面に凹部が形成された絶縁膜中及び該絶縁膜表面の酸化種を一部残存するように放出させる工程と、
前記酸化種を放出させる工程と真空連続で、前記第1の基板温度より低い、前記絶縁膜中から酸化種が放出されない第2の基板温度で、前記絶縁膜上に金属からなるバリアメタル膜を形成する工程と、
前記バリアメタル膜上にCu配線金属膜を形成する工程と、
前記バリアメタル膜を形成後、前記絶縁膜中に残存させた酸化種によって、前記バリアメタル膜の酸素濃度が、前記絶縁膜側が高く前記Cu配線金属膜側が低い濃度勾配となるように、前記バリアメタル膜を酸化させる工程とを含み、
前記バリアメタル膜を酸化させる工程は、前記バリアメタル膜を前記第1の基板温度より高い温度で加熱する工程を含むことを特徴とする半導体装置の製造方法。
A step of releasing at a first substrate temperature so as to leave a part of the oxidized species in the insulating film having a recess formed on the surface and the surface of the insulating film;
A barrier metal film made of metal is formed on the insulating film at a second substrate temperature that is lower than the first substrate temperature and does not release oxidizing species from the insulating film in a continuous vacuum with the step of releasing the oxidizing species. Forming, and
Forming a Cu wiring metal film on the barrier metal film;
After formation of the barrier metal film, by the oxidation species is left in the insulating film, so that the oxygen concentration of the barrier metal film, the insulating film side becomes higher the Cu wiring metal film side lower concentration gradient, the barrier A step of oxidizing the metal film ,
The method of manufacturing a semiconductor device , wherein the step of oxidizing the barrier metal film includes a step of heating the barrier metal film at a temperature higher than the first substrate temperature .
前記金属からなるバリアメタル膜と前記Cu配線金属膜との界面に、前記金属とCuとの化合物を形成する工程を含むことを特徴とする請求項1に記載の半導体装置の製造方法。2. The method of manufacturing a semiconductor device according to claim 1, further comprising a step of forming a compound of the metal and Cu at an interface between the barrier metal film made of the metal and the Cu wiring metal film. 前記バリアメタル膜上に前記Cu配線金属膜を形成する工程は、前記バリアメタル膜上に、前記Cu配線金属膜を、少なくとも前記凹部の一部が埋め込まれていない状態になるように形成する工程を含み、
前記バリアメタル膜を前記第1の基板温度より高い温度で加熱する工程は、前記状態で基板を加熱する工程を含む
ことを特徴とする請求項に記載の半導体装置の製造方法。
The step of forming the Cu interconnect metal film on the barrier metal film, on the barrier metal film, the step of forming the Cu interconnect metal film, so that the state in which no part of at least the recess is filled Including
Said barrier process of heating metal film using the first temperature higher than the temperature of the substrate, method of manufacturing a semiconductor device according to claim 1, characterized in that it comprises the step of heating the substrate in the state.
前記バリアメタル膜は、Tiからなることを特徴とする請求項1乃至3のいずれか1項に記載の半導体装置の製造方法。The method for manufacturing a semiconductor device according to claim 1, wherein the barrier metal film is made of Ti.
JP2006009978A 2005-01-21 2006-01-18 Manufacturing method of semiconductor device Active JP4473824B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006009978A JP4473824B2 (en) 2005-01-21 2006-01-18 Manufacturing method of semiconductor device
CN2008101256289A CN101350340B (en) 2005-01-21 2006-01-23 Semiconductor device and manufacture method of the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005014453 2005-01-21
JP2006009978A JP4473824B2 (en) 2005-01-21 2006-01-18 Manufacturing method of semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009212140A Division JP4676015B2 (en) 2005-01-21 2009-09-14 Semiconductor device

Publications (2)

Publication Number Publication Date
JP2006229207A JP2006229207A (en) 2006-08-31
JP4473824B2 true JP4473824B2 (en) 2010-06-02

Family

ID=36990236

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006009978A Active JP4473824B2 (en) 2005-01-21 2006-01-18 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4473824B2 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4498391B2 (en) * 2006-07-21 2010-07-07 株式会社東芝 Manufacturing method of semiconductor device
JP5154789B2 (en) * 2006-12-21 2013-02-27 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
JP2008258311A (en) * 2007-04-03 2008-10-23 Denso Corp Semiconductor device and method of forming interconnection or electrode of semiconductor device
JP5213013B2 (en) * 2007-07-04 2013-06-19 次世代半導体材料技術研究組合 Semiconductor device
US8278205B2 (en) * 2008-03-12 2012-10-02 Tokyo Electron Limited Semiconductor device and method for manufacturing the same
JP5380901B2 (en) 2008-05-12 2014-01-08 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP5582727B2 (en) * 2009-01-19 2014-09-03 株式会社東芝 Semiconductor device manufacturing method and semiconductor device
JP2011233691A (en) * 2010-04-27 2011-11-17 Kyocera Corp Wiring substrate and mounting structure thereof
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic deposit where the first and second starting species are present at the same time
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
JP6663400B2 (en) * 2017-09-11 2020-03-11 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program

Also Published As

Publication number Publication date
JP2006229207A (en) 2006-08-31

Similar Documents

Publication Publication Date Title
JP4676015B2 (en) Semiconductor device
JP4473824B2 (en) Manufacturing method of semiconductor device
JP5674669B2 (en) Method for forming a ruthenium metal cap layer
KR101178650B1 (en) Semiconductor device manufacturing method, semiconductor device, electronic device, semiconductor manufacturing apparatus and storage medium
US8242015B2 (en) Film forming method and film forming apparatus
JP5522979B2 (en) Film forming method and processing system
KR101739613B1 (en) Method for forming copper wiring
TW201043721A (en) Method for forming cvd-ru film and method for manufacturing semiconductor devices
KR101662369B1 (en) METHOD FOR FORMING Cu WIRING AND STORAGE MEDIUM
JP2014236192A (en) Formation method of manganese oxide film
KR20150022711A (en) Copper wiring structure forming method
JP4931171B2 (en) Method for forming tantalum nitride film
KR20170026165A (en) Semiconductor device manufacturing method and storage medium
KR20180117575A (en) Cu WIRING MANUFACTURING METHOD AND Cu WIRING MANUFACTURING SYSTEM
US20020157610A1 (en) Method for forming a copper thin film
JP2006024668A (en) Process for fabricating semiconductor device
JP2006147895A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090714

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090914

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100305

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

R151 Written notification of patent or utility model registration

Ref document number: 4473824

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140312

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350