JP4364438B2 - Plasma process for depositing silicon nitride with high film quality and low hydrogen content - Google Patents

Plasma process for depositing silicon nitride with high film quality and low hydrogen content Download PDF

Info

Publication number
JP4364438B2
JP4364438B2 JP2000559586A JP2000559586A JP4364438B2 JP 4364438 B2 JP4364438 B2 JP 4364438B2 JP 2000559586 A JP2000559586 A JP 2000559586A JP 2000559586 A JP2000559586 A JP 2000559586A JP 4364438 B2 JP4364438 B2 JP 4364438B2
Authority
JP
Japan
Prior art keywords
process gas
sccm
maintaining
flow rate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000559586A
Other languages
Japanese (ja)
Other versions
JP2002520849A (en
Inventor
ジュディ フアン,
ワイ−ファン ヨー,
デイヴィッド チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002520849A publication Critical patent/JP2002520849A/en
Application granted granted Critical
Publication of JP4364438B2 publication Critical patent/JP4364438B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、低水素含有量および高品質を有する窒化ケイ素膜を製造するための方法および装置に関する。
【0002】
【従来の技術】
窒化物膜は、集積回路において絶縁膜およびパッシベーション(保護)膜として採用され、窒化ケイ素(Si34)膜および酸窒化ケイ素(SiON)膜を含む誘電体の膜である。窒化物膜を形成する方法のひとつは、比較的高温(例えば、700℃以上)での熱化学的気相堆積法(つまり、熱CVD)によるものである。低圧(LP)技術が高温の熱CVDプロセスと共に採用される場合、結果として生じる窒化物膜は、低圧(LP)窒化物膜またはLPCVD窒化物膜と称される。LP窒化物膜は、通常、比較的良好な膜均一性を呈する。
【0003】
LP窒化物膜は、通例、金属コンタクトの形成に引続いては堆積されない。というのは、そのような構造の製造で使用される金属の融点が比較的低いからである(例えば、アルミニウム、アルミニウム合金、またはその他の低融点金属)。従って、引続くステップでのプロセス温度は、金属コンタクトへの損傷を回避するために、約450℃に制限される。加えて、LP窒化物膜の堆積に関連する高温は、タングステンシリサイド構造の劣化、接合部を基板内へ過度に深く押しやること、およびチャンバ汚染を含め、他の問題を引起す可能性がある。
【0004】
不幸にして、上記で示したように、LPCVD窒化物膜を堆積するために使用される高温は、比較的低い割当て熱量を有するプロセス内へ統合することを複雑にすることがある。LPCVD窒化物膜の使用では他の問題も存在する。例えば、そのような膜は、圧縮応力でなく引張応力を呈し、劣悪な膜品質へ導く(例えば、クラック等)。そのような膜は、その製造に必要とされる処理時間を増やすので、一連のプロセス内へ統合することも困難である。これは、それらが2−ステップ・プロセスを使用して堆積され、かつ、それらの堆積率が比較的低いからである。また、そのような膜は、比較的大量の残渣をチャンバ内表面上に堆積し、他の場合に必要とされるよりも頻繁なチャンバ洗浄を余儀なくする。
【0005】
これらの問題を解決するために、プラズマ強化CVD(PECVD)プロセスが、窒化ケイ素保護膜を比較的低温で堆積するために採用されてきた。窒化ケイ素を堆積するための典型的なPECVDガスフロー化学薬品は、シランとアンモニアの反応剤を、希釈剤、例えば、窒素、アルゴン、または、ヘリウムと共に含み次の式で与えられる:
SiH4 + NH3 + N2(希釈剤) = Sixyz
この化学薬品を使用する窒化物膜の低温PECVD堆積に伴なう問題のひとつは、Si−HおよびN−H結合を原因として、大量の水素が膜内に取り込まれることである。結果として得られるPECVD窒化物膜の水素含有量が高い理由のひとつは、各アンモニア分子における3個の水素原子の存在である。
【0006】
大量の水素取り込みは、そのような高濃度が回路特性に及ぼす可能性のある不利な物理的効果ゆえに問題である。例えば、窒化物の金属間誘電体(IMD)層中の水素含有は、配線の高い静電容量をもたらし、回路の最高動作周波数を低下させる。その上、水素は化学的に活性であり、急速に拡散する。従って、窒化物IMD膜中の水素は、窒化物IMD膜によって絶縁された金属配線と接触して反応でき、配線を損傷し、デバイス不良の可能性を高める。
【0007】
高品質の窒化ケイ素膜を堆積するためのPECVDシステムは、米国特許第4,854,263号(「'263特許」)に開示されており、それは、本出願の譲受人へ譲渡されており、その全体は全ての目的のために引用して本明細書に組込まれる。その特許では、平行プレートのRF真空チャンバが、複数の開口部を有するガス取入口マニホールドプレートを有し、各開口部は、プレートのチャンバ側つまりプロセス側に取出口を、プレートのガス分配側上に取入口を含む。その特許の図6に示されるように、取出口は取入口より大きく、プロセスガスの解離と反応性を助長する。
【0008】
'263特許に開示されたRF真空チャンバを使用するPECVDシステムは、アンモニアを含まない窒素化学薬品を使用して窒化ケイ素膜を形成することに有用である。これは、開示のガス取入口マニホールドが窒素の解離を助長し、比較的高い堆積率での窒化ケイ素の堆積を容易にするからである。'263特許では、PECVD窒化物膜プロセスは、約300〜360℃の基板温度で行われ、'263特許に記載された膜の水素含有量は、7〜10の間の原子パーセント(at%)であった。従って、プロセスガスでアンモニアを排除することによって、結果としての窒化ケイ素膜中の水素濃度は低減された。
【0009】
しかし、PECVD窒化物膜は、一定の用途(例えば、ゲートスペーサまたはエッチストップ層として)では、所望以下と立証される一定の品質を持つ。例えば、PECVD窒化物膜は、圧縮応力でなく引張応力を呈する傾向にあり、その応力は膜が堆積される基板の端部付近でクラックを導くことがある。また、PECVD窒化物膜は、幾つかのPECVD窒化物膜により呈示されたサイドウォールカバレッジの悪さによって証明されたように、劣悪な膜品質がその欠点である。加えて、既知のPECVD窒化物膜のエッチング選択性は比較的低い。例えば、PECVDプロセスを使用して堆積されたひとつの窒化物膜のエッチング選択性は、略80以下である。従って、LPCVD窒化物膜が、一般的にこれらの用途で利用される。
【0010】
従って、この技術で、比較的低い割当て熱量を使用する窒化物層の堆積プロセスに対するニーズがある。その上、そのような膜は、低水素含有量、良好な膜品質、酸化物に対する比較的高いエッチング選択性、および最小限のクラックを呈示しなくてはならない。最終的に、そのような膜を堆積するためのプロセスは、一連のプロセス内へ容易に統合すべきである。
【0011】
【課題を解決するための手段】
本発明は、低水素含有量および高品質を有する窒化ケイ素膜を製造するための方法および装置を提供する。本発明は、そのような膜の製造で比較的低い割当て熱量しか必要としない。本明細書で使用される場合、品質は、ステップガバレージ、サイドウォールカバレッジ、酸化物に対するエッチング選択性、耐湿性、および耐クラック性、の組合せとして決定される。詳細には、窒化物膜の水素含有量およびエッチング選択性は、そのような膜のステップガバレージおよびサイドウォールカバレッジと同様に、LP窒化物のそれらに匹敵する。そのような膜は、膜の圧縮応力を整調できるために良好な耐クラック性と共に良好な耐湿性も提供する。本発明のプロセスは、単一ステップで遂行され比較的高い堆積率を提供するので、例えば、LPCVD窒化物プロセスよりも容易に一連のプロセス内へ統合される。
【0012】
本発明の膜は、従来のPECVD窒化ケイ素プロセスと比較して、比較的高温で堆積される(そのため、この膜は、本明細書で「高温窒化物膜」または「高温窒化物層」と称することがある)。ひとつの実施の形態では、本発明による高温窒化物膜は、約400℃と約600℃との間のプロセス温度で、シラン、窒素、および幾つかの実施の形態では、アンモニアを含むプロセスガスを使用して、堆積される。好ましくは、そのような膜は、約500℃と約575℃との間のプロセス温度で堆積される。本発明の別の態様では、ヘリウム前駆体ガスもプロセスガスに含まれる。
【0013】
本発明の別の態様によると、ステップカバレッジ、水素含有量、酸化物に対するエッチング選択性、および、結果としての膜の他の性質は、圧力および電力等のプロセスパラメータを変化させることによって制御される。本発明の実施の形態は、本発明により堆積される高温窒化物膜を使用して製造される半導体デバイスを含む。例えば、そのような高温窒化物膜は、ポリシリコンゲートスペーサ、浅い分離トレンチ、またはプリメタル(premetal)誘電体層等の構造の製造に、または、エッチストップ層の製造に採用されてもよい。
【0014】
本発明の更なる態様では、シランガスの流量は、10sccmと約500sccmとの範囲、好ましくは、約20sccmと約100sccmとの間であるように制御され;窒素の流量は、約100sccmと約5000sccmとの範囲、好ましくは、約2000sccmと約5000sccmとの間であるように制御され;使用時のアンモニア流量は、約0sccmと約1000sccmとの間、好ましくは、約10sccmと約100sccmとの間であるように制御される。プロセス圧力は、約2Torrと約8Torrとの間、好ましくは、約5Torrと約7Torrとの間に維持される。
【0015】
本発明の他の特徴および利点は、以下の詳細な説明および添付の図面から明白になるであろう。
【0016】
【発明の実施の形態】
I. 実施例のCVDシステム
本発明の特定の実施形態は、様々な化学的気相堆積法(CVD)、または、他の形式の基板処理システムを使用して堆積される。本発明の方法が行われる適当なCVD機のひとつを図1Aおよび図1Bに示す。これらの図は、チャンバ壁15aおよびチャンバ蓋アセンブリ15bを含む真空つまり処理のチャンバ15を有する、化学的気相堆積システム10の縦断面図である。チャンバ壁15aおよびチャンバ蓋アセンブリ15bは、分解斜視図で図1Cおよび図1Dに示す。
【0017】
CVDシステム10は、処理チャンバ内の中心に位置する抵抗で加熱されるペデスタル12上に載置される基板(図示しない)へプロセスガスを分散するためのガス分配マニホールド11を含み、ペデスタルは、例えば、ヒータ基部(図1A、図1B、および図1Cにペデスタル12の一部として示す)によって支持される。ガス分配マニホールド11とペデスタル12との間の容積は、本明細書で堆積帯域と称する。この容積の一部分もこの様に称する。プロセス中、基板(例えば、半導体基板)は、ペデスタル12の平坦な(または、僅かに凸状の)表面12a上に位置決めされる。本発明で採用される高温を考慮して、好ましくはセラミック材料で製造されるペデスタル12は、(図1Aに図示する)下方のローディング/アンローディング位置とマニホールド11に接近して隣接する(図1Aに破線14で指示し、図1Bに示す)上方のプロセス位置との間で制御可能に移動され得る。中心ボード(図示しない)は、基板の位置についての情報を提供するためのセンサを含む。堆積ガスおよび搬送ガスは、ガス分配前面プレート13aの貫通孔13b(図1D)を通ってチャンバ15へ流入する。好ましくは、'263に開示された設計の前面プレートが、そこを通過するプロセスガスの解離を増進し、それで本発明のプロセス化学薬品を使用する窒化ケイ素膜の堆積を改善するために、採用される。より詳細には、堆積プロセスガスは、取入口マニホールド11を通って、従来の貫通孔のブロッカープレート42を通って、次にガス分配前面プレート13aの孔13bを通ってチャンバへ流入する(図1Bに矢印40で指示)。
【0018】
マニホールドに到達する前に、堆積ガスおよび搬送ガスは、ガスソース7からガス供給導管8(図1B)を通ってガス混合ブロックつまりシステム9へ入力され、ここで、ガスは組合されてマニホールド11へ送られる。幾つかの場合には、堆積ガスおよび搬送ガスを、ガス混合システム9を迂回して、供給導管8からマニホールド11へ直接向けることも可能であり、それが望ましい。他の場合には、ガス導管8のいずれも、ガス混合システム9を迂回し、(図示しない)の通路を介してチャンバ12の底部にガスを流入してもよい。
【0019】
一般的に、各プロセスガス用の供給導管は、(i)チャンバへのプロセスガスの流入を自動的にまたは手動で遮断するために使用される数個の安全遮断弁(図示しない)、および(ii)供給導管を通るガスの流量を測定する質量流量コントローラ(MFC)(これも図示しない)を含む。有毒ガスがプロセスで使用される場合、数個の安全遮断弁が、従来の構成で各ガス供給導管に位置決めされる。
【0020】
CVDシステム10で遂行される堆積プロセスは、熱プロセスまたはプラズマ強化プロセスのいずれでもあってもよい。プラズマ強化プロセスでは、RF電源44が、ガス分配前面プレート13aとペデスタル12との間に電力を印加し、混合プロセスガスを励起して、前面プレート13aとペデスタル12との間の円筒形区域内にプラズマを形成する。プラズマの成分が反応し、ペデスタル12上に支持された半導体基板の表面上に所望の膜を堆積する。RF電源44は、普通には、13.56MHzの高RF周波数(RF1)および360kHzの低RF周波数(RF2)で電力を供給する混合周波数RF電源であってもよく、真空チャンバ15へ導入された反応性核種の分解を強化する。勿論、RF電源44は、単一または混合いずれかの周波数のRF電力(または、他の所望の変形)をマニホールド11へ供給し、チャンバ15へ導入された反応性核種の分解を強化することができる。熱プロセスでは、RF電源44は利用されず、混合プロセスガスは熱的に反応し、反応に必要とされる熱エネルギーを供給するために抵抗で加熱される、ペデスタル12上に支持された半導体基板の表面上に所望の膜を堆積する。
【0021】
熱的堆積プロセス中に、ペデスタル12は加熱され、CVDシステム10を加熱させる。先に記載された形式の高温壁システムでは、高温の液体がチャンバ壁15aを通って循環でき、プラズマが点火されない際に、または熱的堆積プロセス中にチャンバ壁15aを高められた温度に維持する。チャンバ壁15aを加熱するために使用される流体は、普通の流体種類(すなわち、水性のエチレングリコール、または油性の熱移送流体)を含む。この加熱は、望ましくない反応生成物の凝結を有益に低減または排除し、そうでなければ冷たい真空通路の壁上に凝結し、ガス流入のない期間中に処理チャンバ内へ泳動し戻ることのあるプロセスガスの揮発性生成物および汚染の排除を改善する。冷壁システムでは、チャンバ壁15aは加熱されない。これは、例えば、プラズマ強化堆積プロセス中になされることがある。そのようなプロセスでは、プラズマが、排出通路23および遮断弁24を取巻くチャンバ壁15aを含むチャンバ15を加熱する。しかし、プラズマは全てのチャンバ表面へ等しく近接する見込みはないので、先に述べたように、表面温度のバラツキが発生することがある。
【0022】
層に堆積されない混合ガスの残部は、反応生成物を含み、真空ポンプ(図示しない)によってチャンバから排気される。詳細には、ガスは、反応区域を取巻く環状スロット16を通って環状排出プレナム17内へ排出される。環状スロット16およびプレナム17は、チャンバ壁15a(上方の誘電体ライニング19を含む)の上部と円形のチャンバ蓋20の底部との間の間隙によって画成される。環状スロット16およびプレナム17の360°の円対称性および均一性は、基板上に均一膜を堆積するように基板の上にプロセスガスの均一流動を達成するために重要である。ガスは、排出プレナム17の横方向延長部分21の下へ、観察ポート(図示しない)を通過し、下方向に延在するガス通路23を通って、真空遮断弁24(その本体はチャンバ壁15aの下方部分と一体にされる)を通過し、フォアライン(図示しない)を通って外部真空ポンプへ接続される排出取出口25内へ流れる。
【0023】
抵抗加熱されるペデスタル12の基板支持プラッタは、同心円形状に完全2巻きを作成するよう構成された、埋込の単一ループ加熱素子を使用して加熱される。加熱素子の外側部分は支持プラッタの周辺に隣接して延びる一方、内側部分は小径を有する同心円の経路上に延びる。加熱素子への配線は、ペデスタル12のステムを通過する。普通には、ペデスタル12は、アルミニウム、セラミック、または何らかのその組合せを含む材料で作成される。しかし、高温用途では、高温材料(例えば、ある種のセラミック材料)が、アルミニウムで製造されたペデスタルで起こることのあるような、採用される高温によるペデスタル12の融解の可能性を回避するために使用されるべきである。
【0024】
普通には、チャンバーライニング、ガス取入口マニホールド前面プレート、および種々の他の処理チャンバにおけるハードウエアのいずれかまたは全てが、アルミニウム、陽極酸化アルミニウム、またはセラミック材料で作成される。繰返すと、高温材料(例えば、ある種のセラミック材料)が、採用される高温によるペデスタル12(または、高温に維持される他のチャンバ構成要素)の融解の可能性を回避するために使用されるべきである。
【0025】
昇降機構およびモータ32(図1A)は、基板がロボットブレード(図示しない)によってチャンバ10の側面部での挿入/取出し開口部26を通ってチャンバの本体内へおよびそこから移送される際に、ペデスタル12およびその基板昇降ピン12bを上昇ならびに下降させる。モータ32は、ペデスタル12をプロセス位置14と下方の基板ローディング位置との間で上昇ならびに下降させる。モータ32、ガス配送システムの種々の弁とMFC、およびCVDシステム10の他の構成要素は、その幾つかだけを示す制御線36上でシステムコントローラ34(図1B)によって制御される。コントローラ34は、光センサからのフィードバックに依存して、コントローラ34によって制御される適切なモータによって移動されるスロットル弁およびペデスタル等の、移動可能な機械的アセンブリの位置を決定する。
【0026】
好ましい実施の形態では、システムコントローラ34は、ハードディスクドライブ(メモリ38)、フロッピーディスクドライブ(図示しない)、およびプロセッサ37を含む。プロセッサ37は、単一ボードコンピュータ(SBC)、アナログおよびデジタルの入/出力ボード、インタフェースボード、およびステッパモータコントローラボードを含有する。CVDシステム10の種々の部品は、ボード、カードケージ、およびコネクタの寸法と形式、を定義する Versa Modular European(VME)標準に準拠する。VME標準は、バス構造も16ビットのデータバスおよび24ビットのアドレスバスを有するとして定義する。
【0027】
システムコントローラ34は、CVDシステム10の全ての活動を制御する。システムコントローラ34は、メモリ38等のコンピュータ可読媒体内に格納されたコンピュータプログラムである、システム制御ソフトウエアを実行する。好ましくは、メモリ38はハードディスクドライブであるが、しかし、メモリ38は他の種類のメモリであってもよい。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、ペデスタルの位置、および特定プロセスの他のパラメータを指図する何セットもの命令を含む。例えば、フロッピディスクまたは他の別に適切なドライブを含む、他のメモリ装置に格納された他のコンピュータプログラムも、システムコントローラ34を操作するために使用できる。
【0028】
ユーザとコントローラ34との間のインタフェースは、図1Eに示す、CRTモニタ50aおよび光ペン50bを介し、図1Eは、ひとつ以上のチャンバを含むことができる基板処理システムでのシステムモニタおよびCVDシステム10の単純化図である。好ましい実施の形態では、2つのCRTモニタ50aが使用され、ひとつはオペレータ用にクリーンルーム壁に、もう一方はサービス技術者用に壁の裏に搭載される。CRTモニタ50aは同時に同じ情報を表示するが、ひとつの光ペン50bだけが有効にされる。光ペン50bの先端での光センサがCRTモニタ50aによって発せられる光を検出する。特定の画面つまりファンクションを選択するために、オペレータは、ディスプレイ画面の指定の領域に触れ、ペン50b上のボタンを押す。触れられた領域はそのハイライト色を変え、さもなければ、新規のメニューつまり画面が表示され、光ペンとディスプレイ画面との間の通信を確認する。キーボード、マウス、または他のポインティングつまり通信装置等の、他の装置が光ペン50bの代りに、またはそれに加えて使用でき、ユーザがシステムコントローラ34と通信することを可能にする。
【0029】
膜を堆積するためのプロセスは、システムコントローラ34によって実行されるコンピュータプログラム製品を使用して実施される。コンピュータプログラムコードは、従来のいずれかのコンピュータの可読プログラミング言語:例えば、68000アセンブリ言語、C、C++、Pascal, Fortran, その他、で書くことができる。適当なプログラムコードは、従来のテキストエディタを使用して単一ファイルまたは複数ファイルに入力され、コンピュータのメモリシステムのような、コンピュータの使用可能な媒体に格納または埋め込まれる。入力されたコードテキストが高水準言語である場合、コードはコンパイルされ、次に、結果として生じるコンパイラコードは、コンパイル前の Windows のライブラリルーチンのオブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコードを実行するために、システムユーザはオブジェクトコードを発動し、コンピュータシステムにコードをメモリ内にロードさせる。次に、CPUは、コードを読み実行し、プログラムで識別されたタスクを遂行する。
【0030】
図1Fは、特定の実施の形態による、システム制御ソフトウエアであるコンピュータプログラム70の階層的制御構造の例示ブロック図である。光ペンインタフェースを使用して、ユーザは、CRTモニタ50a上に表示されたメニューつまり画面に応答してプロセス選択用サブルーチン73へプロセスセット番号および処理チャンバ番号を入力する。プロセスセットは、特定されたプロセスを行うために必要なプロセスパラメータの所定のセットであり、所定のセット番号によって識別される。プロセス選択用サブルーチン73は、(i)所望の処理チャンバ、および(ii)所望のプロセスを遂行するために処理チャンバを操作するために必要とされるプロセスパラメータの所望のセットを識別する。特定のプロセスを遂行するためのプロセスパラメータは、例えば、プロセスガスの組成と流量、温度、圧力、マイクロ波電力レベルつまりRF電力レベルや低周波RF周波数等のプラズマ条件、冷却ガス圧、およびチャンバ壁温度等のプロセス条件に関する。これらのパラメータは、処方の形態でユーザに提供され、光ペン/CRTモニタのインタフェースを利用して入力される。プロセスを監視するための信号は、システムコントローラのアナログおよびデジタルの入力ボードによって供給され、プロセスを制御するための信号は、CVDシステム10のアナログおよびデジタルの出力ボード上に出力される。
【0031】
プロセスシーケンササブルーチン75は、プロセス選択用サブルーチン73からの識別された処理チャンバおよびプロセスパラメータのセットを受納するための、および、種々の処理チャンバの操作を制御するためのプログラムコードを含む。複数のユーザがプロセスセット番号および処理チャンバ番号を入力でき、または、ユーザは複数のプロセスセット番号および処理チャンバ番号を入力でき、それで、プロセスシーケンササブルーチン75は、選択されたプロセスを所望の順序で計画するよう操作する。好ましくは、プロセスシーケンササブルーチン75は、(i)そのチャンバが使用中であるかを決定するために処理チャンバの操作を監視すること、(ii)使用中のチャンバで何のプロセスが行われているかを決定すること、および(iii)処理チャンバの可用性および行われるプロセスの種類に基づき所望のプロセスを実行すること、のステップを遂行するコードを含む。ポーリング等の、処理チャンバを監視する従来の方法が使用されてもよい。どのプロセスが実行されるかを計画する場合、プロセスシーケンササブルーチン75は、選択されたプロセスに対して所望のプロセス条件と比較して使用される処理チャンバの現在の条件、または、要求を入力した各特定のユーザの「年齢」、または、システムプログラマが計画する優先度を決定するために含めることを希望するいずれか他の関連する要因、を考慮に入れる。
【0032】
どの処理チャンバおよびプロセスセットの組合せが実行されるかを決定した後に、プロセスシーケンササブルーチン75は、チャンバマネジャサブルーチン77a−cへ特定のプロセスセットパラメータを回送することによってプロセスセットの実行を始動し、チャンバマネジャサブルーチン77a−cはプロセスシーケンササブルーチン75によって決定されたプロセスセットに従い処理チャンバ15での複数の処理タスクを制御する。例えば、チャンバマネジャサブルーチン77aは、処理チャンバ15でのスパッタおよびCVDプロセスの操作を制御するためのプログラムコードを含む。チャンバマネジャサブルーチン77a−cは、選択されたプロセスセットを行うために必要なチャンバ構成要素の操作を制御する種々のチャンバ構成要素サブルーチンの実行も制御する。チャンバ構成要素サブルーチンの例は、基板位置決めサブルーチン80、プロセスガス制御サブルーチン83、圧力制御サブルーチン85、ヒータ制御サブルーチン87、およびプラズマ制御サブルーチン90である。この技術で通常の熟練を有する当業者は、処理チャンバ15で何のプロセスが遂行されるかによって、他のチャンバ制御サブルーチンが含められることを容易に認知するであろう。
【0033】
操作では、チャンバマネジャサブルーチン77aは、実行される特定のプロセスセットに従いプロセス構成要素サブルーチンを選択的に計画するつまり呼出す。チャンバマネジャサブルーチン77aは、プロセスシーケンササブルーチン75が実行されるプロセスセットおよびそれを実行するチャンバを計画することと全く同様に、プロセス構成要素サブルーチンを計画する。普通には、チャンバマネジャサブルーチン77aは、種々のチャンバ構成要素を監視するステップ、実行されるプロセスセットに対するプロセスパラメータに基づき、どの構成要素が操作される必要があるかを決定するステップ、および監視および決定のステップに応答してチャンバ構成要素サブルーチンの実行をさせるステップを含む。
【0034】
特定のチャンバ構成要素サブルーチンの操作を、図1Fを参照して、以下に説明する。基板位置決めサブルーチン80は、基板をペデスタル12上へローディングする、基板を処理チャンバ15内で所望の高さへ持上げる、および基板とガス分配マニホールド11との間の間隔を制御するために使用されるチャンバ構成要素を制御するためのプログラムコードを含む。基板が処理チャンバ15内へローディングされる際に、ペデスタル12は下降され基板を収容し、その後、ペデスタル12は、処理チャンバ15内で所望の高さへ上昇され、プロセス中に基板をガス分配マニホールド11から所望の距離つまり間隔に維持する。操作では、基板位置決めサブルーチン80は、チャンバマネジャサブルーチン77aから転送される、支持高さに関する、プロセスセットパラメータに応答してペデスタル12の移動を制御する。
【0035】
プロセスガス制御サブルーチン83は、プロセスガスの組成および流量を制御するためのプログラムコードを有する。プロセスガス制御サブルーチン83は、安全遮断弁の開放/閉鎖位置、および所望のガス流量を達成するよう質量流量コントローラの立上げ/立下りも制御する。プロセスガス制御サブルーチン83は、全てのチャンバ構成要素サブルーチンのように、チャンバマネジャサブルーチン77aによって発動され、チャンバマネジャサブルーチンから所望のガス流量に関するプロセスパラメータを受取る。普通には、プロセスガス制御サブルーチン83は、ガス供給導管を開くこと、および繰返して(i)必要な質量流量コントローラを読み、(ii)チャンバマネジャサブルーチン77aから受取った所望の流量と読みを比較し、および(iii)必要の際にガス供給導管の流量を調節することによって操作する。更に、プロセスガス制御サブルーチン83は、危険な流量に対してガス流量を監視するステップ、および危険な条件が検出される際に安全遮断弁を起動するステップを含む。
【0036】
圧力制御サブルーチン85は、チャンバの排出システムでのスロットル弁の開口のサイズを調整することによって処理チャンバ15内の圧力を制御するためのプログラムコードを含む。スロットル弁の開口のサイズは、全プロセスガス流量、処理チャンバ15のサイズ、および排出システムに対するポンプ設定点圧力に関連してチャンバ圧力を所望のレベルに制御するよう設定される。圧力制御サブルーチン85が発動される際に、目標圧力レベルが、チャンバマネジャサブルーチン77aからパラメータとして受取られる。圧力制御サブルーチン85は、チャンバへ接続されたひとつ以上の従来の圧力計を読むことによって処理チャンバ15内の圧力を測定する、測定値を目標圧力と比較する、目標圧力に相応するPID(比例、積分、微分)値を格納された圧力表から取得する、そして、圧力表から取得されたPID値に従いスロットル弁を調節する、よう操作する。代替として、圧力制御サブルーチン85は、処理チャンバ15を所望の圧力へ調整するためにスロットル弁を特定の開口サイズへ開きまたは閉じるよう書くことができる。
【0037】
ヒータ制御サブルーチン87は、基板を加熱するために使用される加熱ユニットへの電流を制御するためのプログラムコードを含む。ヒータ制御サブルーチン87も、チャンバマネジャサブルーチン77aによって発動され、目標つまり設定点の温度パラメータを受取る。ヒータ制御サブルーチン87は、ペデスタル12に配置された熱電対の出力電圧を測定すること、測定された温度を設定点温度と比較すること、設定点温度を達成するために加熱ユニットへ印加される電流を増大または減少することによって温度を測定する。温度は、測定された電圧から、格納された換算表での相応する温度を見ることによって、または、4次の多項式を使用して温度を計算することによって取得される。埋込まれたループを使用して、ペデスタル12を加熱する場合、ヒータ制御サブルーチン87は、ループへ印加される電流の立上り/立下りを徐々に制御する。加えて、組込みのフェールセーフモードが、プロセスの安全性遵守を検出ために含まれてもよく、処理チャンバ15が適正に構成されない場合に加熱ユニットの操作を遮断できる。
【0038】
プラズマ制御サブルーチン90は、処理チャンバ15でのプロセス電極へ印加される低周波および高周波RF電力レベルを設定するための、および採用される低周波RF周波数を設定するためのコードを含む。プラズマ制御サブルーチン90は、本発明で使用されるマグネトロンまたは他のマイクロ波ソースへ印加される電力レベルを起動ならびに設定/調節するためのプログラムコードも含む。プラズマ制御サブルーチン90は、先に記載されたチャンバ構成要素サブルーチンと同様な方式で、チャンバマネジャサブルーチン77aによって発動される。
【0039】
上記の反応炉の説明は、主として例示の目的のためであり、電子サイクロトロン共鳴(ECR)プラズマCVD装置、誘導結合RF高密度プラズマCVD装置等の、他のプラズマCVD設備が採用されてもよい。加えて、サセプタ設計、ヒータ設計、RF電力周波数、RF電力接続の位置、およびその他での変形等の、上記で説明されたシステムの変形が可能である。他の実施の形態では、プロセスは、基板を加熱するために石英灯を使用するチャンバで行われる。本発明の、層およびそのような層を形成するための方法は、いずれか特定の装置、またはいずれか特定のプラズマ励起方法に限定されない。
【0040】
II. 高温窒化物膜を堆積するための方法および装置
PECVD高温窒化物膜を8インチ基板上に、セラミックペデスタルを装備され、California 州 Santa Clara の Applied Materials, Inc. によって製作されたDxZチャンバを使用して堆積するためのプロセス処方が、本発明の好ましい実施の形態で、表1および表2に示される。層を堆積するためのステップは、図2Aのフロー図に示される。
【0041】
図2Aは、本発明によるプロセスを示す。ステップ200で、処理チャンバ内で環境パラメータが設定される。これは、処理チャンバ15内の圧力およびプロセス温度の設定を含む。処理チャンバ15内の圧力は、約2 torr と約8 torr との間、好ましくは、約5 torr と約7 torr との間へ設定される。プロセス温度は、約400℃と約600℃との間、好ましくは、約500℃と約575℃との間へ設定される。ステップ210で、電極間隔(すなわち、ペデスタル12とガス分配前面プレート13aとの間の間隔)は、約200ミルと約600ミルとの間、好ましくは、約300ミルと約600ミルとの間へ設定される。これは図2のプロセスの一部として示されるが、電極間隔は、本発明のプロセスのいずれかが行われる前に設定されてもよい。
【0042】
次に、ステップ220で、堆積ガスが処理チャンバへ流入される。シラン(SiH4)は、処理チャンバ15内へ約10sccmと約500sccmとの間の流量で導入される。好ましくは、シランは、約20sccmと約100sccmとの間の流量で、最も好ましくは、約50sccmの流量で導入される。窒素(N2)は、処理チャンバ15へ約100sccmと約5000sccmとの間の流量で導入される。好ましくは、窒素は、約2000sccmと約5000sccmとの間の流量で、最も好ましくは、約4500sccmの流量で導入される。
【0043】
オプションとして、アンモニア(NH3)および/またはヘリウム(He)も、処理チャンバ15へ導入されてもよい。アンモニアは、処理チャンバ15へ約5000sccmまでの流量で導入される。好ましくは、アンモニアは、約10sccmと約100sccmとの間の流量で、最も好ましくは、約30sccmの流量で導入される。ヘリウムは、処理チャンバ15へ約500sccmと約3000sccmとの間の流量で導入される。前出のガス流量パラメータは、8インチの基板を処理するよう構成され、セラミックペデスタルを装備された、California 州 Santa Clara の Applied Materials, Inc. によって製作されたDxZチャンバのためである。他のチャンバ設計は、本発明による膜を堆積するために異なる流量を必要とすることがあり、それで、前出のパラメータは、基板処理システム間で変化することがある。
【0044】
本発明による窒化ケイ素膜の堆積を開始するために、次に、エネルギーが堆積ガスに印加される(ステップ230)。このステップ中に、例えば、RFエネルギーが、堆積ガスからプラズマを造るために印加される。約200Wと約800Wとの間のRF電力レベルがプラズマを形成するために印加される。上記で説明したDxZチャンバでは、これは、約0.62W/cm2と約2.48W/cm2との間のRF電力密度に等しい。好ましくは、約300Wと約600Wとの間のRF電力レベル(約0.93W/cm2と約1.86W/cm2との間のRF電力密度に等しい)がプラズマを形成するために印加される。これらのRF電力レベルは、13.56MHzの周波数を使用する単一周波数技術に対する。混合周波数技術も採用でき、2つ以上の別々の周波数(例えば、2MHzおよび13.56MHz)を使用する。ステップ240で、プラズマは、所望の厚さまで膜を堆積するために一定の時間維持され、厚さはプラズマが維持される時間の長さに関連する。
【0045】
表1は、本発明の高温プロセスに採用されるパラメータの範囲を要約する。表2は、これらのパラメータの好ましい範囲を示す。
【0046】
表1
圧力: 2−8 Torr
RF電力(密度): 200−800W(0.62−2.48W/cm2
温度: 400℃〜600℃
電極間隔: 200〜600 ミル
SiH4流量: 10〜500 sccm
2流量: 100〜5000 sccm
NH3流量: 0〜5000 sccm
He流量(オプション): 500〜3000 sccm
【0047】
表2(好ましい)
圧力: 5〜7 Torr
RF電力(密度): 300〜600W(0.93〜1.86W/cm2
温度: 500℃〜575℃
電極間隔: 300〜600 ミル
SiH4流量: 20〜100 sccm
2流量: 2000〜5000 sccm
NH3流量: 10〜100 sccm
【0048】
表2から、シランの窒素に対する好ましい流量比は、約1:250と約1:20との間であり、アンモニアの窒素に対する最大の好ましい流量比は、約1:20であることは明白である。シランの量をこれらの範囲内に保持することによって、結果としての膜内の水素含有は最少に保持される。これは、水素を含有するシランの量が、容認される流量での膜の堆積を可能にすることに足りるだけであるべき故である。高い割合は、望ましくない高レベルでの水素含有量を生じる。アンモニアの窒素に対する最大比も、この理由のために比較的低く保持される。アンモニアの量が増大されるのに従い、結果の膜の水素含有量も増大する。従って、これらの流量比は、後に概説する好ましい特性を持つ良質の窒化ケイ素膜を提供するよう、水素含有量が十分低くに(例えば、10原子%(at%)またはそれ近く)とどまることを確実にする。低水素含有量は、酸化物に対する高いエッチング選択性も提供し、それはエッチストップ用途で特に重要である。その上、ここで採用されるシランの窒素に対する流量比の範囲は、プラズマの安定性を改善し、それによって、より均一な膜を提供する。
【0049】
III. 実験およびシミュレーションの結果
本発明によるプロセスで使用される高温は、そのプロセスによって製造される高品質の膜を生成することにおいて重要である。比較的高温を使用することによって、水素含有量は低減される。しかし、現在の割当て熱量の場合、本発明のプロセスに採用される最高温度は、約600℃に制限される。逆に、膜の完全性は、本発明の膜が約400℃以下のプロセス温度で堆積される場合、損害を被り始める(すなわち、剥離、割れ、および、他のそのような現象が起こり始める)。従って、約400℃を超えて約600℃に近づく温度が好ましい。そのような比較的高温の使用は、そのような温度に耐える能力のあるペデスタルおよび支持体の使用も必須とする(例えば、セラミック材料で製造されるペデスタルおよび支持体)。水素におけるこの低減は、より高い膜品質(割れ、剥離等の低減)を提供する。
【0050】
加えて、水素の含有量は、本発明のプロセスでは、アンモニアが水素と窒素を含むので、プロセスで使用されるアンモニアの量を最小限にすることによって低減される。例えば、実験測定をLPCVDプロセス、PECVDプロセス、および、本発明のプロセスを用いて堆積した窒化ケイ素膜に関して核共鳴分析 (Nuclear Resonance Analysis) を用いて行った。LPCVDプロセスは3at%未満の水素含有量を有する膜を堆積した。PECVDプロセスは、400℃で約20at%の水素含有量を有する膜を堆積し、一方480℃では約13at%の水素含有量を有する膜を堆積した。本発明のプロセスにより堆積した膜は、約10at%の水素含有量を呈示した。LPCVD程低くはないが、膜の水素含有量は、PECVDの窒化ケイ素膜、特にPECVD窒化ケイ素膜を低温で堆積した場合の水素含有量よりも著しく低かった。
【0051】
低減したシラン流量の使用は、同様の理由によって動機付けられる。シランも水素を含有するので、膜の堆積に必要とされるシランの量だけが採用されるべきである。しかし、これは、堆積率を最大化することによってスループットを最大化するニーズと緊張関係にある。発明者らは、前記の流量がこの競合する関係間の容認される均衡を提供することを見出した。
【0052】
酸化物に対するこれらの膜の選択性も測定した。本発明のプロセスにより堆積された膜およびLPCVDの窒化ケイ素膜は、少なくとも100の選択性を有した。対照的に、酸化物に対するPECVD窒化ケイ素膜の選択性は、400℃と480℃の両方で堆積された膜に対して、約80以下であった。従って、自己位置合せ (self-aligned) コンタクトおよび無境界 (borderless) コンタクト等のエッチストップ用途に対して、本発明による膜は、PECVD窒化ケイ素膜より良好に下層を保護するであろうし、それによって、関与する層の更に正確なパターン化を可能にする。本発明による膜は、LPCVD窒化ケイ素膜のエッチング選択性が実際には高いかもしれないが、LPCVD窒化ケイ素膜に匹敵する酸化物に対するエッチング選択性を呈示する。本明細書に記述する全てのエッチング選択性は、当該技術に精通する当業者によって理解されるように、所定の窒化物膜の酸化物に対するエッチング選択性についてである。
【0053】
その上、本発明によるプロセスは、良好なプロセス安定性を呈示する。例えば、5000枚の基板の操業にわたり、膜厚の変化は2%以下である。同じ操業で、均一性(% 1−s、3mmEE)は、この種類の膜で容認される仕様の2%を大幅に下回り、1%と1.5%との間にとどまる。膜の屈折率は0.01未満変化し、1.96近くにとどまる。操業での基板に対する膜応力は、0.3x109ダイン/cm2(圧縮性)近くにとどまり、わずか0.3x109ダイン/cm2(最大−最小)だけ変化する。本発明による別の堆積プロセスでは、堆積された膜は、1x109ダイン/cm2から1.5x109ダイン/cm2(圧縮性)の程度の膜応力を呈示する。本発明による膜の湿式エッチングレート(WER)は、約20Å/分と約40Å/分(6:1 BOE)との間である。
【0054】
図2Bは、このデータを線図で示す。データは、8インチの基板を処理するよう構成され、セラミックペデスタルを装備された、California 州 Santa Clara の Applied Materials, Inc. によって製造されたDxZチャンバを使用して生成された。チャンバの真空封止が破られ、処理チャンバが洗浄流体により手動で拭われる湿式洗浄ステップ、または予防的保守操作は、本明細書中に記載の5000基板の操業中に行わなかった。
【0055】
本明細書中に記載の膜特性の幾つかは、膜の製造で用いられるプロセスパラメータを変更することによって改変されてもよい。これを図2Cに示し、図2Cは、本発明により堆積される膜のコンピュータシミュレーションによって生成される数多くのグラフを含む。これらのグラフは、種々のプロセスパラメータと種々の膜特性との間の関係を示す。より詳細には、グラフは、膜厚、膜の均一性、屈折率、および膜応力についてのチャンバ圧力、RF電力、電極間隔、および種々の流量の影響を示す。以下のシミュレーション結果は、他のパラメータ(例えば、堆積時間)が一定のままであると仮定する。
【0056】
図2Cが示すように、シミュレーションは、圧力、RF電力、電極間隔、および、程度は少ないが、窒素流量での増大は、単位時間当りの膜厚での低減を生じることを示唆する。逆に、シミュレーションは、膜厚がシランまたはアンモニアの流量での増大とともに増加することを示唆する。シミュレーションは、膜の均一性が、RF電力、電極間隔、および、アンモニア流量での増大とともに増大することを示唆する。また、シミュレーションは、膜の均一性がチャンバ圧力での増大とともに顕著に低下するが、しかし、窒素およびシランの流量での変化によって膜の均一性は比較的影響されないことを示唆する。
【0057】
チャンバ圧力、RF電力、および、電極間隔での増大は、結果としての膜の屈折率における低下を生じるように見える一方で、シランおよびアンモニアの流量での増大は、屈折率での増大を生じるように見える。シミュレーションは、窒素流量での変化が、結果としての膜の屈折率にほとんど影響を有しないこと、および、膜応力が、プロセスガスの流量での変化でほとんど変化しないことを示唆する。更に、シミュレーションは、引張から圧縮への膜応力変遷が、チャンバ圧力および電極間隔で増大する一方で、RF電力での増大が反対の結果を引起こすことを示唆する。
【0058】
これらのシミュレーションは、発明者の予想とプロセスの特性の理解とを支持する。シミュレーションは、本発明によるプロセスが良好な膜均一性を提供する(プロセスパラメータでの変化が膜均一性にほとんど影響を有しない)と予測できること、および、そのようなプロセスが膜応力について良好な制御を提供する(膜応力についてのチャンバ圧力、電極間隔、およびRF電力の影響によって証明される)ことを示す。これらの結果は、本発明のプロセスについての発明者の予想および理解に一致する。
【0059】
このように、PECVD窒化物膜と比較して、本発明による膜は、より良いエッチング選択性と膜応力についての多大な制御とを提供する。その上、本発明の膜は、良好なサイドウォールカバレッジを呈示する。LPCVD窒化物膜に対して、本発明による膜は、以前に形成された層が後続のプロセスステップの温度に敏感である状況で実施可能な割当て熱量を提供する。繰返すと、本発明による膜は、LPCVD窒化物膜より膜応力について多大の制御を提供すると共に、配線を形成する金属層と両立する。その上、本発明による膜は、LPCVD窒化物プロセスと比較する場合、裏面堆積(基板の下側上に起こる堆積)の低減、より良好なプロセス統合(プロセスが単一ステップである故に)、洗浄間の平均時間の更に長いこと、および、より高い堆積率を提供する。
【0060】
IV. 実施例の多層構造
本発明に従い製造される高温窒化物膜は、LP窒化物層の性質に匹敵する性質(例えば、エッチング選択性およびエッチングレート)を有するので、そのような高温窒化物膜は、低い割当て熱量を有するプロセスでLP窒化物膜を置換し得る。例えば、高温窒化物膜のエッチング選択性はLP窒化物膜のそれに匹敵するので、より低い温度の窒化物堆積ステップを使用することが望ましい場合、高温窒化物膜は、エッチストップ層としてLP窒化物膜を置換し得る。
【0061】
図3は、エッチストップ層としての高温窒化物層の使用を示す。最初に、処理される基板が、PECVDチャンバ内に位置決めされる。基板は、通常、後続のエッチングステップ中に保護を必要とする構造を含むであろう。ステップ300で、高温窒化物層が、表1および2に記述された処方と図2Aに記載されたステップとを利用して基板の上に堆積される。次に、図3に示すように、酸化物層が基板の上に堆積される(ステップ310)。フォトレジスト層が酸化物層の上に適用され、次いで、硬化される(ステップ320)。フォトレジストは、次いで、必要な場合、標準のフォトリソグラフィック技術を利用してパターン化される(ステップ330)。次に、エッチング装置が、酸化物層の一部分をエッチングし去るよう使用される(ステップ340)。高温窒化物層が酸化物の下地にある領域では、エッチングは酸化物に対する高温窒化物層の比較的高いエッチング選択性の故に、その層に出会う際に停止する。
【0062】
図4Aおよび4Bは、高温窒化物層400をエッチストップ層として利用して、当該技術に精通する者に良く知られた構造である、本明細書で自己位置合せコンタクトと称する構造を作成するエッチングステップ前後のデバイスの断面図を示す。図4Aに示すように、マスク410が、エッチング操作中に酸化ケイ素層420の一部分を保護する。高温窒化物層400を堆積する前に、ポリシリコン層430、シリサイド層440(ポリシリコン層430を保護する)、酸化物層445、および、酸化物のスペース層(酸化物スペーサ450(1)、450(2)、450(3)、および450(4)で例示する)が堆積される。酸化物層のエッチングが進行するのに従い、酸化ケイ素層420の一部分が除去される。しかし、到達すると、高温窒化物層400は、更なるエッチングを抑制し、従って、下地層を保護する。
【0063】
図4Bは、エッチングプロセスが完了した後の図4Aでの構造を示す。記載のように、および、図4Bに示すように、高温窒化物層400は、下地層のエッチングを阻止する。これは、酸化ケイ素層420の露出部分が完全に除去されるまで、プロセスが進行することを可能にする一方で、下地構造を損傷するかもしれない過剰エッチングを回避する。次に、適切な化学薬品が、高温窒化物層400の新規露出部分を除去することに使用される。この化学薬品は、高温窒化物層400の下地構造がエッチングステップによって悪影響を受けない(すなわち、エッチングされない)ように選択される。
【0064】
図4Cおよび図4Dは、高温窒化物層の別の使用を示し、当該技術に精通する当業者にこれも良く知られた構造である本明細書で境界無しコンタクトと称する構造の形成である。図4Cおよび図4Dは、高温窒化物層455をエッチストップ層として利用して、無境界コンタクトを作成するエッチングステップ前後のデバイスの断面図を示す。図4Cに示すように、マスク460が、エッチング操作中に酸化ケイ素層470の一部分を保護する。高温窒化物層455を堆積する前に、ポリシリコン層480、シリサイド層485(ポリシリコン層480を保護する)、および、酸化物のスペース層(酸化物スペーサ490(1)および490(2)で例示する)が堆積される。高温窒化物層455は、STI495の上にも堆積される。酸化物層のエッチングが進行するのに従い、酸化ケイ素層470の一部分が除去される。しかし、到達すると、高温窒化物層455は、更なるエッチングを抑制し、従って、窒化物層の下の区域を保護する。
【0065】
図4Dは、エッチングプロセスが完了した後の図4Cでの構造を示す。記載のように、および、図4Dに示すように、高温窒化物層455は、下地層のエッチングを阻止する。これは、酸化ケイ素層470の露出部分が完全に除去されるまで、プロセスが進行することを可能にする一方で、下地構造を損傷するかもしれない過剰エッチングを回避する。次に、適切な化学薬品が、高温窒化物層455の新規露出部分を除去することに使用される。この化学薬品は、高温窒化物層455の下地構造がエッチングステップによって悪影響を受けない(すなわち、エッチングされない)ように選択される。
【0066】
高温窒化物層の更なる別の使用は、スペーサの形成においてである。MOSまたはバイポーラトランジスタでのシリサイド電極を形成するためのプロセスでは、スペーサ層が、電極、軽くドープされたドレイン、または、他の構造の位置を精密に位置決めすることに利用され得る。
【0067】
図5Aおよび図5Bは、スペーサの形成中のデバイス、例えば、高温窒化物材料で形成されたスペーサを有する論理デバイスの断面図を示す。図5Aでは、ポリシリコン層500およびシリサイド層510が、その順序で基板520の上に堆積される。ポリシリコン層500およびシリサイド層510は、例えば、シリサイド電極を形成するためにパターン化されてもよい。高温窒化物層530は、シリサイド電極の上に堆積される。高温窒化物層530は、表1または表2に示すようなプロセス処方を用いて堆積されてもよい。図5Bで、高温窒化物層530は、次に、例えば、スパッタ等のプロセスによってエッチングされる。異方性でエッチングすることによって、高温窒化物層530の水平方向部分が除去され、従って、高温窒化物スペーサ540(1)−540(4)を形成する。
【0068】
本発明の高温窒化物層に対する追加の使用は、浅いトレンチ分離プロセスでのライニング層、および、プリメタル (premetal) 誘電体膜に対するライニング層としての使用を含む。浅いトレンチ分離技術では、分離は、その上に電子デバイスが配置される2つの能動領域間に凹部つまりトレンチを形成することによって提供される。トレンチは、CVD酸化物等の分離材料で充填される。トレンチ分離プロセスの品質は、窒化物ライニング層が形状追従 (conformal) 誘電体層の堆積の前にトレンチの壁および基部上に堆積される場合、改善される。
【0069】
図6は、浅いトレンチ分離のためのライニング層として堆積される高温窒化物層を有する基板の断面を示す図であり、図7は、そのようなライニング層を形成するためのプロセスのステップを示すフロー図である。図6および図7を参照すると、浅いトレンチ700が最初に基板702に形成され、トレンチ700間にアイランド704を分離する(ステップ800)。次いで、高温窒化物ライニング層706が形成される。高温窒化物ライニング層706の堆積は、基板処理システムの処理チャンバ内へのプロセスガスの流入で始まる(ステップ810)。そのようなガスは、例えば、シランおよび窒素を含んでいてもよい。
【0070】
ステップ820で、処理チャンバ内で環境パラメータが設定される。これは、処理チャンバ内の圧力と温度を容認できるレベルへ設定することを含む。例えば、約400℃と約600℃との間の温度で約2Torrと約8Torrとの間の圧力が、本発明による膜を生成することに使用されてもよい。ステップ830で、エネルギーが、高温窒化物ライニング層706を形成する反応の進行を可能にするために、プロセスガスへ印加される。これは、例えば、RFつまりマイクロ波エネルギーであることができ、その印加は、高温窒化物ライニング層706が容認できる厚さへ堆積されるまで、維持されるであろう(ステップ840)。能動デバイスは、次いで、高温窒化物ライニング層706の上に形成される(ステップ850)。
【0071】
III. 実施例のトランジスタ構造
図8は、本発明に従い作成され得る集積回路800の単純化された断面図を示す。図示のように、集積回路800は、NMOSトランジスタ803およびPMOSトランジスタ806を含み、トランジスタは、シリコンの局所酸化(LOCOS)または他の技術によって形成されたフィールド酸化物区域820によって、相互に分離され電気的に絶縁される。代替として、トランジスタ803および806は、トランジスタ803および806が両者NMOSまたは両者PMOSである場合、トレンチ分離(図示しない)によって相互に分離され電気的に絶縁されてもよい。各トランジスタ803および806は、ソース区域812、ドレイン区域815、およびゲート区域818を備える。
【0072】
プリメタル誘電体(PMD)層821がトランジスタ803および806を金属層840から分離し、金属層840とトランジスタとの間にコンタクト824によって作成される接続を持つ。金属層840は、集積回路800に含まれる4つの金属層840、842、844および846のひとつである。各金属層840、842、844および846は、それぞれの金属間誘電体(IMD)層827、828、または829によって隣接する金属層から分離される。隣接する金属層は、選択された開口部でバイア826によって接続される。金属層846の上に堆積されるのは、平坦化パッシベーション層830である。
【0073】
プリメタル誘電体層(例えば、PMD821)は、本発明により高温窒化物層の上に誘電体層を堆積することによって形成されてもよい。例えば、図8では、PMD821は、高温窒化物ライニング層851の上に堆積されたボロンフォスフェートシリコンガラス (boron phosphate silicon glass)(BPSG)層850を含む。図9は、そのようなPMDを形成するためのプロセスのステップを示すフロー図である。図8および図9を参照すると、高温窒化物ライニング層851の堆積が、シランおよび窒素等の堆積ガスを処理チャンバ内へ流入することによって開始される(ステップ1000)。次いで、処理チャンバ内で環境パラメータが所望のレベルへ設定される(ステップ1010)。例えば、約2Torrと約8Torrとの間の圧力、および、約400℃と約600℃との間の温度が、処理チャンバ内に維持されてもよい。表2に記載したような、シランおよび窒素を使用するプロセスでは、約5Torrと約7Torrとの間の圧力、および、約500℃と約575℃との間の温度が、処理チャンバ内に維持されるのが好ましい。次いで、ステップ1020で、高温窒化物ライニング層851を堆積する化学反応を開始するために、エネルギーがプロセスガスへ印加される。例えば、RFエネルギーが、プロセスガスからプラズマを生成するために印加されてもよい。エネルギーの印加は、高温窒化物ライニング層851を所望の厚さまで堆積するために維持される(ステップ1030)。次いで、BPSG層850のような誘電体層が、高温窒化物ライニング層851の上に堆積される(ステップ1040)。
【0074】
図8の単純化された集積回路800は例示の目的だけのために提示されるものと理解されたい。当該技術に普通に精通する当業者であれば、マイクロプロセッサ、特定用途向け集積回路(ASIC)、メモリデバイス等の他の集積回路の製造に関して本発明を実施できるであろう。更に、本発明は、とりわけPMOS、NMOS、CMOS、バイポーラ、または、BiCMOSデバイスの製造へ適用されてもよい。
【0075】
本発明は、好ましい実施の形態を参照して説明されてきた。変更および置換は、当該技術において精通した当業者にとって明白であろう。特に、プロセスパラメータが特定の処理チャンバおよび基板サイズに対して説明されたが、異なるチャンバおよび基板サイズへの換算は良く理解されており、本明細書に記載の流量比は容易に換算可能である。従って、付属の特許請求の範囲によって提供される以外に本発明を限定する意図はない。
【図面の簡単な説明】
【図1A】 本発明による化学的気相堆積装置のひとつの実施形態による縦断面図である。
【図1B】 本発明による化学的気相堆積装置のひとつの実施形態による縦断面図である。
【図1C】 図1Aに示すCVDチャンバの一部の分解斜視図である。
【図1D】 図1Aに示すCVDチャンバの一部の分解斜視図である。
【図1E】 ひとつ以上のチャンバを含むことができる多数チャンバシステムにおける、システムモニタおよびCVDシステム10の単純化図である。
【図1F】 特定の実施の形態による、システム制御ソフトウエアであるコンピュータプログラム70の階層的制御構造のブロック図である。
【図2A】 本発明による高温窒化物膜を堆積するためのステップを示すフロー図である。
【図2B】 膜厚、膜の均一性、屈折率、および膜応力に関して、本発明によるプロセスの安定性を示す一組の線図である。
【図2C】 本発明による高温窒化物膜の特性への種々のプロセスパラメータの影響を示す図である。
【図3】 酸化物エッチングプロセスにおいて高温PECVD窒化物膜をエッチストップ層として利用するためのステップを示すフロー図である。
【図4A】 自己位置合せコンタクト構造においてエッチストップ層として使用された高温PECVD窒化物を示す、デバイスの断面図である。
【図4B】 自己位置合せコンタクト構造においてエッチストップ層として使用された高温PECVD窒化物を示す、デバイスの断面図である。
【図4C】 境界無しコンタクト構造においてエッチストップ層として使用された高温PECVD窒化物を示す、デバイスの断面図である。
【図4D】 境界無しコンタクト構造においてエッチストップ層として使用された高温PECVD窒化物を示す、デバイスの断面図である。
【図5A】 スペーサへの高温PECVD窒化物層の形成を示す、デバイスの断面図である。
【図5B】 スペーサへの高温PECVD窒化物層の形成を示す、デバイスの断面図である。
【図6】 浅い分離トレンチ構造の断面図である。
【図7】 浅い分離トレンチ構造で高温窒化物ライニング層を形成するステップを示すフロー図である。
【図8】 プリメタル誘電体層の断面図である。
【図9】 プリメタル誘電体層で高温窒化物ライニング層を形成するステップを示すフロー図である。
【符号の説明】
7…ガスソース、8…ガス供給導管、9…ガス混合システム、10…CVDシステム、10…チャンバ、10…化学的気相堆積システム、11…ガス分配マニホールド、11…取入口マニホールド、12…チャンバ、12…ペデスタル、12a…表面、12b…基板昇降ピン、13a…ガス分配前面プレート、13b…貫通孔、14…プロセス位置、14…破線、15…チャンバ、15…処理チャンバ、15…真空チャンバ、15a…チャンバ壁、15b…チャンバ蓋アセンブリ、16…環状スロット、17…環状排出プレナム、19…誘電体ライニング、20…チャンバ蓋、21…横方向延長部分、23…ガス通路、23…排出通路、24…真空遮断弁、25…排出取出口、26…開口部、32…モータ、34…システムコントローラ、36…制御線、37…プロセッサ、38…メモリ、40…矢印、42…ブロッカープレート、44…RF電源、50a…CRTモニタ、50b…光ペン、70…コンピュータプログラム、73…プロセス選択用サブルーチン、75…プロセスシーケンササブルーチン、77a…チャンバマネジャサブルーチン、77a−c…チャンバマネジャサブルーチン、80…サブルーチン、83…プロセスガス制御サブルーチン、85…圧力制御サブルーチン、87…ヒータ制御サブルーチン、90…プラズマ制御サブルーチン、400…高温窒化物層、410…マスク、420…酸化ケイ素層、430…ポリシリコン層、440…シリサイド層、445…酸化物層、450…酸化物スペーサ、455…高温窒化物層、460…マスク、470…酸化ケイ素層、480…ポリシリコン層、485…シリサイド層、490…酸化物スペーサ、500…ポリシリコン層、510…シリサイド層、520…基板、530…高温窒化物層、540…高温窒化物スペーサ、700…トレンチ、702…基板、704…アイランド、706…高温窒化物ライニング層、800…集積回路、803…NMOSトランジスタ、806…PMOSトランジスタ、812…ソース区域、815…ドレイン区域、818…ゲート区域、820…フィールド酸化物区域、821…プリメタル誘電体(PMD)層、824…コンタクト、826…バイア、827…金属間誘電体(IMD)層、830…平坦化パッシベーション層、840…金属層、846…金属層、850…ボロンフォスフェートシリコンガラス (boron phosphate silicon glass)(BPSG)層、851…高温窒化物ライニング層。
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method and apparatus for producing a silicon nitride film having a low hydrogen content and high quality.
[0002]
[Prior art]
Nitride films are employed as insulating and passivation films in integrated circuits, and silicon nitride (SiThreeNFour) And a dielectric film including a silicon oxynitride (SiON) film. One method of forming a nitride film is by thermochemical vapor deposition (that is, thermal CVD) at a relatively high temperature (eg, 700 ° C. or higher). When low pressure (LP) technology is employed with a high temperature thermal CVD process, the resulting nitride film is referred to as a low pressure (LP) nitride film or LPCVD nitride film. LP nitride films typically exhibit relatively good film uniformity.
[0003]
LP nitride films are typically not deposited following the formation of metal contacts. This is because the melting point of the metals used in the manufacture of such structures is relatively low (eg, aluminum, aluminum alloys, or other low melting point metals). Accordingly, the process temperature in subsequent steps is limited to about 450 ° C. to avoid damage to the metal contacts. In addition, the high temperatures associated with LP nitride film deposition can cause other problems, including degradation of the tungsten silicide structure, pushing the junction too deeply into the substrate, and chamber contamination.
[0004]
Unfortunately, as indicated above, the high temperatures used to deposit LPCVD nitride films can complicate integration into processes having relatively low heat budgets. There are other problems with the use of LPCVD nitride films. For example, such films exhibit tensile stress rather than compressive stress, leading to poor film quality (eg, cracks, etc.). Such membranes increase the processing time required for their manufacture and are also difficult to integrate into a series of processes. This is because they are deposited using a two-step process and their deposition rate is relatively low. Such a film also deposits a relatively large amount of residue on the inner surface of the chamber, necessitating more frequent chamber cleaning than would otherwise be required.
[0005]
To solve these problems, a plasma enhanced CVD (PECVD) process has been employed to deposit a silicon nitride overcoat at a relatively low temperature. A typical PECVD gas flow chemical for depositing silicon nitride includes a silane and ammonia reactant together with a diluent, such as nitrogen, argon, or helium, and is given by:
SiHFour + NHThree + N2(Diluent) = SixNyHz
One of the problems associated with low temperature PECVD deposition of nitride films using this chemical is that large amounts of hydrogen are incorporated into the film due to Si-H and N-H bonds. One reason for the high hydrogen content of the resulting PECVD nitride film is the presence of three hydrogen atoms in each ammonia molecule.
[0006]
Large amounts of hydrogen uptake are problematic because of the adverse physical effects that such high concentrations can have on circuit properties. For example, the inclusion of hydrogen in a nitride intermetal dielectric (IMD) layer results in high capacitance of the interconnect and lowers the maximum operating frequency of the circuit. In addition, hydrogen is chemically active and diffuses rapidly. Accordingly, the hydrogen in the nitride IMD film can react with the metal wiring insulated by the nitride IMD film, thereby damaging the wiring and increasing the possibility of device failure.
[0007]
A PECVD system for depositing high quality silicon nitride films is disclosed in US Pat. No. 4,854,263 (“the '263 patent”), which is assigned to the assignee of the present application, The entirety of which is incorporated herein by reference for all purposes. In that patent, a parallel plate RF vacuum chamber has a gas inlet manifold plate having a plurality of openings, each opening having an outlet on the chamber or process side of the plate and on the gas distribution side of the plate. Including an intake. As shown in FIG. 6 of that patent, the outlet is larger than the inlet and promotes process gas dissociation and reactivity.
[0008]
The PECVD system using the RF vacuum chamber disclosed in the '263 patent is useful for forming silicon nitride films using ammonia-free nitrogen chemistry. This is because the disclosed gas intake manifold facilitates the dissociation of nitrogen and facilitates the deposition of silicon nitride at relatively high deposition rates. In the '263 patent, the PECVD nitride film process is performed at a substrate temperature of about 300-360 ° C., and the hydrogen content of the film described in the' 263 patent is between 7 and 10 atomic percent (at%). Met. Therefore, by removing ammonia with the process gas, the resulting hydrogen concentration in the silicon nitride film was reduced.
[0009]
However, PECVD nitride films have certain qualities that prove sub-desirable for certain applications (eg, as gate spacers or etch stop layers). For example, PECVD nitride films tend to exhibit tensile stress rather than compressive stress, which can lead to cracks near the edge of the substrate on which the film is deposited. PECVD nitride films also suffer from poor film quality, as evidenced by the poor sidewall coverage exhibited by some PECVD nitride films. In addition, the etch selectivity of known PECVD nitride films is relatively low. For example, the etching selectivity of one nitride film deposited using the PECVD process is approximately 80 or less. Therefore, LPCVD nitride films are generally utilized for these applications.
[0010]
Thus, there is a need in the art for a nitride layer deposition process that uses a relatively low amount of heat. In addition, such membranes must exhibit low hydrogen content, good film quality, relatively high etch selectivity to oxide, and minimal cracks. Ultimately, the process for depositing such a film should be easily integrated into a series of processes.
[0011]
[Means for Solving the Problems]
The present invention provides a method and apparatus for producing a silicon nitride film having a low hydrogen content and high quality. The present invention requires a relatively low amount of heat allocated in the manufacture of such membranes. As used herein, quality is determined as a combination of step coverage, sidewall coverage, etch selectivity to oxide, moisture resistance, and crack resistance. In particular, the hydrogen content and etch selectivity of nitride films are comparable to those of LP nitrides as well as the step coverage and sidewall coverage of such films. Such membranes provide good moisture resistance as well as good crack resistance because they can tune the compressive stress of the membrane. Because the process of the present invention is performed in a single step and provides a relatively high deposition rate, it is more easily integrated into a series of processes than, for example, an LPCVD nitride process.
[0012]
The film of the present invention is deposited at a relatively high temperature compared to the conventional PECVD silicon nitride process (hence this film is referred to herein as a “high temperature nitride film” or “high temperature nitride layer”). Sometimes). In one embodiment, the high temperature nitride film according to the present invention provides a process gas comprising silane, nitrogen, and in some embodiments ammonia, at a process temperature between about 400 ° C. and about 600 ° C. Use and deposit. Preferably, such films are deposited at process temperatures between about 500 ° C and about 575 ° C. In another aspect of the invention, a helium precursor gas is also included in the process gas.
[0013]
According to another aspect of the invention, step coverage, hydrogen content, etch selectivity to oxide, and other properties of the resulting film are controlled by changing process parameters such as pressure and power. . Embodiments of the present invention include semiconductor devices manufactured using high temperature nitride films deposited according to the present invention. For example, such high temperature nitride films may be employed in the manufacture of structures such as polysilicon gate spacers, shallow isolation trenches, or premetal dielectric layers, or in the manufacture of etch stop layers.
[0014]
In a further aspect of the invention, the flow rate of silane gas is controlled to be in the range of 10 sccm and about 500 sccm, preferably between about 20 sccm and about 100 sccm; the flow rate of nitrogen is about 100 sccm and about 5000 sccm. , Preferably between about 2000 sccm and about 5000 sccm; in use, the ammonia flow rate is between about 0 sccm and about 1000 sccm, preferably between about 10 sccm and about 100 sccm To be controlled. The process pressure is maintained between about 2 Torr and about 8 Torr, preferably between about 5 Torr and about 7 Torr.
[0015]
Other features and advantages of the present invention will become apparent from the following detailed description and the accompanying drawings.
[0016]
DETAILED DESCRIPTION OF THE INVENTION
I. Example CVD system
Certain embodiments of the present invention are deposited using various chemical vapor deposition (CVD) or other types of substrate processing systems. One suitable CVD machine in which the method of the present invention is performed is shown in FIGS. 1A and 1B. These figures are longitudinal sectional views of a chemical vapor deposition system 10 having a vacuum or processing chamber 15 that includes a chamber wall 15a and a chamber lid assembly 15b. Chamber wall 15a and chamber lid assembly 15b are shown in exploded perspective views in FIGS. 1C and 1D.
[0017]
The CVD system 10 includes a gas distribution manifold 11 for distributing process gas to a substrate (not shown) mounted on a pedestal 12 that is heated with a resistance located centrally within the processing chamber, the pedestal being, for example, Supported by a heater base (shown as part of pedestal 12 in FIGS. 1A, 1B, and 1C). The volume between the gas distribution manifold 11 and the pedestal 12 is referred to herein as the deposition zone. A portion of this volume is also referred to in this way. During the process, a substrate (eg, a semiconductor substrate) is positioned on the flat (or slightly convex) surface 12a of the pedestal 12. In view of the high temperatures employed in the present invention, the pedestal 12, preferably made of ceramic material, is adjacent to the lower loading / unloading position (shown in FIG. 1A) and the manifold 11 (FIG. 1A). To the upper process position (indicated by dashed line 14 and shown in FIG. 1B). A central board (not shown) includes sensors for providing information about the position of the substrate. The deposition gas and the carrier gas flow into the chamber 15 through the through hole 13b (FIG. 1D) of the gas distribution front plate 13a. Preferably, a faceplate of the design disclosed in '263 is employed to enhance the dissociation of process gas passing therethrough, and thus improve the deposition of silicon nitride films using the process chemicals of the present invention. The More specifically, the deposition process gas flows through the inlet manifold 11, through the conventional through-hole blocker plate 42, and then through the holes 13b in the gas distribution front plate 13a into the chamber (FIG. 1B). Indicated by arrow 40).
[0018]
Prior to reaching the manifold, deposition gas and carrier gas are input from a gas source 7 through a gas supply conduit 8 (FIG. 1B) to a gas mixing block or system 9 where the gases are combined into a manifold 11. Sent. In some cases, it is possible and desirable to direct deposition gas and carrier gas directly from the supply conduit 8 to the manifold 11, bypassing the gas mixing system 9. In other cases, any of the gas conduits 8 may bypass the gas mixing system 9 and allow gas to enter the bottom of the chamber 12 via a passage (not shown).
[0019]
In general, the supply conduit for each process gas includes (i) several safety shut-off valves (not shown) used to automatically or manually shut off the flow of process gas into the chamber, and ( ii) Includes a mass flow controller (MFC) (also not shown) that measures the flow of gas through the supply conduit. When toxic gases are used in the process, several safety shut-off valves are positioned in each gas supply conduit in a conventional configuration.
[0020]
The deposition process performed in the CVD system 10 can be either a thermal process or a plasma enhanced process. In the plasma enhancement process, an RF power source 44 applies power between the gas distribution front plate 13a and the pedestal 12 to excite the mixed process gas and within the cylindrical area between the front plate 13a and the pedestal 12. A plasma is formed. The components of the plasma react to deposit a desired film on the surface of the semiconductor substrate supported on the pedestal 12. The RF power supply 44 may be a mixed frequency RF power supply that normally supplies power at a high RF frequency (RF1) of 13.56 MHz and a low RF frequency (RF2) of 360 kHz and was introduced into the vacuum chamber 15. Strengthen the decomposition of reactive nuclides. Of course, the RF power supply 44 can supply either single or mixed frequency RF power (or other desired variations) to the manifold 11 to enhance the decomposition of reactive nuclides introduced into the chamber 15. it can. In a thermal process, the RF power supply 44 is not utilized, and the mixed process gas reacts thermally and is heated with resistance to provide the thermal energy required for the reaction, a semiconductor substrate supported on the pedestal 12 A desired film is deposited on the surface of the substrate.
[0021]
During the thermal deposition process, the pedestal 12 is heated, causing the CVD system 10 to heat. In a hot wall system of the type previously described, hot liquid can be circulated through the chamber wall 15a to maintain the chamber wall 15a at an elevated temperature when the plasma is not ignited or during the thermal deposition process. . The fluid used to heat the chamber wall 15a includes a common fluid type (ie, aqueous ethylene glycol or oily heat transfer fluid). This heating beneficially reduces or eliminates the condensation of unwanted reaction products that may otherwise condense on the walls of the cold vacuum passage and migrate back into the processing chamber during periods of no gas flow. Improve the elimination of process gas volatile products and contamination. In the cold wall system, the chamber wall 15a is not heated. This may be done, for example, during a plasma enhanced deposition process. In such a process, the plasma heats the chamber 15 including the chamber wall 15a surrounding the exhaust passage 23 and the shut-off valve 24. However, since the plasma is not expected to be in close proximity to all chamber surfaces, as described above, surface temperature variations may occur.
[0022]
The remainder of the gas mixture that is not deposited in the layer contains reaction products and is evacuated from the chamber by a vacuum pump (not shown). Specifically, the gas is exhausted into an annular exhaust plenum 17 through an annular slot 16 surrounding the reaction zone. The annular slot 16 and plenum 17 are defined by a gap between the top of the chamber wall 15a (including the upper dielectric lining 19) and the bottom of the circular chamber lid 20. The 360 ° circular symmetry and uniformity of the annular slot 16 and plenum 17 is important to achieve a uniform flow of process gas over the substrate so as to deposit a uniform film on the substrate. Gas passes through an observation port (not shown) below the lateral extension 21 of the exhaust plenum 17 and through a downwardly extending gas passage 23 to form a vacuum shut-off valve 24 (whose body is the chamber wall 15a). Flows through the foreline (not shown) and into the discharge outlet 25 which is connected to the external vacuum pump.
[0023]
The resistively heated pedestal 12 substrate support platter is heated using an embedded single loop heating element configured to create two complete turns concentrically. The outer portion of the heating element extends adjacent to the periphery of the support platter, while the inner portion extends on a concentric path having a small diameter. The wiring to the heating element passes through the stem of the pedestal 12. Typically, the pedestal 12 is made of a material that includes aluminum, ceramic, or some combination thereof. However, in high temperature applications, high temperature materials (eg, certain ceramic materials) may be used to avoid the possibility of melting the pedestal 12 due to the high temperatures employed, such as may occur with pedestals made of aluminum. Should be used.
[0024]
Normally, any or all of the hardware in the chamber lining, gas inlet manifold faceplate, and various other processing chambers are made of aluminum, anodized aluminum, or a ceramic material. Again, high temperature materials (eg, certain ceramic materials) are used to avoid the possibility of melting the pedestal 12 (or other chamber components that are maintained at high temperatures) due to the high temperatures employed. Should.
[0025]
Elevating mechanism and motor 32 (FIG. 1A) is used when the substrate is transferred by robot blade (not shown) through insertion / removal opening 26 in the side of chamber 10 into and out of the chamber body. The pedestal 12 and its substrate lifting pins 12b are raised and lowered. The motor 32 raises and lowers the pedestal 12 between the process position 14 and the lower substrate loading position. The motor 32, various valves and MFCs of the gas delivery system, and other components of the CVD system 10 are controlled by the system controller 34 (FIG. 1B) on control lines 36, some of which are shown. Controller 34 relies on feedback from the optical sensor to determine the position of movable mechanical assemblies such as throttle valves and pedestals that are moved by appropriate motors controlled by controller 34.
[0026]
In the preferred embodiment, the system controller 34 includes a hard disk drive (memory 38), a floppy disk drive (not shown), and a processor 37. The processor 37 contains a single board computer (SBC), analog and digital input / output boards, interface boards, and stepper motor controller boards. The various components of the CVD system 10 comply with the Versa Modular European (VME) standard that defines board, card cage, and connector dimensions and types. The VME standard defines that the bus structure also has a 16-bit data bus and a 24-bit address bus.
[0027]
The system controller 34 controls all activities of the CVD system 10. The system controller 34 executes system control software, which is a computer program stored in a computer-readable medium such as the memory 38. Preferably, the memory 38 is a hard disk drive, however, the memory 38 may be other types of memory. The computer program includes a set of instructions that dictate timing, gas mixing, chamber pressure, chamber temperature, RF power level, pedestal position, and other parameters of a particular process. Other computer programs stored in other memory devices may also be used to operate the system controller 34, including, for example, floppy disks or other suitable drives.
[0028]
The interface between the user and the controller 34 is via a CRT monitor 50a and a light pen 50b shown in FIG. 1E, which is a system monitor and CVD system 10 in a substrate processing system that can include one or more chambers. FIG. In the preferred embodiment, two CRT monitors 50a are used, one mounted on the clean room wall for the operator and the other on the back of the wall for the service technician. The CRT monitor 50a displays the same information at the same time, but only one light pen 50b is enabled. A light sensor at the tip of the light pen 50b detects light emitted by the CRT monitor 50a. To select a particular screen or function, the operator touches a designated area of the display screen and presses a button on the pen 50b. The touched area changes its highlight color, otherwise a new menu or screen is displayed, confirming communication between the light pen and the display screen. Other devices, such as a keyboard, mouse, or other pointing or communication device, can be used in place of or in addition to the light pen 50b, allowing the user to communicate with the system controller 34.
[0029]
The process for depositing the film is performed using a computer program product executed by the system controller 34. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C ++, Pascal, Fortran, etc. Appropriate program code is entered into a single file or multiple files using a conventional text editor and stored or embedded in a computer usable medium, such as a computer memory system. If the entered code text is in a high level language, the code is compiled and the resulting compiler code is then linked with the object code of the pre-compiled Windows library routine. To execute the linked and compiled object code, the system user invokes the object code and causes the computer system to load the code into memory. The CPU then reads and executes the code and performs the tasks identified by the program.
[0030]
FIG. 1F is an exemplary block diagram of a hierarchical control structure of a computer program 70, which is system control software, according to a specific embodiment. Using the light pen interface, the user enters the process set number and processing chamber number into the process selection subroutine 73 in response to a menu or screen displayed on the CRT monitor 50a. A process set is a predetermined set of process parameters required to perform a specified process and is identified by a predetermined set number. The process selection subroutine 73 identifies (i) the desired processing chamber, and (ii) the desired set of process parameters needed to operate the processing chamber to perform the desired process. Process parameters for performing a particular process include, for example, process gas composition and flow rate, temperature, pressure, microwave power level or plasma conditions such as RF power level and low frequency RF frequency, cooling gas pressure, and chamber walls. It relates to process conditions such as temperature. These parameters are provided to the user in the form of a prescription and entered using the interface of the light pen / CRT monitor. Signals for monitoring the process are provided by the analog and digital input boards of the system controller, and signals for controlling the processes are output on the analog and digital output boards of the CVD system 10.
[0031]
Process sequencer subroutine 75 includes program code for accepting the identified processing chamber and process parameter sets from process selection subroutine 73 and for controlling the operation of the various processing chambers. Multiple users can enter process set numbers and process chamber numbers, or users can enter multiple process set numbers and process chamber numbers so that the process sequencer subroutine 75 plans the selected processes in the desired order. Operate to Preferably, the process sequencer subroutine 75 (i) monitors the operation of the processing chamber to determine if the chamber is in use, (ii) what process is being performed in the in-use chamber. And (iii) performing the desired process based on the availability of the processing chamber and the type of process to be performed. Conventional methods of monitoring the processing chamber, such as polling, may be used. When planning which process is to be performed, the process sequencer subroutine 75 will enter each of the process chamber current conditions or requirements that are used in comparison to the desired process conditions for the selected process. It takes into account the “age” of a particular user, or any other relevant factor that the system programmer wishes to include to determine the priorities to plan.
[0032]
After determining which processing chamber and process set combination is to be executed, the process sequencer subroutine 75 initiates the execution of the process set by routing certain process set parameters to the chamber manager subroutine 77a-c, Manager subroutines 77a-c control a plurality of processing tasks in processing chamber 15 in accordance with the process set determined by process sequencer subroutine 75. For example, the chamber manager subroutine 77a includes program code for controlling the operation of sputtering and CVD processes in the processing chamber 15. The chamber manager subroutines 77a-c also control the execution of various chamber component subroutines that control the operation of the chamber components necessary to perform the selected process set. Examples of chamber component subroutines are substrate positioning subroutine 80, process gas control subroutine 83, pressure control subroutine 85, heater control subroutine 87, and plasma control subroutine 90. Those skilled in the art having ordinary skill in the art will readily recognize that other chamber control subroutines can be included depending on what processes are performed in the processing chamber 15.
[0033]
In operation, the chamber manager subroutine 77a selectively plans or calls process component subroutines according to the particular process set being executed. The chamber manager subroutine 77a plans a process component subroutine just like planning the process set in which the process sequencer subroutine 75 is executed and the chamber in which it is executed. Typically, the chamber manager subroutine 77a monitors various chamber components, determines which components need to be operated based on process parameters for the process set being executed, and monitors and Including executing a chamber component subroutine in response to the determining step.
[0034]
The operation of a particular chamber component subroutine is described below with reference to FIG. 1F. The substrate positioning subroutine 80 is used to load the substrate onto the pedestal 12, lift the substrate to the desired height within the processing chamber 15, and control the spacing between the substrate and the gas distribution manifold 11. Contains program code for controlling chamber components. As the substrate is loaded into the processing chamber 15, the pedestal 12 is lowered to receive the substrate, and then the pedestal 12 is raised to the desired height within the processing chamber 15 to bring the substrate into the gas distribution manifold during the process. 11 to a desired distance or interval. In operation, the substrate positioning subroutine 80 controls the movement of the pedestal 12 in response to process set parameters related to the support height transferred from the chamber manager subroutine 77a.
[0035]
The process gas control subroutine 83 has program code for controlling the composition and flow rate of the process gas. The process gas control subroutine 83 also controls the safety shut-off valve open / close position and the mass flow controller ramp up / down to achieve the desired gas flow rate. The process gas control subroutine 83, like all chamber component subroutines, is invoked by the chamber manager subroutine 77a and receives process parameters relating to the desired gas flow rate from the chamber manager subroutine. Normally, the process gas control subroutine 83 opens the gas supply conduit and repeatedly (i) reads the required mass flow controller and (ii) compares the reading with the desired flow received from the chamber manager subroutine 77a. And (iii) operate by adjusting the flow rate of the gas supply conduit when needed. Further, the process gas control subroutine 83 includes monitoring the gas flow rate for a dangerous flow rate and activating a safety shut-off valve when a dangerous condition is detected.
[0036]
The pressure control subroutine 85 includes program code for controlling the pressure in the processing chamber 15 by adjusting the size of the throttle valve opening in the chamber exhaust system. The size of the throttle valve opening is set to control the chamber pressure to a desired level in relation to the total process gas flow, the size of the processing chamber 15, and the pump set point pressure for the exhaust system. When the pressure control subroutine 85 is invoked, the target pressure level is received as a parameter from the chamber manager subroutine 77a. The pressure control subroutine 85 measures the pressure in the processing chamber 15 by reading one or more conventional pressure gauges connected to the chamber, compares the measured value with the target pressure, and corresponds to the PID (proportional, The integral and derivative values are obtained from the stored pressure table, and the throttle valve is adjusted according to the PID value obtained from the pressure table. Alternatively, the pressure control subroutine 85 can be written to open or close the throttle valve to a specific opening size to adjust the processing chamber 15 to the desired pressure.
[0037]
The heater control subroutine 87 includes program code for controlling the current to the heating unit that is used to heat the substrate. The heater control subroutine 87 is also invoked by the chamber manager subroutine 77a and receives the target or set point temperature parameter. The heater control subroutine 87 measures the output voltage of the thermocouple located on the pedestal 12, compares the measured temperature with the set point temperature, and the current applied to the heating unit to achieve the set point temperature. The temperature is measured by increasing or decreasing. The temperature is obtained from the measured voltage by looking at the corresponding temperature in the stored conversion table or by calculating the temperature using a fourth order polynomial. When using the embedded loop to heat the pedestal 12, the heater control subroutine 87 gradually controls the rise / fall of the current applied to the loop. In addition, a built-in fail-safe mode may be included to detect process safety compliance, and the operation of the heating unit can be interrupted if the processing chamber 15 is not properly configured.
[0038]
The plasma control subroutine 90 includes code for setting the low frequency and high frequency RF power levels applied to the process electrodes in the processing chamber 15 and for setting the low frequency RF frequency employed. The plasma control subroutine 90 also includes program code for activating and setting / adjusting the power level applied to the magnetron or other microwave source used in the present invention. The plasma control subroutine 90 is invoked by the chamber manager subroutine 77a in a manner similar to the previously described chamber component subroutines.
[0039]
The above description of the reactor is primarily for illustrative purposes, and other plasma CVD equipment such as an electron cyclotron resonance (ECR) plasma CVD apparatus, an inductively coupled RF high density plasma CVD apparatus, etc. may be employed. In addition, variations of the system described above are possible, such as variations in susceptor design, heater design, RF power frequency, location of RF power connections, and others. In other embodiments, the process is performed in a chamber that uses a quartz lamp to heat the substrate. The layers and methods for forming such layers of the present invention are not limited to any particular apparatus or any particular plasma excitation method.
[0040]
II. Method and apparatus for depositing high temperature nitride films
A process recipe for depositing a PECVD high temperature nitride film on an 8 inch substrate using a DxZ chamber equipped with a ceramic pedestal and manufactured by Applied Materials, Inc. of Santa Clara, California is preferred for the present invention. Table 1 and Table 2 show the embodiments. The steps for depositing the layer are shown in the flow diagram of FIG. 2A.
[0041]
FIG. 2A illustrates a process according to the present invention. At step 200, environmental parameters are set in the processing chamber. This includes setting the pressure and process temperature within the processing chamber 15. The pressure in the processing chamber 15 is set between about 2 torr and about 8 torr, preferably between about 5 torr and about 7 torr. The process temperature is set between about 400 ° C. and about 600 ° C., preferably between about 500 ° C. and about 575 ° C. At step 210, the electrode spacing (ie, the spacing between the pedestal 12 and the gas distribution faceplate 13a) is between about 200 mils and about 600 mils, preferably between about 300 mils and about 600 mils. Is set. Although this is shown as part of the process of FIG. 2, the electrode spacing may be set before any of the processes of the present invention are performed.
[0042]
Next, at step 220, deposition gas is flowed into the processing chamber. Silane (SiHFour) Is introduced into the processing chamber 15 at a flow rate between about 10 sccm and about 500 sccm. Preferably, the silane is introduced at a flow rate between about 20 sccm and about 100 sccm, and most preferably at a flow rate of about 50 sccm. Nitrogen (N2) Is introduced into the processing chamber 15 at a flow rate between about 100 sccm and about 5000 sccm. Preferably, nitrogen is introduced at a flow rate between about 2000 sccm and about 5000 sccm, and most preferably at a flow rate of about 4500 sccm.
[0043]
As an option, ammonia (NHThree) And / or helium (He) may also be introduced into the processing chamber 15. Ammonia is introduced into the processing chamber 15 at a flow rate up to about 5000 sccm. Preferably, ammonia is introduced at a flow rate between about 10 sccm and about 100 sccm, and most preferably at a flow rate of about 30 sccm. Helium is introduced into the processing chamber 15 at a flow rate between about 500 sccm and about 3000 sccm. The preceding gas flow parameters are for a DxZ chamber made by Applied Materials, Inc. of Santa Clara, California, configured to process an 8 inch substrate and equipped with a ceramic pedestal. Other chamber designs may require different flow rates to deposit a film according to the present invention, so the above parameters may vary between substrate processing systems.
[0044]
To begin the deposition of the silicon nitride film according to the present invention, energy is then applied to the deposition gas (step 230). During this step, for example, RF energy is applied to create a plasma from the deposition gas. An RF power level between about 200 W and about 800 W is applied to form a plasma. In the DxZ chamber described above, this is about 0.62 W / cm.2And about 2.48 W / cm2Equal to the RF power density between. Preferably, an RF power level between about 300 W and about 600 W (about 0.93 W / cm2And about 1.86 W / cm2Is applied to form a plasma. These RF power levels are for single frequency technology using a frequency of 13.56 MHz. Mixed frequency techniques can also be employed, using two or more separate frequencies (eg, 2 MHz and 13.56 MHz). At step 240, the plasma is maintained for a period of time to deposit the film to the desired thickness, the thickness being related to the length of time that the plasma is maintained.
[0045]
Table 1 summarizes the range of parameters employed in the high temperature process of the present invention. Table 2 shows the preferred ranges for these parameters.
[0046]
Table 1
Pressure: 2-8 Torr
RF power (density): 200-800 W (0.62-2.48 W / cm2)
Temperature: 400 ° C to 600 ° C
Electrode spacing: 200-600 mil
SiHFourFlow rate: 10-500 sccm
N2Flow rate: 100-5000 sccm
NHThreeFlow rate: 0-5000 sccm
He flow rate (optional): 500-3000 sccm
[0047]
Table 2 (preferred)
Pressure: 5-7 Torr
RF power (density): 300 to 600 W (0.93 to 1.86 W / cm2)
Temperature: 500 ° C to 575 ° C
Electrode spacing: 300-600 mil
SiHFourFlow rate: 20-100 sccm
N2Flow rate: 2000-5000 sccm
NHThreeFlow rate: 10-100 sccm
[0048]
From Table 2, it is clear that the preferred flow rate ratio of silane to nitrogen is between about 1: 250 and about 1:20, and the maximum preferred flow rate ratio of ammonia to nitrogen is about 1:20. . By keeping the amount of silane within these ranges, the resulting hydrogen content in the film is kept to a minimum. This is because the amount of silane containing hydrogen should only be sufficient to allow film deposition at an acceptable flow rate. A high proportion results in an undesirably high level of hydrogen content. The maximum ratio of ammonia to nitrogen is also kept relatively low for this reason. As the amount of ammonia is increased, the hydrogen content of the resulting membrane also increases. Thus, these flow ratios ensure that the hydrogen content remains low enough (eg, 10 atomic% (at%) or near) to provide a good quality silicon nitride film with favorable characteristics outlined below. To. The low hydrogen content also provides high etch selectivity to oxide, which is particularly important for etch stop applications. Moreover, the range of silane to nitrogen flow ratios employed here improves plasma stability, thereby providing a more uniform film.
[0049]
III. Experiment and simulation results
The high temperatures used in the process according to the invention are important in producing high quality films produced by the process. By using a relatively high temperature, the hydrogen content is reduced. However, for the current heat budget, the maximum temperature employed in the process of the present invention is limited to about 600 ° C. Conversely, film integrity begins to suffer when the film of the present invention is deposited at process temperatures of about 400 ° C. or less (ie, delamination, cracking, and other such phenomena begin to occur). . Therefore, a temperature exceeding about 400 ° C. and approaching about 600 ° C. is preferable. Such relatively high temperature use also requires the use of pedestals and supports capable of withstanding such temperatures (eg, pedestals and supports made of ceramic materials). This reduction in hydrogen provides higher film quality (reduction of cracks, delamination, etc.).
[0050]
In addition, the hydrogen content is reduced in the process of the present invention by minimizing the amount of ammonia used in the process because ammonia contains hydrogen and nitrogen. For example, experimental measurements were performed using Nuclear Resonance Analysis on silicon nitride films deposited using the LPCVD process, the PECVD process, and the process of the present invention. The LPCVD process deposited a film with a hydrogen content of less than 3 at%. The PECVD process deposited a film having a hydrogen content of about 20 at% at 400 ° C., while depositing a film having a hydrogen content of about 13 at% at 480 ° C. Films deposited by the process of the present invention exhibited a hydrogen content of about 10 at%. Although not as low as LPCVD, the hydrogen content of the film was significantly lower than the hydrogen content of PECVD silicon nitride films, especially PECVD silicon nitride films deposited at low temperatures.
[0051]
The use of a reduced silane flow rate is motivated for similar reasons. Since silane also contains hydrogen, only the amount of silane required for film deposition should be employed. However, this is in tension with the need to maximize throughput by maximizing the deposition rate. The inventors have found that the flow rate provides an acceptable balance between this competing relationship.
[0052]
The selectivity of these membranes relative to the oxide was also measured. Films deposited by the process of the present invention and LPCVD silicon nitride films had a selectivity of at least 100. In contrast, the selectivity of PECVD silicon nitride films to oxide was about 80 or less for films deposited at both 400 ° C. and 480 ° C. Thus, for etch stop applications such as self-aligned and borderless contacts, the film according to the present invention will protect the underlayer better than PECVD silicon nitride films, thereby , Allowing more precise patterning of the layers involved. The films according to the present invention exhibit an etch selectivity for oxides comparable to LPCVD silicon nitride films, although the etch selectivity of LPCVD silicon nitride films may actually be high. All etch selectivity described herein is for etch selectivity to oxide of a given nitride film, as will be appreciated by those skilled in the art.
[0053]
Moreover, the process according to the invention exhibits good process stability. For example, the change in film thickness is 2% or less over the operation of 5000 substrates. At the same operation, the uniformity (% 1-s, 3 mmEE) is well below 2% of the specification accepted for this type of membrane and remains between 1% and 1.5%. The refractive index of the film changes less than 0.01 and remains close to 1.96. The film stress on the substrate in operation is 0.3x109Dyne / cm2(Compressibility) stays close, only 0.3x109Dyne / cm2It changes by (maximum-minimum). In another deposition process according to the present invention, the deposited film is 1 × 109Dyne / cm2To 1.5x109Dyne / cm2Presents a membrane stress of the degree of (compressibility). The wet etch rate (WER) of the film according to the present invention is between about 20 liters / minute and about 40 liters / minute (6: 1 BOE).
[0054]
FIG. 2B shows this data in a diagram. The data was generated using a DxZ chamber manufactured by Applied Materials, Inc. of Santa Clara, California, configured to process 8 inch substrates and equipped with a ceramic pedestal. Wet cleaning steps or preventive maintenance operations in which the chamber vacuum seal was broken and the processing chamber was manually wiped with a cleaning fluid were not performed during the operation of the 5000 substrate described herein.
[0055]
Some of the film properties described herein may be modified by changing the process parameters used in the manufacture of the film. This is illustrated in FIG. 2C, which includes a number of graphs generated by computer simulation of films deposited according to the present invention. These graphs show the relationship between various process parameters and various film properties. More particularly, the graph shows the effect of chamber pressure, RF power, electrode spacing, and various flow rates on film thickness, film uniformity, refractive index, and film stress. The following simulation results assume that other parameters (eg, deposition time) remain constant.
[0056]
As FIG. 2C shows, the simulation suggests that although the pressure, RF power, electrode spacing, and degree are small, an increase in nitrogen flow results in a decrease in film thickness per unit time. Conversely, simulations suggest that the film thickness increases with increasing silane or ammonia flow rate. Simulations suggest that membrane uniformity increases with increasing RF power, electrode spacing, and ammonia flow rate. Simulations also suggest that film uniformity decreases significantly with increasing chamber pressure, but changes in nitrogen and silane flow rates are relatively unaffected.
[0057]
Increases in chamber pressure, RF power, and electrode spacing appear to cause a decrease in the refractive index of the resulting film, while increases in silane and ammonia flow rates cause an increase in refractive index. Looks like. Simulations suggest that changes in the nitrogen flow rate have little effect on the resulting film refractive index and that the film stress changes little with changes in the process gas flow rate. Furthermore, simulations suggest that the membrane stress transition from tension to compression increases with chamber pressure and electrode spacing, while an increase in RF power causes the opposite result.
[0058]
These simulations support the inventor's expectations and understanding of the process characteristics. Simulations can predict that the process according to the present invention provides good film uniformity (changes in process parameters have little effect on film uniformity), and such processes have good control over film stress. (Proven by the effects of chamber pressure, electrode spacing, and RF power on membrane stress). These results are consistent with the inventors' expectations and understanding of the process of the present invention.
[0059]
Thus, compared to PECVD nitride films, films according to the present invention provide better etch selectivity and greater control over film stress. Moreover, the films of the present invention exhibit good sidewall coverage. In contrast to LPCVD nitride films, the film according to the present invention provides a quantity of heat that can be implemented in situations where previously formed layers are sensitive to the temperature of subsequent process steps. Again, the film according to the present invention provides greater control over film stress than the LPCVD nitride film and is compatible with the metal layer forming the wiring. Moreover, the film according to the present invention reduces backside deposition (deposition that occurs on the underside of the substrate), better process integration (because the process is a single step), cleaning when compared to LPCVD nitride processes. Provides a longer average time between and higher deposition rates.
[0060]
IV. Example multilayer structure
Since high temperature nitride films produced in accordance with the present invention have properties (eg, etch selectivity and etch rate) comparable to those of LP nitride layers, such high temperature nitride films have a low assigned heat budget. The process can replace the LP nitride film. For example, the etch selectivity of a high temperature nitride film is comparable to that of an LP nitride film, so if it is desirable to use a lower temperature nitride deposition step, the high temperature nitride film may be LP nitride as an etch stop layer. The membrane can be replaced.
[0061]
FIG. 3 illustrates the use of a high temperature nitride layer as an etch stop layer. Initially, the substrate to be processed is positioned in the PECVD chamber. The substrate will typically include structures that require protection during subsequent etching steps. At step 300, a high temperature nitride layer is deposited on the substrate utilizing the recipe described in Tables 1 and 2 and the step described in FIG. 2A. Next, as shown in FIG. 3, an oxide layer is deposited on the substrate (step 310). A photoresist layer is applied over the oxide layer and then cured (step 320). The photoresist is then patterned using standard photolithographic techniques, if necessary (step 330). Next, an etcher is used to etch away a portion of the oxide layer (step 340). In regions where the high temperature nitride layer is underlying the oxide, etching stops upon encountering that layer because of the relatively high etch selectivity of the high temperature nitride layer to the oxide.
[0062]
FIGS. 4A and 4B illustrate an etch that utilizes a high temperature nitride layer 400 as an etch stop layer to create a structure, referred to herein as a self-aligned contact, that is well known to those skilled in the art. Sectional drawing of the device before and after the step is shown. As shown in FIG. 4A, a mask 410 protects a portion of the silicon oxide layer 420 during the etching operation. Before depositing the high temperature nitride layer 400, the polysilicon layer 430, the silicide layer 440 (which protects the polysilicon layer 430), the oxide layer 445, and the oxide space layer (oxide spacer 450 (1), 450 (2), 450 (3), and 450 (4)) are deposited. As the oxide layer etch proceeds, a portion of the silicon oxide layer 420 is removed. However, once reached, the high temperature nitride layer 400 inhibits further etching and thus protects the underlying layer.
[0063]
FIG. 4B shows the structure in FIG. 4A after the etching process is complete. As described, and as shown in FIG. 4B, the high temperature nitride layer 400 prevents etching of the underlying layer. This allows the process to proceed until the exposed portions of the silicon oxide layer 420 are completely removed while avoiding over-etching that may damage the underlying structure. A suitable chemical is then used to remove the newly exposed portions of the high temperature nitride layer 400. This chemical is selected such that the underlying structure of the high temperature nitride layer 400 is not adversely affected (ie, not etched) by the etching step.
[0064]
FIGS. 4C and 4D show another use of a high temperature nitride layer, the formation of a structure referred to herein as an unbounded contact, which is also a structure well known to those skilled in the art. FIGS. 4C and 4D show cross-sectional views of the device before and after an etching step that creates a borderless contact utilizing the high temperature nitride layer 455 as an etch stop layer. As shown in FIG. 4C, a mask 460 protects a portion of the silicon oxide layer 470 during the etching operation. Before depositing the high temperature nitride layer 455, the polysilicon layer 480, the silicide layer 485 (to protect the polysilicon layer 480), and the oxide space layer (oxide spacers 490 (1) and 490 (2)). Are deposited). A high temperature nitride layer 455 is also deposited over the STI 495. As the oxide layer etch proceeds, a portion of the silicon oxide layer 470 is removed. However, once reached, the high temperature nitride layer 455 inhibits further etching and thus protects the area under the nitride layer.
[0065]
FIG. 4D shows the structure in FIG. 4C after the etching process is complete. As described and as shown in FIG. 4D, the high temperature nitride layer 455 prevents etching of the underlying layer. This allows the process to proceed until the exposed portion of the silicon oxide layer 470 has been completely removed while avoiding overetching that may damage the underlying structure. A suitable chemical is then used to remove the newly exposed portions of the high temperature nitride layer 455. This chemical is selected such that the underlying structure of the high temperature nitride layer 455 is not adversely affected (ie, not etched) by the etching step.
[0066]
Yet another use of the high temperature nitride layer is in the formation of spacers. In a process for forming a silicide electrode in a MOS or bipolar transistor, a spacer layer can be utilized to precisely position the electrode, lightly doped drain, or other structure.
[0067]
5A and 5B show cross-sectional views of a device during formation of a spacer, eg, a logic device having a spacer formed of high temperature nitride material. In FIG. 5A, a polysilicon layer 500 and a silicide layer 510 are deposited on the substrate 520 in that order. Polysilicon layer 500 and silicide layer 510 may be patterned, for example, to form a silicide electrode. A high temperature nitride layer 530 is deposited over the silicide electrode. The high temperature nitride layer 530 may be deposited using a process recipe as shown in Table 1 or Table 2. In FIG. 5B, the high temperature nitride layer 530 is then etched by a process such as sputtering. Etching anisotropically removes the horizontal portion of the high temperature nitride layer 530, thus forming the high temperature nitride spacers 540 (1) -540 (4).
[0068]
Additional uses for the high temperature nitride layer of the present invention include use as a lining layer in shallow trench isolation processes and as a lining layer for premetal dielectric films. In shallow trench isolation techniques, isolation is provided by forming a recess or trench between two active regions on which electronic devices are placed. The trench is filled with an isolation material such as CVD oxide. The quality of the trench isolation process is improved when the nitride lining layer is deposited on the trench walls and base prior to the conformal dielectric layer deposition.
[0069]
FIG. 6 shows a cross section of a substrate having a high temperature nitride layer deposited as a lining layer for shallow trench isolation, and FIG. 7 shows the process steps for forming such a lining layer. FIG. Referring to FIGS. 6 and 7, shallow trenches 700 are first formed in the substrate 702 to isolate islands 704 between the trenches 700 (step 800). A high temperature nitride lining layer 706 is then formed. Deposition of the high temperature nitride lining layer 706 begins with the inflow of process gas into the processing chamber of the substrate processing system (step 810). Such a gas may include, for example, silane and nitrogen.
[0070]
At step 820, environmental parameters are set in the processing chamber. This includes setting the pressure and temperature in the processing chamber to acceptable levels. For example, a pressure between about 2 Torr and about 8 Torr at a temperature between about 400 ° C. and about 600 ° C. may be used to produce a membrane according to the present invention. At step 830, energy is applied to the process gas to allow the reaction to proceed to form the high temperature nitride lining layer 706. This can be, for example, RF or microwave energy, and the application will be maintained until the high temperature nitride lining layer 706 is deposited to an acceptable thickness (step 840). An active device is then formed over the high temperature nitride lining layer 706 (step 850).
[0071]
III. Example transistor structure
FIG. 8 shows a simplified cross-sectional view of an integrated circuit 800 that can be made in accordance with the present invention. As shown, the integrated circuit 800 includes an NMOS transistor 803 and a PMOS transistor 806 that are separated from each other by a field oxide region 820 formed by local oxidation of silicon (LOCOS) or other techniques. Insulated. Alternatively, transistors 803 and 806 may be isolated from each other and electrically isolated by trench isolation (not shown) when transistors 803 and 806 are both NMOS or both PMOS. Each transistor 803 and 806 includes a source area 812, a drain area 815, and a gate area 818.
[0072]
A premetal dielectric (PMD) layer 821 separates the transistors 803 and 806 from the metal layer 840 and has a connection created by a contact 824 between the metal layer 840 and the transistor. Metal layer 840 is one of four metal layers 840, 842, 844 and 846 included in integrated circuit 800. Each metal layer 840, 842, 844, and 846 is separated from the adjacent metal layer by a respective intermetal dielectric (IMD) layer 827, 828, or 829. Adjacent metal layers are connected by vias 826 at selected openings. Deposited over the metal layer 846 is a planarization passivation layer 830.
[0073]
A premetal dielectric layer (eg, PMD 821) may be formed by depositing a dielectric layer over the high temperature nitride layer according to the present invention. For example, in FIG. 8, PMD 821 includes a boron phosphate silicon glass (BPSG) layer 850 deposited on high temperature nitride lining layer 851. FIG. 9 is a flow diagram illustrating the steps of the process for forming such a PMD. Referring to FIGS. 8 and 9, the deposition of the high temperature nitride lining layer 851 is initiated by flowing a deposition gas such as silane and nitrogen into the processing chamber (step 1000). The environmental parameter is then set to the desired level in the processing chamber (step 1010). For example, a pressure between about 2 Torr and about 8 Torr and a temperature between about 400 ° C. and about 600 ° C. may be maintained in the processing chamber. In a process using silane and nitrogen, as described in Table 2, a pressure between about 5 Torr and about 7 Torr and a temperature between about 500 ° C. and about 575 ° C. are maintained in the processing chamber. It is preferable. Next, at step 1020, energy is applied to the process gas to initiate a chemical reaction to deposit the high temperature nitride lining layer 851. For example, RF energy may be applied to generate a plasma from the process gas. Application of energy is maintained to deposit the high temperature nitride lining layer 851 to the desired thickness (step 1030). A dielectric layer, such as a BPSG layer 850, is then deposited over the high temperature nitride lining layer 851 (step 1040).
[0074]
It should be understood that the simplified integrated circuit 800 of FIG. 8 is presented for illustrative purposes only. Those of ordinary skill in the art will be able to practice the invention in the manufacture of other integrated circuits such as microprocessors, application specific integrated circuits (ASICs), memory devices, and the like. Furthermore, the present invention may be applied to the manufacture of PMOS, NMOS, CMOS, bipolar, or BiCMOS devices, among others.
[0075]
The invention has been described with reference to the preferred embodiments. Modifications and substitutions will be apparent to those skilled in the art. In particular, process parameters have been described for specific process chambers and substrate sizes, but conversion to different chambers and substrate sizes is well understood and the flow ratios described herein are easily convertible. . Accordingly, there is no intention to limit the invention except as provided by the appended claims.
[Brief description of the drawings]
1A is a longitudinal cross-sectional view of one embodiment of a chemical vapor deposition apparatus according to the present invention. FIG.
FIG. 1B is a longitudinal cross-sectional view of one embodiment of a chemical vapor deposition apparatus according to the present invention.
1C is an exploded perspective view of a portion of the CVD chamber shown in FIG. 1A.
1D is an exploded perspective view of a portion of the CVD chamber shown in FIG. 1A. FIG.
1E is a simplified diagram of a system monitor and CVD system 10 in a multi-chamber system that can include one or more chambers. FIG.
FIG. 1F is a block diagram of a hierarchical control structure of a computer program 70 that is system control software, in accordance with certain embodiments.
FIG. 2A is a flow diagram illustrating steps for depositing a high temperature nitride film according to the present invention.
FIG. 2B is a set of diagrams showing the stability of the process according to the present invention with respect to film thickness, film uniformity, refractive index, and film stress.
FIG. 2C illustrates the effect of various process parameters on the properties of high temperature nitride films according to the present invention.
FIG. 3 is a flow diagram illustrating steps for utilizing a high temperature PECVD nitride film as an etch stop layer in an oxide etch process.
4A is a cross-sectional view of a device showing high temperature PECVD nitride used as an etch stop layer in a self-aligned contact structure. FIG.
FIG. 4B is a cross-sectional view of a device showing high temperature PECVD nitride used as an etch stop layer in a self-aligned contact structure.
FIG. 4C is a cross-sectional view of a device showing high temperature PECVD nitride used as an etch stop layer in an unbounded contact structure.
FIG. 4D is a cross-sectional view of a device showing high temperature PECVD nitride used as an etch stop layer in an unbounded contact structure.
FIG. 5A is a cross-sectional view of a device showing the formation of a high temperature PECVD nitride layer on a spacer.
FIG. 5B is a cross-sectional view of the device showing the formation of a high temperature PECVD nitride layer on the spacer.
FIG. 6 is a cross-sectional view of a shallow isolation trench structure.
FIG. 7 is a flow diagram illustrating the steps of forming a high temperature nitride lining layer with a shallow isolation trench structure.
FIG. 8 is a cross-sectional view of a premetal dielectric layer.
FIG. 9 is a flow diagram illustrating the steps of forming a high temperature nitride lining layer with a pre-metal dielectric layer.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 7 ... Gas source, 8 ... Gas supply conduit, 9 ... Gas mixing system, 10 ... CVD system, 10 ... Chamber, 10 ... Chemical vapor deposition system, 11 ... Gas distribution manifold, 11 ... Intake manifold, 12 ... Chamber 12 ... Pedestal, 12a ... Surface, 12b ... Substrate lifting pin, 13a ... Gas distribution front plate, 13b ... Through hole, 14 ... Process position, 14 ... Broken line, 15 ... Chamber, 15 ... Processing chamber, 15 ... Vacuum chamber, 15a ... chamber wall, 15b ... chamber lid assembly, 16 ... annular slot, 17 ... annular discharge plenum, 19 ... dielectric lining, 20 ... chamber lid, 21 ... lateral extension, 23 ... gas passage, 23 ... discharge passage, 24 ... Vacuum shut-off valve, 25 ... Discharge outlet, 26 ... Opening, 32 ... Motor, 34 ... System controller, 3 ... control line, 37 ... processor, 38 ... memory, 40 ... arrow, 42 ... blocker plate, 44 ... RF power supply, 50a ... CRT monitor, 50b ... optical pen, 70 ... computer program, 73 ... subroutine for process selection, 75 ... Process sequencer subroutine, 77a ... chamber manager subroutine, 77a-c ... chamber manager subroutine, 80 ... subroutine, 83 ... process gas control subroutine, 85 ... pressure control subroutine, 87 ... heater control subroutine, 90 ... plasma control subroutine, 400 ... high temperature Nitride layer, 410 ... mask, 420 ... silicon oxide layer, 430 ... polysilicon layer, 440 ... silicide layer, 445 ... oxide layer, 450 ... oxide spacer, 455 ... high temperature nitride layer, 460 ... mask, 470 ... Silicon oxide 480 ... polysilicon layer, 485 ... silicide layer, 490 ... oxide spacer, 500 ... polysilicon layer, 510 ... silicide layer, 520 ... substrate, 530 ... high temperature nitride layer, 540 ... high temperature nitride spacer, 700 ... Trench, 702 ... Substrate, 704 ... Island, 706 ... High temperature nitride lining layer, 800 ... Integrated circuit, 803 ... NMOS transistor, 806 ... PMOS transistor, 812 ... Source region, 815 ... Drain region, 818 ... Gate region, 820 ... Field oxide area, 821 ... Premetal dielectric (PMD) layer, 824 ... Contact, 826 ... Via, 827 ... Intermetal dielectric (IMD) layer, 830 ... Planarization passivation layer, 840 ... Metal layer, 846 ... Metal layer , 850 ... Boron phosphate silicon glass (boron phosphate silicon glass) (BPSG) layer, 851... high temperature nitride lining layer.

Claims (14)

真空チャンバ内で窒化ケイ素層を堆積する方法であって、
第1プロセスガスおよび第2プロセスガスを含むプロセスガスを前記真空チャンバ内へ流入させるステップであり、前記第1プロセスガスがシランであり、前記第2プロセスガスが窒素であるステップと、
前記真空チャンバ内で、Torrを超えてTorr未満の圧力を維持するステップと、
前記真空チャンバ内で前記プロセスガスからプラズマを発生するステップと、
前記真空チャンバ内で、500℃と575℃との間に温度を維持するステップと、
前記窒化ケイ素層を堆積するために、前記真空チャンバ内に前記プラズマを維持するステップとを含む方法。
A method of depositing a silicon nitride layer in a vacuum chamber, comprising:
Flowing a process gas including a first process gas and a second process gas into the vacuum chamber, wherein the first process gas is silane and the second process gas is nitrogen;
Maintaining a pressure in the vacuum chamber of greater than 2 Torr and less than 8 Torr;
Generating a plasma from the process gas in the vacuum chamber;
Maintaining a temperature between 500 ° C. and 575 ° C. in the vacuum chamber;
To deposit the silicon nitride layer, the method including the step of maintaining said plasma in the vacuum chamber.
プロセスガスを流す前記ステップは、更に、前記第1プロセスガスの流量を、20sccmと500sccmとの間に維持するステップと、前記第2プロセスガスの流量を、100sccmと5000sccmとの間に維持するステップとを含む、請求項1に記載の方法。The step of flowing process gas further comprises maintaining the flow rate of the first process gas between 20 sccm and 500 sccm, and the flow rate of the second process gas between 100 sccm and 5000 sccm. And maintaining the method. 前記第1プロセスガスと前記第2プロセスガスとの間の流量比は、:250と:20との間に維持される、請求項1に記載の方法。The flow rate ratio between the first process gas and said second process gas, 1: 250 and 1: 20. maintained between the method of claim 1. プロセスガスを供給する前記ステップは、更に、前記第1プロセスガスの流量を、20sccmと100sccmとの間に維持するステップと、前記第2プロセスガスの流量を、1000sccmと5000sccmとの間に維持するステップとを含む、請求項1に記載の方法。The step of supplying a process gas further comprises maintaining a flow rate of the first process gas between 20 sccm and 100 sccm, and a flow rate of the second process gas between 1000 sccm and 5000 sccm. The method of claim 1 comprising maintaining in between. 前記プロセスガスは更に第3プロセスガスを含み、前記第3プロセスガスがアンモニアである、請求項1〜4のいずれか一項に記載の方法。The method according to any one of claims 1 to 4 , wherein the process gas further comprises a third process gas, and the third process gas is ammonia. 前記真空チャンバ内へ前記プロセスガスを流入させるステップは、更に、前記第1プロセスガスの流量を、20sccmと500sccmとの間に維持するステップと、前記第2プロセスガスの流量を、100sccmと5000sccmとの間に維持するステップと、前記第3プロセスガスの流量を、sccmと5000sccmとの間に維持するステップとを含む、請求項5に記載の方法。The step of flowing the process gas into the vacuum chamber further includes the step of maintaining the flow rate of the first process gas between 20 sccm and 500 sccm, and the flow rate of the second process gas is 100 sccm. The method of claim 5, comprising maintaining between 3 and 5000 sccm, and maintaining the flow rate of the third process gas between 1 sccm and 5000 sccm. 前記第3プロセスガスと前記第2プロセスガスとの間の流量比は:20未満に維持される、請求項5に記載の方法。The flow rate ratio between the third process gas and said second process gas is 1: is maintained below 20, The method of claim 5. 真空チャンバ内へ前記プロセスガスを流入させる前記ステップは、更に、前記第1プロセスガスの流量を、20sccmと100sccmとの間に維持するステップと、前記第2プロセスガスの流量を、1000sccmと5000sccmとの間に維持するステップと、前記第3プロセスガスの流量を、sccmと100sccmとの間に維持するステップとを含む、請求項5に記載の方法。The step of flowing the process gas into the vacuum chamber further comprises maintaining the flow rate of the first process gas between 20 sccm and 100 sccm, and the flow rate of the second process gas at 1000 sccm. The method of claim 5, comprising maintaining between 3 and 5000 sccm, and maintaining a flow rate of the third process gas between 1 sccm and 100 sccm. 更に、前記圧力を、TorrとTorrとの間に維持するステップ含む、請求項1〜8のいずれか一項に記載の方法。Furthermore, the pressure comprises maintaining between 5 Torr and 7 Torr, the method according to any one of claims 1-8. 前記プロセスガスは、更に第4のプロセスガスを含み前記第4プロセスガスがヘリウムである、請求項1〜9のいずれか一項に記載の方法。It said process gas further includes a fourth process gas, the fourth process gas is helium, the method according to any one of claims 1-9. 前記真空チャンバ内へ前記プロセスガスを流入させるステップは、更に、前記第1プロセスガスの流量を、20sccmと100sccmとの間に維持するステップと、前記第2プロセスガスの流量を、100sccmと5000sccmとの間に維持するステップと、前記第3プロセスガスの流量を、sccmと 5000sccmとの間に維持するステップと、前記第4プロセスガスの流量を、500sccmと3000sccmとの間に維持するステップとを含む、請求項10に記載の方法。The step of flowing the process gas into the vacuum chamber further includes the step of maintaining the flow rate of the first process gas between 20 sccm and 100 sccm, and the flow rate of the second process gas is 100 sccm. When the step of maintaining between 5000 sccm, the flow rate of the third process gas, a step of maintaining between 1 sccm and 5000 sccm, the flow rate of the fourth process gas, and 500 sccm and 3000 sccm 11. The method of claim 10, comprising maintaining during 更に、0.93W/cm1.86W/cmとの間のRF電力密度でRFエネルギーを印加することによって前記プラズマを維持するステップとを含む、請求項11に記載の方法。12. The method of claim 11, further comprising maintaining the plasma by applying RF energy at an RF power density between 0.93 W / cm 2 and 1.86 W / cm 2 . 少なくともひとつのフィーチャを有する基板上に窒化ケイ素のスペーサを形成する方法であって、前記基板は真空チャンバ内に配置され、前記方法は、第1プロセスガスおよび第2プロセスガスを含むプロセスガスを前記真空チャンバへ流入させるステップであり、前記第1プロセスガスがシランであり、前記第2プロセスガスが窒素であるステップと、前記真空チャンバ内で、Torrを超えてTorr未満の圧力を維持するステップと、前記真空チャンバ内に前記プロセスガスからプラズマを発生するステップと、前記真空チャンバ内で、500℃と575℃との間に温度を維持するステップと、少なくとも前記フィーチャ上に窒化ケイ素層を堆積するために、前記真空チャンバ内に前記プラズマを維持するステップと、前記フィーチャ上に少なくともひとつの前記窒化ケイ素のスペーサを形成するよう前記窒化ケイ素層をパターン化するステップとを含む方法。A method of forming a silicon nitride spacer on a substrate having at least one feature, wherein the substrate is disposed in a vacuum chamber, the method including a process gas including a first process gas and a second process gas. A step of flowing into a vacuum chamber, wherein the first process gas is silane and the second process gas is nitrogen, and maintaining a pressure in the vacuum chamber of greater than 2 Torr and less than 8 Torr Generating a plasma from the process gas in the vacuum chamber; maintaining a temperature between 500 ° C. and 575 ° C. in the vacuum chamber; and a silicon nitride layer on at least the feature Maintaining the plasma in the vacuum chamber for deposition; and Method comprising the steps of patterning the silicon nitride layer to form a spacer of at least one of said silicon nitride on Cha. 更に、前記圧力を、TorrとTorrとの間に維持するステップと、0.93W/cm1.86W/cmとの間のRF電力密度でRFエネルギーを印加することによって、前記プラズマを維持するステップとを含む、請求項13に記載の方法。Furthermore, maintaining the pressure between 5 Torr and 7 Torr and applying RF energy at an RF power density between 0.93 W / cm 2 and 1.86 W / cm 2 and a step of maintaining the plasma the method of claim 13.
JP2000559586A 1998-07-10 1999-06-23 Plasma process for depositing silicon nitride with high film quality and low hydrogen content Expired - Fee Related JP4364438B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11353498A 1998-07-10 1998-07-10
US09/113,534 1998-07-10
PCT/US1999/014244 WO2000003425A1 (en) 1998-07-10 1999-06-23 Plasma process to deposit silicon nitride with high film quality and low hydrogen content

Publications (2)

Publication Number Publication Date
JP2002520849A JP2002520849A (en) 2002-07-09
JP4364438B2 true JP4364438B2 (en) 2009-11-18

Family

ID=22349988

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000559586A Expired - Fee Related JP4364438B2 (en) 1998-07-10 1999-06-23 Plasma process for depositing silicon nitride with high film quality and low hydrogen content

Country Status (3)

Country Link
EP (1) EP1097473A1 (en)
JP (1) JP4364438B2 (en)
WO (1) WO2000003425A1 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003521122A (en) * 2000-01-27 2003-07-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Shallow trench integrated circuit and manufacturing method thereof
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6362098B1 (en) 2001-02-28 2002-03-26 Motorola, Inc. Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate
JP2002343962A (en) 2001-05-15 2002-11-29 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
NL1020634C2 (en) * 2002-05-21 2003-11-24 Otb Group Bv Method for passivating a semiconductor substrate.
US8080453B1 (en) 2002-06-28 2011-12-20 Cypress Semiconductor Corporation Gate stack having nitride layer
JP5005170B2 (en) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド Method for forming ultra-high quality silicon-containing compound layer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6803321B1 (en) * 2002-12-06 2004-10-12 Cypress Semiconductor Corporation Nitride spacer formation
CN1327508C (en) 2003-04-28 2007-07-18 富士通株式会社 Manufacture of semiconductor device
US7371637B2 (en) 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
US7306995B2 (en) * 2003-12-17 2007-12-11 Texas Instruments Incorporated Reduced hydrogen sidewall spacer oxide
JP2005286135A (en) * 2004-03-30 2005-10-13 Eudyna Devices Inc Semiconductor device and manufacturing method thereof
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100820813B1 (en) * 2005-08-10 2008-04-10 엘지전자 주식회사 Apparatus for removing noise-image
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US8563095B2 (en) 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8586487B2 (en) * 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
JP2014060378A (en) * 2012-08-23 2014-04-03 Tokyo Electron Ltd Silicon nitride film deposition method, organic electronic device manufacturing method and silicon nitride film deposition device
CN116254518B (en) * 2023-05-10 2023-08-18 上海陛通半导体能源科技股份有限公司 Preparation method of silicon nitride film

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259297A (en) * 1992-03-09 1993-10-08 Oki Electric Ind Co Ltd Manufacture of semiconductor device
US5434096A (en) * 1994-10-05 1995-07-18 Taiwan Semiconductor Manufacturing Company Ltd. Method to prevent silicide bubble in the VLSI process
US5702976A (en) * 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US6577007B1 (en) * 1996-02-01 2003-06-10 Advanced Micro Devices, Inc. Manufacturing process for borderless vias with respect to underlying metal
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system

Also Published As

Publication number Publication date
EP1097473A1 (en) 2001-05-09
WO2000003425A1 (en) 2000-01-20
JP2002520849A (en) 2002-07-09

Similar Documents

Publication Publication Date Title
JP4364438B2 (en) Plasma process for depositing silicon nitride with high film quality and low hydrogen content
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6156149A (en) In situ deposition of a dielectric oxide layer and anti-reflective coating
JP4408565B2 (en) Two-stage BPSG deposition process
US6209484B1 (en) Method and apparatus for depositing an etch stop layer
US6703321B2 (en) Low thermal budget solution for PMD application using sacvd layer
US7674727B2 (en) Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
JP4176864B2 (en) Method for depositing a low dielectric constant Si-O-F film using silicon tetrafluoride / oxygen chemistry
US7459405B2 (en) Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US6319324B1 (en) Method and apparatus for elimination of TEOS/ozone silicon oxide surface sensitivity
EP1148533A2 (en) Method and apparatus for cleaning parts of a deposition system or etching wafers
JP2001135625A (en) Multistage chamber cleaning process for improving film gap filling using remote plasma
JP2001135635A (en) Nitrifying of polished halogen-doped silicon glass
US6360685B1 (en) Sub-atmospheric chemical vapor deposition system with dopant bypass
JP4866247B2 (en) Formation of limited thermal history of PMD layer
US6451686B1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6797646B2 (en) Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
JP2002057157A (en) Hpd-fsg process for copper damascene integrated circuits

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090610

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090617

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090706

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090804

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090819

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120828

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120828

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130828

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees