JP4015510B2 - Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof - Google Patents

Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof Download PDF

Info

Publication number
JP4015510B2
JP4015510B2 JP2002262304A JP2002262304A JP4015510B2 JP 4015510 B2 JP4015510 B2 JP 4015510B2 JP 2002262304 A JP2002262304 A JP 2002262304A JP 2002262304 A JP2002262304 A JP 2002262304A JP 4015510 B2 JP4015510 B2 JP 4015510B2
Authority
JP
Japan
Prior art keywords
insulating film
silicon
gas
multilayer wiring
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002262304A
Other languages
Japanese (ja)
Other versions
JP2004103752A (en
Inventor
直人 辻
文紀 尾崎
聡 高橋
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Priority to JP2002262304A priority Critical patent/JP4015510B2/en
Priority to US10/657,416 priority patent/US7098129B2/en
Priority to EP03020250A priority patent/EP1396884A3/en
Priority to KR1020030063098A priority patent/KR20040023557A/en
Publication of JP2004103752A publication Critical patent/JP2004103752A/en
Application granted granted Critical
Publication of JP4015510B2 publication Critical patent/JP4015510B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路の多層配線用層間絶縁膜及びその製造方法に関し、特に、Cu多層配線に使用するためのポリッシングストッパ膜及びその製造方法に関する。
【0002】
【従来技術】
半導体集積回路は、これまで高速化及び高機能化を目指し、微細化が進んできた。従来、半導体集積回路の多層配線材料としてAlが使用されてきたが、配線が微細かつ長距離になるにつれ、電流密度の増大で発生するエレクトロマイグレーションによる断線事故及びAlの抵抗率及び絶縁膜の誘電率に起因する信号遅延といった点が問題になってきた。
【0003】
次世代の多層配線材料として注目されているのがCuである。Cuは断線事故に対して強く、抵抗もAlに比べて小さい。1997年に、IBMとMotorolaによって、デュアルダマシン(Dual-Damascene)と呼ばれる技術が開発された。従来は、Al膜をエッチングで凸状に加工して配線を形成し、その後層間を絶縁膜で埋め込んでいた。これに対してダマシン配線技術は、平坦な層間絶縁膜に配線パターンの溝をエッチングで形成し、全面にCu薄膜を堆積させた後、化学機械研磨(CMP)によって研磨し、溝部分にのみCuを残して配線とするものである(例えば、非特許文献1参照)。
【0004】
【非特許文献1】
「次世代ULSIプロセス技術」株式会社リアライズ社、平成12年2月29日、p.558−565
【0005】
このダマシン配線技術では、低誘電率絶縁膜の適用が必須である。低誘電率絶縁膜として、スピンコート法による無機SOG膜、材料ガスとしてCxFyHzを用いたプラズマCVD法によるa-C:F膜、材料ガスとしてシリコン系炭化水素を用いたプラズマCVD法によるSixCyOz膜等が知られている。
【0006】
【発明が解決しようとする課題】
ダマシン配線技術のCMP工程では、研磨布と研磨液(スラリー)を用いて研磨を行うが、絶縁膜の機械的強度が低いとCu配線部分より絶縁膜部分が凹んでしまうディッシングと呼ばれる問題が生じる。これは、研磨レートの異なる材料が同一研磨面内に混在する(例えば、金属配線及び層間絶縁膜)場合、研磨布が変形可能であることから、研磨レートの大きな材料が余計に研磨されるために発生する現象である。ダマシン配線技術で最も有望な低誘電率膜であるSixCyOz膜は、膜中に-CHx結合を多く含み多孔質であるため機械的強度が低く、ディッシングの問題が生じる。
【0007】
ディッシングの問題を解決するために後処理用の装置を用意すると、装置スペース及びコストの面で問題となるばかりか、装置間の移動の際に生じるパーティクル汚染も問題となる。
【0008】
したがって、本発明の目的は、ダマシン配線技術のCMP工程でディッシングが生じないような層間絶縁膜を製造する方法を与えることである。
【0009】
本発明の他の目的は、別の装置を一切必要としない低コストの層間絶縁膜を製造する方法を与えることである。
【0010】
【課題を解決するための手段】
上記課題を解決するために、本発明に係る層間絶縁膜を製造する方法は以下の工程から成る。
【0011】
半導体集積回路の多層配線用層間絶縁膜の製造方法は、
シリコン系炭化水素を材料ガスとして用いてプラズマCVD法により、第1の絶縁膜を形成する工程と、
第1の絶縁膜を形成した後、in-situで連続的にシリコン系炭化水素ガス及び酸化性ガスを材料ガスとして用いてプラズマCVD法により、第1の絶縁膜上に第2の絶縁膜を形成する工程と、
から成る。
【0012】
好適には、酸化性ガスの流量はシリコン系炭化水素ガスの流量の1.2〜100倍である。
【0013】
本発明で使用するシリコン系炭化水素は、一般式SiαOα -1(R)2 α - β +2(OCnH2n+1)で表され、
ここで、α=1〜3の整数、β=0〜2の整数、n=1〜3の整数及びR=Siに結合されたC1-6炭化水素である。
【0014】
具体的には、シリコン系炭化水素は、ジメチル・ジメトキシシランを含む。
【0015】
また具体的には、酸化性ガスは、酸素、亜酸化窒素、オゾン、過酸化酸素、二酸化炭素、アルコール類の少なくとも1つから成る。
【0016】
一方、半導体集積回路の多層配線用層間絶縁膜は、
シリコン系炭化水素を材料ガスとして用いてプラズマCVD法により形成された、第1の絶縁膜と、
第1の絶縁膜を形成した後、in-situで連続的にシリコン系炭化水素ガス及び酸化性ガスを材料ガスとして用いてプラズマCVD法により、第1の絶縁膜上に形成された第2の絶縁膜と、
から成る。
【0017】
【発明の実施の態様】
以下、図面を参照しながら本願発明を詳細に説明する。図1は、本発明に係る半導体集積回路の多層配線用層間絶縁膜の製造方法に使用するプラズマCVD装置の略示図である。
【0018】
プラズマCVD装置1は反応チャンバ6を含む。反応チャンバ6内側には半導体ウエハ4を載置するためのサセプタ3が設けられている。サセプタ3はヒータ2によって支持され、該ヒータ2は半導体ウエハ4を所定の温度(350〜450℃)に維持する。サセプタ3はプラズマ放電のための一方の電極を兼ねており、反応チャンバ6を通じて接地11されている。反応チャンバ6の内側天井部にはサセプタ3と平行に対向して、シャワーヘッド9が設けられている。シャワーヘッド9は底面に多くの細孔を有しており、そこから以下に説明する材料ガスが半導体ウエハ4に向かって均一に噴出される。シャワーヘッド9の中央部には材料ガス導入口5が設けられ、材料ガスはガスライン(図示せず)を通じてシャワーヘッド9に導入される。ガス導入口5は反応チャンバ6から電気的に絶縁されている。シャワーヘッド9はプラズマ放電のためのもう一方の電極を兼ねており、材料ガス導入口5を通じて外部の第1の高周波電源7及び第2の高周波電源8に接続されている。これによって、半導体ウエハ4の近傍にプラズマ反応場が生成される。反応チャンバ6の底部には排気口10が設けられ、外部の真空ポンプ(図示せず)と連結されている。
【0019】
次に、本発明に係る半導体集積回路の多層配線用層間絶縁膜の製造方法について説明する。本発明に係る多層配線用層間絶縁膜の製造方法は、シリコン系炭化水素を材料ガスとして用いてプラズマCVD法により、第1の絶縁膜を形成する工程を含む。ここで材料ガスは、一般式SiαOα -1(R)2 α - β +2(OCnH2n+1)(ここで、α=1〜3の整数、β=0〜2の整数、n=1〜3の整数及びR=Siに結合されたC1-6炭化水素)で表されるシリコン系炭化水素であり、好適にはDM-DMOS(ジメチル・ジメトキシシラン)である。他に副原料ガスとして、CO2、アルコール類、少なくとも1つの不飽和結合を含む炭化水素、またはN2を含むことができる。Si/O比を制御する必要がある場合には副原料ガスとしてさらにO2若しくはN2Oを付加することもできる。さらに添加ガスとして、Ar及び/またはHeのような不活性ガスを含むこともできる。
【0020】
外部真空ポンプ(図示せず)によって真空排気した後、材料ガスは、ガス導入口5からシャワーヘッド9を通じて反応チャンバ6内部に導入される。続いて、第1の高周波電源7及び第2の高周波電源8によって励起高周波パワーが印加され、半導体基板4近傍にプラズマ反応場が形成される。ここで、第1の高周波電源7の周波数は2MHz以上であり、重畳する第2の高周波電源8の周波数は2MHz以下である。選択的に、第1の高周波電源7のみを用いることも可能である。プラズマ中で分解した材料ガス原子が化学反応を起こし、組成SixCyOzの第1の絶縁膜が半導体ウエハ4上に堆積する。
【0021】
また、本発明に係る多層配線用層間絶縁膜の製造方法は、第1の絶縁膜を形成した後、in-situで連続的にシリコン系炭化水素ガス及び酸化性ガスを材料ガスとして用いてプラズマCVD法により、第1の絶縁膜上に第2の絶縁膜を形成する工程を含む。ここで材料ガスとして用いるシリコン系炭化水素は、一般式SiαOα -1(R)2 α - β +2(OCnH2n+1)(ここで、α=1〜3の整数、β=0〜2の整数、n=1〜3の整数及びR=Siに結合されたC1-6炭化水素)で表されるシリコン系炭化水素であり、好適にはDM-DMOS(ジメチル・ジメトキシシラン)である。また材料ガスとして用いる酸化性ガスは、酸素、亜酸化窒素、オゾン、過酸化酸素、二酸化炭素、アルコール類の少なくとも1つから成る。以下に詳細に説明するように、鋭意研究を重ねた結果、酸化性ガスの流量をシリコン系炭化水素ガスの流量の1.2〜100倍に制御することにより、第2の絶縁膜がポリッシングストッパ膜としての機能を果たすことがわかった。
【0022】
第1の絶縁膜が形成された後、in-situで連続的に材料ガスをガス導入口5からシャワーヘッド9を通じて反応チャンバ6内に導入する。この際、酸化性ガスの流量はシリコン系炭化水素ガスの流量の1.2〜100倍に制御する。続いて、第1の高周波電源7及び第2の高周波電源8によって励起高周波パワーが印加され、半導体ウエハ4近傍にプラズマ反応場が形成される。ここで、第1の高周波電源7の周波数は2MHz以上であり、重畳する第2の高周波電源8の周波数は2MHz以下である。選択的に、第1の高周波電源7のみを用いることも可能である。プラズマ中で分解した材料ガス原子が化学反応を起こし、組成SiO2の第2の絶縁膜が半導体基板4上に堆積する。
【0023】
第1の絶縁膜の特徴は誘電率が低いことである。これは、主原料ガス(シリコン系炭化水素)中のSi-C結合がそのまま膜中に取り込まれ、膜の密度が疎となるためである。しかし、第1の絶縁膜は膜中に-CHx結合を多く含み多孔質であるため機械的強度が低いという欠点を有する。本発明者らはこの点に着目し、第1の絶縁膜の欠点を補うべく、機械的強度の高い第2の絶縁膜を第1の絶縁膜上に形成する方法を発明するに至った。第2の絶縁膜の特徴は機械的強度が高いことである。これは、酸化性ガスを過剰に流すことによって、膜中にCが入らず、膜が緻密となるためであると考えられる。
【0024】
【実施例】
以下、本発明に係る層間絶縁膜の製造方法で形成した絶縁膜の評価実験を行ったので説明する。実験では、主原料ガスとしてDM-DMOS及び1,3ジメトキシテトラメチルジシロキサンを用い、それぞれの場合について第2の絶縁膜の単独評価及び第1の絶縁膜と組み合わせた場合のダマシン構造のCMP研磨試験を行った。
(実験1)
プラズマCVD装置:Eagle 12(日本エー・エス・エム社製)
第1の絶縁膜の成膜条件:
主原料ガス:DM-DMOS 200sccm
添加ガス:He 400sccm
第1のRF周波数: 27.12MHz 2.8W/cm2
第2の絶縁膜の成膜条件:
主原料ガス:DM-DMOS 100sccm
酸化性ガス:O2
第1のRF周波数: 27.12MHz
その他の第2の絶縁膜の成膜条件は表1の通りである。
【0025】
【表1】

Figure 0004015510
【0026】
この条件で、まず第2の絶縁膜を1μm成膜し、単独での膜厚分布、屈折率及び硬度を評価した。表2は評価結果を示したものである。
【0027】
【表2】
Figure 0004015510
【0028】
CMPのポリッシングストッパとして好適な硬度は6GPa以上である。この実験結果から、第2の絶縁膜の好適な成膜条件は、酸化性ガス/主原料ガスの流量比=1.2〜100、圧力100〜400Pa、第1RF高周波パワー=0.5〜1.5W/cm2であることがわかった。
【0029】
次に、CMP試験を行ったので説明する。まず、上記装置及び成膜条件で第1の絶縁膜を1μm形成した。その後表1の成膜条件に従いin-situで連続的に第2の絶縁膜を0.1μm形成した。ダマシン構造をCMPで研磨したところ、表1のすべての条件でディッシングは検出されなかった。
【0030】
【効果】
本発明に係る層間絶縁膜の製造方法によれば、ダマシン配線技術のCMP工程においてポリッシングストッパとして機能する絶縁膜を与えることができた。その結果、低誘電率絶縁膜であるSixCyOz膜のディッシングの問題は解決された。
【0031】
また、本発明に係る層間絶縁膜の製造方法によれば、従来のプラズマCVD装置をそのまま使用することができるため、後処理用の付加的な装置は一切不要となり、装置スペース及びコストを増大させることはない。
【図面の簡単な説明】
【図1】図1は、本発明に従う半導体集積回路の多層配線用層間絶縁膜の製造方法に使用するプラズマCVD装置の略示図である。
【符号の説明】
1 プラズマCVD装置
2 ヒータ
3 サセプタ
4 半導体ウエハ
5 材料ガス導入口
6 反応チャンバ
7 第1高周波電源
8 第2高周波電源
9 シャワーヘッド
10 排気口
11 接地[0001]
BACKGROUND OF THE INVENTION
The present invention relates to an interlayer insulating film for multilayer wiring of a semiconductor integrated circuit and a manufacturing method thereof, and more particularly to a polishing stopper film for use in Cu multilayer wiring and a manufacturing method thereof.
[0002]
[Prior art]
Semiconductor integrated circuits have been miniaturized with the aim of increasing speed and functionality. Conventionally, Al has been used as a multilayer wiring material for semiconductor integrated circuits, but as the wiring becomes finer and longer distances, disconnection accidents due to electromigration that occur as the current density increases, the resistivity of Al, and the dielectric of the insulating film Signal delay due to rate has become a problem.
[0003]
Cu is attracting attention as a next-generation multilayer wiring material. Cu is strong against disconnection accidents and its resistance is smaller than Al. In 1997, IBM and Motorola developed a technology called Dual-Damascene. Conventionally, an Al film is processed into a convex shape by etching to form a wiring, and then the interlayer is filled with an insulating film. On the other hand, in damascene wiring technology, a trench of a wiring pattern is formed by etching on a flat interlayer insulating film, a Cu thin film is deposited on the entire surface, and then polished by chemical mechanical polishing (CMP). Are used as wiring (for example, see Non-Patent Document 1).
[0004]
[Non-Patent Document 1]
"Next Generation ULSI Process Technology" Realize Inc., February 29, 2000, p.558-565
[0005]
In this damascene wiring technology, application of a low dielectric constant insulating film is essential. Low dielectric constant insulating films include inorganic SOG films by spin coating, aC: F films by plasma CVD using CxFyHz as material gas, SixCyOz films by plasma CVD using silicon-based hydrocarbons as material gas, etc. It has been.
[0006]
[Problems to be solved by the invention]
In the CMP process of damascene wiring technology, polishing is performed using a polishing cloth and a polishing liquid (slurry). However, if the mechanical strength of the insulating film is low, a problem called dishing occurs in which the insulating film part is recessed from the Cu wiring part. . This is because when a material having a different polishing rate is mixed in the same polishing surface (for example, metal wiring and interlayer insulating film), the polishing cloth can be deformed, so that a material having a higher polishing rate is excessively polished. This is a phenomenon that occurs. The SixCyOz film, which is the most promising low dielectric constant film in the damascene wiring technology, has a low mechanical strength because it contains many -CHx bonds in the film and has a problem of dishing.
[0007]
When a post-processing apparatus is prepared to solve the dishing problem, not only the apparatus space and cost are problematic, but also the particle contamination that occurs during movement between apparatuses becomes a problem.
[0008]
Accordingly, an object of the present invention is to provide a method of manufacturing an interlayer insulating film in which dishing does not occur in the CMP process of damascene wiring technology.
[0009]
Another object of the present invention is to provide a method for manufacturing a low-cost interlayer insulating film that does not require any separate apparatus.
[0010]
[Means for Solving the Problems]
In order to solve the above problems, a method of manufacturing an interlayer insulating film according to the present invention includes the following steps.
[0011]
A method of manufacturing an interlayer insulating film for multilayer wiring of a semiconductor integrated circuit is as follows:
Forming a first insulating film by plasma CVD using silicon-based hydrocarbon as a material gas;
After forming the first insulating film, a second insulating film is formed on the first insulating film by plasma CVD using silicon-based hydrocarbon gas and oxidizing gas as material gases continuously in-situ. Forming, and
Consists of.
[0012]
Preferably, the flow rate of the oxidizing gas is 1.2 to 100 times the flow rate of the silicon hydrocarbon gas.
[0013]
The silicon-based hydrocarbon used in the present invention is represented by the general formula Si α O α -1 (R) 2 α - β +2 (OC n H 2n + 1 ),
Here, an integer of α = 1 to 3, an integer of β = 0 to 2, an integer of n = 1 to 3, and C 1-6 hydrocarbon bonded to R = Si.
[0014]
Specifically, the silicon-based hydrocarbon includes dimethyl dimethoxysilane.
[0015]
More specifically, the oxidizing gas is composed of at least one of oxygen, nitrous oxide, ozone, oxygen peroxide, carbon dioxide, and alcohols.
[0016]
On the other hand, the interlayer insulating film for multilayer wiring of a semiconductor integrated circuit is
A first insulating film formed by a plasma CVD method using silicon-based hydrocarbon as a material gas;
After forming the first insulating film, the second insulating film is formed on the first insulating film by plasma CVD using silicon-based hydrocarbon gas and oxidizing gas as material gases continuously in-situ. An insulating film;
Consists of.
[0017]
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, the present invention will be described in detail with reference to the drawings. FIG. 1 is a schematic view of a plasma CVD apparatus used in a method for manufacturing an interlayer insulating film for multilayer wiring of a semiconductor integrated circuit according to the present invention.
[0018]
The plasma CVD apparatus 1 includes a reaction chamber 6. A susceptor 3 for placing the semiconductor wafer 4 is provided inside the reaction chamber 6. The susceptor 3 is supported by a heater 2, and the heater 2 maintains the semiconductor wafer 4 at a predetermined temperature (350 to 450 ° C.). The susceptor 3 also serves as one electrode for plasma discharge, and is grounded 11 through the reaction chamber 6. A shower head 9 is provided on the inner ceiling of the reaction chamber 6 so as to face the susceptor 3 in parallel. The shower head 9 has many pores on the bottom surface, from which a material gas described below is uniformly ejected toward the semiconductor wafer 4. A material gas inlet 5 is provided at the center of the shower head 9, and the material gas is introduced into the shower head 9 through a gas line (not shown). The gas inlet 5 is electrically insulated from the reaction chamber 6. The shower head 9 also serves as the other electrode for plasma discharge, and is connected to the external first high frequency power source 7 and second high frequency power source 8 through the material gas inlet 5. As a result, a plasma reaction field is generated in the vicinity of the semiconductor wafer 4. An exhaust port 10 is provided at the bottom of the reaction chamber 6 and is connected to an external vacuum pump (not shown).
[0019]
Next, a method for manufacturing an interlayer insulating film for multilayer wiring of a semiconductor integrated circuit according to the present invention will be described. The method for manufacturing an interlayer insulating film for multilayer wiring according to the present invention includes a step of forming a first insulating film by a plasma CVD method using silicon-based hydrocarbon as a material gas. Here, the material gas is a general formula Si α O α -1 (R) 2 α - β +2 (OC n H 2n + 1 ) (where α = an integer of 1 to 3, β = 0 to 2) N = 1 to 3 and R = C 1-6 hydrocarbon bonded to Si), preferably DM-DMOS (dimethyl dimethoxysilane). In addition, CO 2 , alcohols, hydrocarbons containing at least one unsaturated bond, or N 2 can be contained as a secondary source gas. When it is necessary to control the Si / O ratio, O 2 or N 2 O can be further added as a secondary source gas. Further, an inert gas such as Ar and / or He may be included as an additive gas.
[0020]
After evacuation by an external vacuum pump (not shown), the material gas is introduced into the reaction chamber 6 from the gas inlet 5 through the shower head 9. Subsequently, excitation high frequency power is applied by the first high frequency power source 7 and the second high frequency power source 8 to form a plasma reaction field in the vicinity of the semiconductor substrate 4. Here, the frequency of the first high frequency power supply 7 is 2 MHz or more, and the frequency of the second high frequency power supply 8 to be superimposed is 2 MHz or less. Alternatively, it is possible to use only the first high-frequency power source 7. The material gas atoms decomposed in the plasma cause a chemical reaction, and a first insulating film having the composition SixCyOz is deposited on the semiconductor wafer 4.
[0021]
In the method for manufacturing an interlayer insulating film for multilayer wiring according to the present invention, after forming the first insulating film, plasma is generated using silicon-based hydrocarbon gas and oxidizing gas as material gases continuously in-situ. A step of forming a second insulating film on the first insulating film by a CVD method; The silicon-based hydrocarbon used as the material gas here is a general formula Si α O α -1 (R) 2 α - β +2 (OC n H 2n + 1 ) (where α = an integer of 1 to 3, β = An integer of 0 to 2, an integer of n = 1 to 3, and R = a C 1-6 hydrocarbon bonded to Si), preferably DM-DMOS (dimethyl dimethoxy) Silane). The oxidizing gas used as the material gas is composed of at least one of oxygen, nitrous oxide, ozone, oxygen peroxide, carbon dioxide, and alcohols. As will be described in detail below, as a result of extensive research, the second insulating film becomes a polishing stopper by controlling the flow rate of the oxidizing gas to 1.2 to 100 times the flow rate of the silicon hydrocarbon gas. It was found to function as a membrane.
[0022]
After the first insulating film is formed, the material gas is continuously introduced into the reaction chamber 6 from the gas inlet 5 through the shower head 9 in-situ. At this time, the flow rate of the oxidizing gas is controlled to 1.2 to 100 times the flow rate of the silicon hydrocarbon gas. Subsequently, excitation high frequency power is applied by the first high frequency power source 7 and the second high frequency power source 8 to form a plasma reaction field in the vicinity of the semiconductor wafer 4. Here, the frequency of the first high frequency power supply 7 is 2 MHz or more, and the frequency of the second high frequency power supply 8 to be superimposed is 2 MHz or less. Alternatively, it is possible to use only the first high-frequency power source 7. The material gas atoms decomposed in the plasma cause a chemical reaction, and a second insulating film having a composition SiO 2 is deposited on the semiconductor substrate 4.
[0023]
The first insulating film is characterized by a low dielectric constant. This is because the Si—C bond in the main raw material gas (silicon hydrocarbon) is directly taken into the film and the density of the film becomes sparse. However, since the first insulating film is porous with many -CHx bonds in the film, it has a drawback of low mechanical strength. The present inventors pay attention to this point, and have invented a method of forming a second insulating film having a high mechanical strength on the first insulating film in order to compensate for the drawbacks of the first insulating film. The second insulating film is characterized by high mechanical strength. This is considered to be because when the oxidizing gas is allowed to flow excessively, C does not enter the film and the film becomes dense.
[0024]
【Example】
Hereinafter, an evaluation experiment of an insulating film formed by the method for manufacturing an interlayer insulating film according to the present invention was performed, which will be described. In the experiment, DM-DMOS and 1,3dimethoxytetramethyldisiloxane were used as the main source gases, and in each case, the second insulating film was independently evaluated and the damascene CMP polishing was combined with the first insulating film. A test was conducted.
(Experiment 1)
Plasma CVD equipment: Eagle 12 (manufactured by Japan ASM Co., Ltd.)
Conditions for forming the first insulating film:
Main raw material gas: DM-DMOS 200sccm
Additive gas: He 400sccm
First RF frequency: 27.12MHz 2.8W / cm 2
Conditions for forming the second insulating film:
Main raw material gas: DM-DMOS 100sccm
Oxidizing gas: O 2
First RF frequency: 27.12MHz
The other film formation conditions for the second insulating film are shown in Table 1.
[0025]
[Table 1]
Figure 0004015510
[0026]
Under these conditions, a second insulating film was first formed to a thickness of 1 μm, and the film thickness distribution, refractive index, and hardness alone were evaluated. Table 2 shows the evaluation results.
[0027]
[Table 2]
Figure 0004015510
[0028]
The hardness suitable as a polishing stopper for CMP is 6 GPa or more. From these experimental results, the preferred conditions for forming the second insulating film are as follows: oxidizing gas / main material gas flow ratio = 1.2-100, pressure 100-400 Pa, first RF high frequency power = 0.5-1.5 W / It was found to be cm 2 .
[0029]
Next, a CMP test was performed and will be described. First, 1 μm of a first insulating film was formed using the above apparatus and film forming conditions. Thereafter, a second insulating film was continuously formed in a thickness of 0.1 μm in-situ according to the film formation conditions shown in Table 1. When the damascene structure was polished by CMP, dishing was not detected under all conditions in Table 1.
[0030]
【effect】
According to the interlayer insulating film manufacturing method of the present invention, an insulating film functioning as a polishing stopper can be provided in the CMP process of the damascene wiring technology. As a result, the dishing problem of the SixCyOz film, which is a low dielectric constant insulating film, was solved.
[0031]
In addition, according to the method for manufacturing an interlayer insulating film according to the present invention, since a conventional plasma CVD apparatus can be used as it is, no additional apparatus for post-processing is required, which increases apparatus space and cost. There is nothing.
[Brief description of the drawings]
FIG. 1 is a schematic diagram of a plasma CVD apparatus used in a method for manufacturing an interlayer insulating film for multilayer wiring of a semiconductor integrated circuit according to the present invention.
[Explanation of symbols]
1 Plasma CVD equipment
2 Heater
3 Susceptor
4 Semiconductor wafer
5 Material gas inlet
6 Reaction chamber
7 First high frequency power supply
8 Second high frequency power supply
9 Shower head
10 Exhaust port
11 Ground

Claims (6)

半導体集積回路の多層配線用層間絶縁膜の製造方法であって、
シリコン系炭化水素を材料ガスとして用いてプラズマCVD法により、第1の絶縁膜を形成する工程と、
前記第1の絶縁膜を形成した後、in-situで連続的にシリコン系炭化水素ガス及び酸化性ガスを材料ガスとして用いてプラズマCVD法により、前記第1の絶縁膜上に第2の絶縁膜を形成する工程と、
から成り、
前記シリコン系炭化水素は、ジメチル・ジメトキシシランまたは1,3ジメトキシテトラメチルジシロキサンである、ところの方法。
A method of manufacturing an interlayer insulating film for multilayer wiring of a semiconductor integrated circuit,
Forming a first insulating film by plasma CVD using silicon-based hydrocarbon as a material gas;
After forming the first insulating film, the second insulating film is formed on the first insulating film by plasma CVD using silicon-based hydrocarbon gas and oxidizing gas as material gases continuously in-situ. Forming a film;
Consisting of
The silicon hydrocarbon is dimethyl dimethoxysilane or 1,3 dimethoxytetramethyldisiloxane.
請求項1に記載の方法であって、前記酸化性ガスの流量は前記シリコン系炭化水素ガスの流量の1.2〜100倍である、ところの方法。The method according to claim 1, wherein the flow rate of the oxidizing gas is 1.2 to 100 times the flow rate of the silicon-based hydrocarbon gas. 請求項1に記載の方法であって、前記酸化性ガスは、酸素、亜酸化窒素、オゾン、過酸化酸素、二酸化炭素、アルコール類の少なくとも1つから成る、ところの方法。2. The method according to claim 1, wherein the oxidizing gas comprises at least one of oxygen, nitrous oxide, ozone, oxygen peroxide, carbon dioxide, and alcohols. 半導体集積回路の多層配線用層間絶縁膜であって、
シリコン系炭化水素を材料ガスとして用いてプラズマCVD法により形成された、第1の絶縁膜と、
前記第1の絶縁膜を形成した後、in-situで連続的にシリコン系炭化水素ガス及び酸化性ガスを材料ガスとして用いてプラズマCVD法により、前記第1の絶縁膜上に形成された第2の絶縁膜と、
から成り、
前記シリコン系炭化水素は、ジメチル・ジメトキシシランまたは1,3ジメトキシテトラメチルジシロキサンである、ところの多層配線用層間絶縁膜。
An interlayer insulating film for multilayer wiring of a semiconductor integrated circuit,
A first insulating film formed by a plasma CVD method using silicon-based hydrocarbon as a material gas;
After forming the first insulating film, the first insulating film formed on the first insulating film by plasma CVD using silicon-based hydrocarbon gas and oxidizing gas as material gases continuously in-situ. Two insulating films;
Consisting of
The silicon-containing hydrocarbon is dimethyl dimethoxysilane or 1,3 dimethoxytetramethyldisiloxane, wherein the interlayer insulating film for multilayer wiring is used.
請求項4に記載の多層配線用層間絶縁膜であって、前記酸化性ガスの流量は前記シリコン系炭化水素ガスの流量の1.2〜100倍である、ところの多層配線用層間絶縁膜。5. The interlayer insulating film for multilayer wiring according to claim 4, wherein the flow rate of the oxidizing gas is 1.2 to 100 times the flow rate of the silicon-based hydrocarbon gas. 請求項4に記載の多層配線用層間絶縁膜であって、前記酸化性ガスは、酸素、亜酸化窒素、オゾン、過酸化酸素、二酸化炭素、アルコール類の少なくとも1つから成る、ところの多層配線用層間絶縁膜。5. The interlayer insulating film for multilayer wiring according to claim 4, wherein the oxidizing gas comprises at least one of oxygen, nitrous oxide, ozone, oxygen peroxide, carbon dioxide, and alcohols. Interlayer insulation film.
JP2002262304A 2002-09-09 2002-09-09 Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof Expired - Lifetime JP4015510B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2002262304A JP4015510B2 (en) 2002-09-09 2002-09-09 Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof
US10/657,416 US7098129B2 (en) 2002-09-09 2003-09-08 Interlayer insulation film used for multilayer interconnect of semiconductor integrated circuit and method of manufacturing the same
EP03020250A EP1396884A3 (en) 2002-09-09 2003-09-08 Interlayer insulation film used for multilayer interconnect of semiconductor integrated circuit and method of manufacturing the same
KR1020030063098A KR20040023557A (en) 2002-09-09 2003-09-09 Interlayer Insulation Film Used For Multilayer interconnect of Semiconductor Intergrated Circuit And Method Of Manufacturing The Same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002262304A JP4015510B2 (en) 2002-09-09 2002-09-09 Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2004103752A JP2004103752A (en) 2004-04-02
JP4015510B2 true JP4015510B2 (en) 2007-11-28

Family

ID=31712354

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002262304A Expired - Lifetime JP4015510B2 (en) 2002-09-09 2002-09-09 Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof

Country Status (4)

Country Link
US (1) US7098129B2 (en)
EP (1) EP1396884A3 (en)
JP (1) JP4015510B2 (en)
KR (1) KR20040023557A (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7582575B2 (en) * 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
JP4917249B2 (en) * 2004-02-03 2012-04-18 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
KR100648632B1 (en) * 2005-01-25 2006-11-23 삼성전자주식회사 Method for forming a dielectric structure having a high dielectric constant and method of manufacturing a semiconductor device having the dielectric structure
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
KR100791334B1 (en) * 2006-07-26 2008-01-07 삼성전자주식회사 Method of forming a metal oxide by atomic layer deposition
TWI612700B (en) 2010-07-28 2018-01-21 應用材料股份有限公司 Resist fortification for magnetic media patterning
WO2012028721A1 (en) 2010-09-03 2012-03-08 Sandoz Ag PROCESS FOR THE REDUCTIVE AMINATION OF α-KETO CARBOXYLIC ACIDS
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
DE112020001319T5 (en) * 2019-03-19 2021-12-02 Applied Materials, Inc. Hydrophobic and ice-phobic coating
TW202113121A (en) 2019-05-29 2021-04-01 美商蘭姆研究公司 High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US20240110284A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Selective Deposition of Thin Films with Improved Stability

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3979687B2 (en) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド Method for improving film stability of halogen-doped silicon oxide films
US6599847B1 (en) * 1996-08-27 2003-07-29 Taiwan Semiconductor Manufacturing Company Sandwich composite dielectric layer yielding improved integrated circuit device reliability
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165898A (en) * 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
EP1146555B1 (en) * 1999-03-09 2007-12-12 Tokyo Electron Limited Production method for a semiconductor device
US6383917B1 (en) * 1999-10-21 2002-05-07 Intel Corporation Method for making integrated circuits
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
AU2001275172A1 (en) 2000-06-06 2001-12-17 The Dow Chemical Company Transmission barrier layer for polymers and containers
JP4689027B2 (en) 2000-10-23 2011-05-25 株式会社Sumco Semiconductor single crystal pulling device
US6531398B1 (en) * 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6936533B2 (en) * 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
US6713382B1 (en) * 2001-01-31 2004-03-30 Advanced Micro Devices, Inc. Vapor treatment for repairing damage of low-k dielectric
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US7186640B2 (en) * 2002-06-20 2007-03-06 Chartered Semiconductor Manufacturing Ltd. Silicon-rich oxide for copper damascene interconnect incorporating low dielectric constant dielectrics

Also Published As

Publication number Publication date
EP1396884A2 (en) 2004-03-10
JP2004103752A (en) 2004-04-02
EP1396884A3 (en) 2005-07-06
US20040048490A1 (en) 2004-03-11
US7098129B2 (en) 2006-08-29
KR20040023557A (en) 2004-03-18

Similar Documents

Publication Publication Date Title
US6991959B2 (en) Method of manufacturing silicon carbide film
JP3400770B2 (en) Etching method, semiconductor device and manufacturing method thereof
TW473870B (en) Integrated low K dielectrics and etch stops
US6077574A (en) Plasma CVD process for forming a fluorine-doped SiO2 dielectric film
TWI528454B (en) Semiconductor device and method of manufacturing semiconductor device
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
JP2004247725A (en) Method for forming silicon carbide film
JP4015510B2 (en) Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof
TW200428493A (en) Ultra low k (ulk) SiCOH film and method
TW201133623A (en) Post-planarization densification
WO2007061134A1 (en) Method for forming porous insulating film, apparatus for manufacturing semiconductor device, method for manufacturing semiconductor device and semiconductor device
JP4186045B2 (en) Dry etching gas and dry etching method
JP3236576B2 (en) Method for forming interlayer insulating film, chemical vapor deposition apparatus, and semiconductor device
JP4633348B2 (en) Method for forming laminated structure and method for integrating insulating film
JP4743470B2 (en) Method for forming a film in contact with a Cu layer on a semiconductor substrate
JP2007221039A (en) Insulation film and insulation film material
JP2002009058A (en) Etching method
US20020142104A1 (en) Plasma treatment of organosilicate layers
TWI451493B (en) Methods of low-k dielectric and metal process integration
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
JP2004235569A (en) Method of forming semiconductor device
JP4011376B2 (en) Method for forming interlayer insulating film
TW200400278A (en) Method of forming a fluorocarbon polymer film on a substrate using a passivation layer
JP2006294671A (en) Manufacturing method of low-permittivity silicon carbide film
JP4643975B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050705

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070710

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070821

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070913

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100921

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4015510

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100921

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110921

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120921

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130921

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term