JP3486123B2 - Pattern transfer composition and pattern transfer method - Google Patents

Pattern transfer composition and pattern transfer method

Info

Publication number
JP3486123B2
JP3486123B2 JP37246598A JP37246598A JP3486123B2 JP 3486123 B2 JP3486123 B2 JP 3486123B2 JP 37246598 A JP37246598 A JP 37246598A JP 37246598 A JP37246598 A JP 37246598A JP 3486123 B2 JP3486123 B2 JP 3486123B2
Authority
JP
Japan
Prior art keywords
film
pattern transfer
pattern
resist
transfer film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP37246598A
Other languages
Japanese (ja)
Other versions
JP2000194128A (en
Inventor
義彦 中野
佐和子 吉川
修二 早瀬
英男 太田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP37246598A priority Critical patent/JP3486123B2/en
Publication of JP2000194128A publication Critical patent/JP2000194128A/en
Application granted granted Critical
Publication of JP3486123B2 publication Critical patent/JP3486123B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置の製造プ
ロセスにおける半導体基板上に形成された絶縁膜や導電
性膜を微細加工する際に必要なパターントランスファ膜
を形成するためのパターントランスファ組成物及びパタ
ーントランスファ方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a pattern transfer composition for forming a pattern transfer film necessary for finely processing an insulating film or a conductive film formed on a semiconductor substrate in a semiconductor device manufacturing process. The present invention relates to a pattern transfer method.

【0002】[0002]

【従来の技術】半導体デバイスの製造においては、半導
体基板上に形成された酸化シリコン膜や窒化シリコン膜
などの絶縁膜の加工工程が多く存在する。通常、これら
の絶縁膜の加工は以下のようにして行われる。すなわち
絶縁膜上にレジスト膜を形成し、露光及び現像してレジ
ストパターンを形成した後、このレジストパターンをエ
ッチングマスクとしてドライエッチングすることにより
絶縁膜を加工する。この際、露光時に所望の解像度、露
光量マージンまたはフォーカスマージンを確保するため
には、レジストの膜厚を薄くする必要がある。しかし、
レジストの膜厚を薄くしすぎると絶縁膜のドライエッチ
ング中にレジストパターンがエッチングされてなくなり
それ以上絶縁膜を加工できなくなるという問題がある。
この問題を解決するために、絶縁膜上にパターントラン
スファ材料を塗布した後レジストを塗布しレジストパタ
ーンをパターントランスファ材料に転写した後、絶縁膜
をドライエッチングする方法が採用されている。
2. Description of the Related Art In the manufacture of semiconductor devices, there are many steps for processing an insulating film such as a silicon oxide film or a silicon nitride film formed on a semiconductor substrate. Usually, the processing of these insulating films is performed as follows. That is, a resist film is formed on the insulating film, exposed and developed to form a resist pattern, and then the insulating film is processed by dry etching using the resist pattern as an etching mask. At this time, in order to secure a desired resolution, exposure dose margin, or focus margin at the time of exposure, it is necessary to reduce the film thickness of the resist. But,
If the resist is too thin, the resist pattern is not etched during dry etching of the insulating film, and the insulating film cannot be processed any further.
In order to solve this problem, a method is used in which a pattern transfer material is applied on the insulating film, a resist is applied, the resist pattern is transferred to the pattern transfer material, and then the insulating film is dry-etched.

【0003】従来、パターントランスファ材料として以
下のような材料が知られている。I)ポリシリコン、ア
モルファスシリコンなどのシリコン系材料、II)カー
ボン、III)ノボラック樹脂、ポリヒドロキシスチレ
ン等の樹脂材料などである。しかしいずれのパターント
ランスファ材料にも問題がある。
Conventionally, the following materials have been known as pattern transfer materials. Examples include I) silicon-based materials such as polysilicon and amorphous silicon, II) carbon, III) novolac resin, and resin materials such as polyhydroxystyrene. However, there are problems with any pattern transfer material.

【0004】たとえばI)のシリコン系材料を用いた場
合、露光の際にシリコン系材料が強い反射を示すためレ
ジスト膜中に強い定在波が発生する。このため図2に示
すように現像されたレジストパターンの側壁が波打ち形
状になるという問題がある。図2においてはシリコン基
板1上に酸化シリコン膜2が形成され、その上にパター
ントランスファ材料層3が形成され、さらにレジスト4
のパターンが形成されている。
For example, when the silicon-based material of I) is used, a strong standing wave is generated in the resist film because the silicon-based material exhibits strong reflection during exposure. Therefore, there is a problem that the side wall of the developed resist pattern has a wavy shape as shown in FIG. In FIG. 2, a silicon oxide film 2 is formed on a silicon substrate 1, a pattern transfer material layer 3 is formed thereon, and a resist 4 is formed.
Pattern is formed.

【0005】一方II)のカーボン及びIII)の樹脂
材料は反射防止膜として機能するため上記の問題は避け
られる。しかしレジストとこれらのパターントランスフ
ァ材料とのエッチング選択比が小さいという問題点があ
る。このためパターントランスファ材料のエッチング中
にレジストパターンが無くなったり、図3に示すように
パターントランスファ材料のパターンがテーパー形状に
なるという問題がある。図3においても図2と同一の部
在は同一の符号で示している。
On the other hand, since the carbon of II) and the resin material of III) function as an antireflection film, the above problems can be avoided. However, there is a problem that the etching selectivity between the resist and these pattern transfer materials is small. Therefore, there is a problem that the resist pattern disappears during the etching of the pattern transfer material, or the pattern of the pattern transfer material has a tapered shape as shown in FIG. Also in FIG. 3, the same parts as those in FIG. 2 are denoted by the same reference numerals.

【0006】またI)及びII)の材料はCVD法また
はスパッタ法で成膜するため塗布法で成膜する場合と比
較して工程が複雑になり、コスト上昇を招く。III)
の樹脂材料とシリコン含有レジストとを組み合わせた場
合にはレジストとパターントランスファ材料とのエッチ
ング選択比が十分大きくなる。しかし、シリコン含有レ
ジストは通常のレジストと比較して、解像度解像度、露
光量マージンまたはフォーカスマージンが低いという問
題がある。
Further, since the materials I) and II) are formed by the CVD method or the sputtering method, the process is complicated as compared with the case where the film is formed by the coating method, and the cost is increased. III)
When the resin material and the silicon-containing resist are combined, the etching selection ratio between the resist and the pattern transfer material becomes sufficiently large. However, the silicon-containing resist has a problem that the resolution resolution, the exposure dose margin, or the focus margin is lower than that of a normal resist.

【0007】以上のように従来は塗布法により成膜で
き、露光時に反射光を抑制することができ、レジストに
対するエッチング選択比が大きく、しかも被加工膜の加
工の際のドライエッチング耐性にも優れたパターントラ
ンスファ材料は知られていなかった。
As described above, conventionally, the film can be formed by the coating method, the reflected light can be suppressed at the time of exposure, the etching selection ratio with respect to the resist is large, and the dry etching resistance is excellent when the film to be processed is processed. No pattern transfer material was known.

【0008】[0008]

【発明が解決しようとする課題】本発明の目的は塗布法
により成膜でき、露光時に反射光を抑制することがで
き、レジストに対するエッチング選択比が大きく、しか
も被加工膜の加工の際のドライエッチング耐性にも優れ
たパターントランスファ膜を形成できるパターントラン
スファ組成物を提供することにある。
An object of the present invention is to form a film by a coating method, to suppress reflected light at the time of exposure, to have a large etching selection ratio with respect to a resist, and to dry a film to be processed. An object of the present invention is to provide a pattern transfer composition capable of forming a pattern transfer film having excellent etching resistance.

【0009】[0009]

【課題を解決するための手段】本発明のパターントラン
スファ組成物は、少なくとも下記(イ)、(ロ)及び
(ハ)成分を含有することを特徴とするパターントラン
スファ組成物である。 (イ)下記a)又はb)の少なくとも一方のポリシラン a) 下記一般式(1)で表される繰り返し単位と、下
記一般式(3)で示される結合単位とを含有する共重合
体を含むポリシラン
The pattern transfer composition of the present invention is a pattern transfer composition containing at least the following components (a), (b) and (c). (B) a repeating unit represented by the following a) or b) of at least one polysilane a) the following general formula (1), below
Polysilane containing a copolymer containing a bonding unit represented by the general formula (3)

【化6】 (但しRは炭素数6以下の置換又は無置換の脂肪族炭化
水素基であり、R1、R2は炭素数20以下の置換又は
無置換の炭化水素基である。また、aは0.1以上0.
9以下であり、bは0以上0.7以下である。)
[Chemical 6] (However, R is a substituted or unsubstituted aliphatic hydrocarbon group having 6 or less carbon atoms, R1 and R2 are substituted or unsubstituted hydrocarbon groups having 20 or less carbon atoms, and a is 0.1 or more. 0.
It is 9 or less, and b is 0 or more and 0.7 or less. )

【化7】 b)下記一般式(2)で示される繰り返し単位と、下記
一般式(3)で示される結合単位と、炭素−炭素の多重
結合を分子中に2つ以上を含有する共重合体を含むポリ
シラン
[Chemical 7] b) A polysilane containing a copolymer having a repeating unit represented by the following general formula (2), a bonding unit represented by the following general formula (3), and two or more carbon-carbon multiple bonds in the molecule.

【化8】 (但し、R3、R4、R5は同一であっても異なってい
てもよく、水素原子、または炭素数20以下の置換また
は無置換の炭化水素基である。 a′は0.1以上0.
8以下である。)
[Chemical 8] (However, R3, R4, and R5 may be the same or different and each is a hydrogen atom or a substituted or unsubstituted hydrocarbon group having 20 or less carbon atoms. A'is 0.1 or more and 0.
It is 8 or less. )

【化9】 (ロ)酸発生剤 (ハ)有機溶媒 さらに、前記一般式(1)で表される繰り返し単位と
一般式(3)で示される結合単位とを含有する共重合体
は、下記一般式(4)で表される繰り返し単位をさらに
含むことが望ましい。
[Chemical 9] (B) Acid generator (c) Organic solvent Further, a repeating unit represented by the general formula (1) ,
The copolymer containing the bonding unit represented by the general formula (3) preferably further contains a repeating unit represented by the following general formula (4) .

【化10】 (但しArは炭素数20以下の置換または無置換の芳香
族炭化水素基である。a″は0.1以上0.9以下であ
る。) また、本発明は前記パターントランスファ組成
物を被加工膜上に塗布する工程と、被加工膜を酸素濃度
が0.1%以下の雰囲気で加熱して被加工膜上にパター
ントランスファ膜を形成する工程と、パターントランス
ファ膜上にレジストパターンを形成する工程と、前記レ
ジストパターンをエッチングマスクとしてパターントラ
ンスファ膜をエッチングする工程と、エッチングされた
パターントランスファ膜をエッチングマスクとして被加
工膜をエッチングする工程とを具備することを特徴とす
るパターントランスファ方法である。
[Chemical 10] (However, Ar is a substituted or unsubstituted aromatic hydrocarbon group having 20 or less carbon atoms. A ″ is 0.1 or more and 0.9 or less.) Further, the present invention processes the pattern transfer composition. A step of applying the film on the film, a step of heating the film to be processed in an atmosphere having an oxygen concentration of 0.1% or less to form a pattern transfer film on the film to be processed, and a resist pattern formed on the pattern transfer film. A pattern transfer method, comprising: a step, a step of etching a pattern transfer film using the resist pattern as an etching mask, and a step of etching a film to be processed using the etched pattern transfer film as an etching mask. .

【0010】(イ)下記a)又はb)の少なくとも一方
のポリシラン a) 下記一般式(1)で表される繰り返し単位を含有
する共重合体を含むポリシラン
(A) At least one of the following polysilanes a) or b) a) Polysilane containing a copolymer containing a repeating unit represented by the following general formula (1).

【化6】 (但しRは炭素数6以下の置換又は無置換の脂肪族炭化
水素基であり、R1、R2は炭素数20以下の置換又は
無置換の炭化水素基である。また、aは0.1以上0.
9以下であり、bは0以上0.7以下である。) b)下記一般式(2)で示される繰り返し単位と、一般
式(3)で示される結合単位を含有する共重合体を含む
ポリシラン
[Chemical 6] (However, R is a substituted or unsubstituted aliphatic hydrocarbon group having 6 or less carbon atoms, R1 and R2 are substituted or unsubstituted hydrocarbon groups having 20 or less carbon atoms, and a is 0.1 or more. 0.
It is 9 or less, and b is 0 or more and 0.7 or less. B) Polysilane containing a copolymer containing a repeating unit represented by the following general formula (2) and a bonding unit represented by the general formula (3).

【化7】 (但し、R3、R4、R5は同一であっても異なってい
てもよく、それぞれ、水素原子、または炭素数20以下
の置換または無置換の炭化水素基である。 a′は0.
1以上0.8以下である。)
[Chemical 7] (However, R3, R4, and R5 may be the same or different and each is a hydrogen atom or a substituted or unsubstituted hydrocarbon group having 20 or less carbon atoms. A'is 0.
It is 1 or more and 0.8 or less. )

【化8】 (ロ)酸発生剤 (ハ)有機溶媒 さらに、前記一般式(1)で表される繰り返し単位を含
有する共重合体は、下記一般式(4)で表される繰り返
し単位及び下記一般式(3)で表される結合単位をさら
に含むことが望ましい。
[Chemical 8] (B) Acid generator (c) Organic solvent Further, the copolymer containing the repeating unit represented by the general formula (1) has a repeating unit represented by the following general formula (4) and the following general formula (4): It is desirable to further include a binding unit represented by 3).

【化9】 (但しArは炭素数20以下の置換または無置換の方向
族炭化水素基である。a″は0.1以上0.9以下であ
る。)
[Chemical 9] (However, Ar is a substituted or unsubstituted directional hydrocarbon group having 20 or less carbon atoms. A ″ is 0.1 or more and 0.9 or less.)

【化10】 また、本発明は、前記パターントランスファ組成物を被
加工膜上に塗布する工程と、被加工膜を酸素濃度が0.
1%以下の雰囲気で加熱して被加工膜上にパターントラ
ンスファ膜を形成する工程と、パターントランスファ膜
上にレジストパターンを形成する工程と、前記レジスト
パターンをエッチングマスクとしてパターントランスフ
ァ膜をエッチングする工程と、エッチングされたパター
ントランスファ膜をエッチングマスクとして被加工膜を
エッチングする工程とを具備することを特徴とするパタ
ーントランスファ方法である。
[Chemical 10] Further, according to the present invention, a step of applying the pattern transfer composition onto a film to be processed and the film to be processed having an oxygen concentration of 0.
A step of forming a pattern transfer film on the film to be processed by heating in an atmosphere of 1% or less; a step of forming a resist pattern on the pattern transfer film; and a step of etching the pattern transfer film using the resist pattern as an etching mask. And a step of etching the film to be processed using the etched pattern transfer film as an etching mask.

【0011】[0011]

【発明の実施の形態】本発明のパターントランスファ組
成物は主成分として、(イ)上記a)又はb)の少なく
とも一方のポリシランを含有する。上記a)のポリシラ
ンは架橋反応点のSi−H結合を有し、架橋剤を加え
て、加熱することにより架橋する。b)のポリシラン
は、架橋できる結合(炭素−炭素多重結合)を有し加熱
により架橋する。
BEST MODE FOR CARRYING OUT THE INVENTION The pattern transfer composition of the present invention contains (a) at least one of the above polysilanes a) or b) as a main component. The above-mentioned polysilane a) has a Si—H bond at a cross-linking reaction point, and is cross-linked by adding a cross-linking agent and heating. The polysilane b) has a crosslinkable bond (carbon-carbon multiple bond) and is crosslinked by heating.

【0012】また、(ロ)酸発生剤は、レジスト層との
界面部分でレジストとパターントランスファ組成物が混
合することに起因するレジストパターン形状の劣化を防
止する作用を示す。
The (b) acid generator has a function of preventing the deterioration of the resist pattern shape due to the mixture of the resist and the pattern transfer composition at the interface with the resist layer.

【0013】また、(ハ)有機溶媒は組成物の成分を溶
解させる作用を示す。
The organic solvent (c) has a function of dissolving the components of the composition.

【0014】本発明のパターントランスファ組成物にお
いては、さらに下記(ニ)、(ホ)の成分を添加するこ
とが望ましい。 (ニ)ラジカル発生剤 (ホ)酸化防止剤 (ニ)ラジカル発生剤はポリシランの架橋開始剤として
作用する。ラジカル発生剤はポリシランの自己重合及び
架橋剤とポリシランの反応を助ける役割をする。特に
b)のポリシランはラジカル発生剤の存在によって架橋
反応が起こしやすくなる。
In the pattern transfer composition of the present invention, it is desirable to add the following components (d) and (e). (D) Radical generator (e) Antioxidant (d) The radical generator acts as a crosslinking initiator for polysilane. The radical generator functions to assist the self-polymerization of polysilane and the reaction between the cross-linking agent and polysilane. In particular, the polysilane of b) is apt to undergo a crosslinking reaction due to the presence of the radical generator.

【0015】(ホ)成分の酸化防止剤は、貯蔵安定性を
増加させる作用を示す。
The antioxidant as the component (e) has the function of increasing the storage stability.

【0016】さらに本発明のパターントランスファ組成
物においては、下記の(ヘ)または(ト)のいずれかを
添加することが望ましい。 (ヘ)炭素−炭素の多重結合を分子中に2つ以上持つ化
合物 (ト)Si−H結合を分子中に有する化合物 (ヘ)成分は、すなわち架橋剤である。特にポリシラン
a)を用い、かつポリシランa)中に架橋できる結合
(炭素−炭素多重結合)を持たない場合、添加すること
が望ましい。この場合(ヘ)成分はポリシランのSi−
H結合との間で付加反応を起こし、ポリシランを架橋さ
せる。
Further, in the pattern transfer composition of the present invention, it is desirable to add any one of the following (f) or (g). (F) A compound having two or more carbon-carbon multiple bonds in the molecule (g) A compound (f) component having a Si-H bond in the molecule is a crosslinking agent. In particular, when polysilane a) is used and there is no crosslinkable bond (carbon-carbon multiple bond) in polysilane a), it is desirable to add it. In this case, (f) component is polysilane of Si-
An addition reaction occurs with the H bond to crosslink the polysilane.

【0017】(ト)成分は、架橋できる結合(炭素−炭
素多重結合)を有するポリシランa)、あるいはポリシ
ランb)を用いた場合、添加することが望ましい。この
場合(ト)成分は架橋剤として作用する。
The component (g) is preferably added when a polysilane a) or a polysilane b) having a crosslinkable bond (carbon-carbon multiple bond) is used. In this case, the component (g) acts as a crosslinking agent.

【0018】特にレジスト層を形成するレジスト組成物
にパターントランスファ組成物が溶解する組み合わせで
あった場合、パターントランスファ組成物を塗布後、ポ
リシランを架橋させレジストに対して効率よく不溶化さ
せるために(ヘ)または(ト)成分は添加した方が望ま
しい。
In particular, in the case of a combination in which the pattern transfer composition is dissolved in the resist composition forming the resist layer, in order to efficiently insolubilize the resist by cross-linking polysilane after applying the pattern transfer composition ( ) Or (g) component is preferably added.

【0019】 以下に上記(イ)〜(ト)成分について
さらに詳細に説明する。 <(イ)成分について>a)のポリシラン本発明に用い
られる(イ)成分のポリシランのうちa)は一般式
(1)で表されるくり返し単位と、一般式(3)で示さ
れる結合単位とを含有する共重合体を含む。
The above components (a) to (g) will be described in more detail below. <About component (a)> Polysilane of a) Among the polysilanes of component (a) used in the present invention, a) is represented by the repeating unit represented by the general formula (1) and the general formula (3).
And a copolymer containing a bond unit .

【0020】一般式(1)においてRは炭素数6以下の
置換または無置換の脂肪族炭化水素である。Rとして導
入され得る脂肪族炭化水素としては、例えばメチル基、
エチル基、プロピル基、イソプロピル基、ブチル基、イ
ソブチル基、t−ブチル基、ペンチル基、ヘキシル基、
シクロヘキシル基、3,3,3−トリフルオロプロピル
基、および3−メトキシプロピル基などが挙げられる
が、特に限定されない。
In the general formula (1), R is a substituted or unsubstituted aliphatic hydrocarbon having 6 or less carbon atoms. Examples of the aliphatic hydrocarbon that can be introduced as R include a methyl group,
Ethyl group, propyl group, isopropyl group, butyl group, isobutyl group, t-butyl group, pentyl group, hexyl group,
Examples thereof include cyclohexyl group, 3,3,3-trifluoropropyl group, and 3-methoxypropyl group, but are not particularly limited.

【0021】R1、R2は炭素数20以下の置換または
無置換の炭化水素基であって、同一であっても異なって
いてもよい。R1、R2として導入され得る置換または
無置換の炭化水素基としてはメチル基、エチル基、フェ
ニル基、ベンジル基、フェネチル基、ブチル基、イソブ
チル基、シクロヘキシル基、3,3,3−トリフルオロ
プロピル基等が挙げられるが、特に限定されない。
R1 and R2 are substituted or unsubstituted hydrocarbon groups having 20 or less carbon atoms and may be the same or different. The substituted or unsubstituted hydrocarbon group that can be introduced as R1 and R2 is a methyl group, an ethyl group, a phenyl group, a benzyl group, a phenethyl group, a butyl group, an isobutyl group, a cyclohexyl group, 3,3,3-trifluoropropyl. Examples thereof include groups, but are not particularly limited.

【0022】一般式(1)におけるaは0.1以上0.
9以下である。aが0.1未満の場合には、ポリマーが
液状になるばかりでなく酸化されやすくなり、一方0.
9を超えると不溶化する恐れがある。なおaはより好ま
しくは0.2〜0.6である。またbは0以上0.7以
下である。bが0.7以上になるとTgが低くなり耐熱
性が悪くなる。なおbはより好ましくは0.1〜0.5
である。
A in the general formula (1) is 0.1 or more and 0.
It is 9 or less. When a is less than 0.1, not only the polymer becomes liquid but also easily oxidized, while 0.
If it exceeds 9, insolubilization may occur. In addition, a is more preferably 0.2 to 0.6. Further, b is 0 or more and 0.7 or less. When b is 0.7 or more, Tg becomes low and heat resistance becomes poor. Note that b is more preferably 0.1 to 0.5
Is.

【0023】 以下に一般式(1)で表される繰り返し
単位の具体例を示す。
Specific examples of the repeating unit represented by the general formula (1) are shown below.

【化学式11】 [Chemical formula 11]

【0024】本発明において一般式(1)で表される繰
り返し単位を含む共重合体の分子量は500〜100,
000であることが好ましく、1000〜50,000
であることがより好ましい。500未満の場合にはポリ
マーが液状となり、一方100,000を超えると不溶
化する恐れがある。
In the present invention, the copolymer containing the repeating unit represented by the general formula (1) has a molecular weight of 500 to 100,
000 is preferable, and 1000 to 50,000 is preferable.
Is more preferable. If it is less than 500, the polymer becomes liquid, while if it exceeds 100,000, it may become insoluble.

【0025】 本発明において、一般式(3)で表され
る結合単位を含有させることで耐熱性、及びレジストと
のエッチング選択比を向上させることが出来る。また、
一般式(1)で表される繰り返し単位と、一般式(3)
で表される結合単位とを含有する共重合体は、下記一般
式(4)で表される繰り返し単位をさらに含むことが望
ましい。
In the present invention, it is represented by the general formula (3).
Heat resistance and resist
The etching selection ratio of can be improved. Also,
The repeating unit represented by the general formula (1) and the general formula (3)
The copolymer containing the bonding unit represented by is preferably further containing a repeating unit represented by the following general formula (4).

【化学式12】 (但しArは炭素数20以下の置換または無置換の芳香
族炭化水素基である。a″は0.1以上0.9以下であ
る。)
[Chemical formula 12] (However, Ar is a substituted or unsubstituted aromatic hydrocarbon group having 20 or less carbon atoms. A ″ is 0.1 or more and 0.9 or less.)

【0026】また一般式(4)の繰り返し単位を導入す
ることで酸化に対する安定性とSi−H結合の効果とを
両立させることができる。一般式(4)で表される繰り
返し単位においてArは炭素数20以下の置換又は無置
換の芳香族炭化水素基であるが、Arとしては例えばフ
ェニル基、ナフチル基、アントラニル基、トルイル基、
メチシレン基等が挙げられる。
Further, by introducing the repeating unit of the general formula (4), both stability against oxidation and the effect of Si--H bond can be achieved. In the repeating unit represented by the general formula (4), Ar is a substituted or unsubstituted aromatic hydrocarbon group having 20 or less carbon atoms, and as Ar, for example, phenyl group, naphthyl group, anthranyl group, toluyl group,
A methicylene group etc. are mentioned.

【0027】以下に一般式(4)の繰り返し単位及び一
般式(3)であらわされる結合単位を含むポリシランの
具体例を示す。
Specific examples of the polysilane containing the repeating unit of the general formula (4) and the bonding unit represented by the general formula (3) are shown below.

【化14】 一般式(3)の結合単位は共重合体中0.1mol%以上
〜80mol%以下含有されていることが望ましい。多す
ぎると不溶化する恐れが有り、少なすぎるとエッチング
選択比向上の効果が少なくなるからである。
[Chemical 14] The bond unit of the general formula (3) is preferably contained in the copolymer in an amount of 0.1 mol% or more and 80 mol% or less. This is because if it is too large, it may become insoluble, and if it is too small, the effect of improving the etching selectivity decreases.

【0028】一般式(4)の繰り返し単位は共重合体中
0.1mol%以上含有されていることが望ましい。少なす
ぎると酸化に対する安定化の効果が少なくなるからであ
る。
The repeating unit of the general formula (4) is preferably contained in the copolymer in an amount of 0.1 mol% or more. This is because if the amount is too small, the effect of stabilizing the oxidation is reduced.

【0029】b)のポリシラン また、本発明に用いられる(イ)成分のポリシランのう
ちb)は一般式(2)で示される繰り返し単位と、一般
式(3)で示される結合単位と、炭素−炭素の多重結合
を分子中に2つ以上含有する共重合体を含む。
Polysilane of b) In the polysilane of the component (a) used in the present invention, b) is a repeating unit represented by the general formula (2), a bonding unit represented by the general formula (3), and a carbon atom. -Including copolymers containing more than one carbon multiple bond in the molecule.

【0030】一般式(2)においてR3、R4、R5は
同一であっても異なっていても良く、それぞれ水素原
子、単素数が20以下の置換または無置換の炭化水素基
である。R3、R4、R5の例として、水素原子、ビニ
ル基、アリル基、ベンジル基、パラビニルフェニル基、
フェニル基、フェナチル基、メチル基、パーフルオロプ
ロピル基、パーフルオロブチル基、sec−ブチル基、
イソプロピル基、エチル基、t−ブチル基などが挙げら
れるが、特に限定されない。
In the general formula (2), R3, R4 and R5 may be the same or different and each is a hydrogen atom or a substituted or unsubstituted hydrocarbon group having a prime number of 20 or less. Examples of R3, R4, and R5 are hydrogen atom, vinyl group, allyl group, benzyl group, paravinylphenyl group,
Phenyl group, phenethyl group, methyl group, perfluoropropyl group, perfluorobutyl group, sec-butyl group,
Examples thereof include an isopropyl group, an ethyl group, and a t-butyl group, but are not particularly limited.

【0031】一般式(2)におけるa′は0.1以上
0.8以下である。a′が0.1未満の場合には不溶化
する恐れが有り、一方0.8を超えるとTgが低下し耐熱
性が悪くなる恐れが有る。
In the general formula (2), a'is 0.1 or more and 0.8 or less. If a'is less than 0.1, it may be insolubilized, while if it exceeds 0.8, Tg may be lowered and heat resistance may be deteriorated.

【0032】なおaはより好ましくは0.2〜0.5で
ある。
Further, a is more preferably 0.2 to 0.5.

【0033】一般式(2)で示される繰り返し単位は、
本発明に係る共重合体であるポリシラン中、ポリシラン
を1としてモル分率で0.1以上含有されていることが
望ましい。少なすぎると溶媒に不溶化する恐れが有る。
The repeating unit represented by the general formula (2) is
In the polysilane which is the copolymer according to the present invention, it is desirable that the polysilane be contained in a molar ratio of 0.1 or more, with 1 being the polysilane. If it is too small, it may become insoluble in the solvent.

【0034】一般式(3)で示される結合単位は0.1
mol%〜80mol%含有されていることが望ましい。多す
ぎると溶媒に溶けなくなり、少なすぎると効果が少なく
なる。
The bond unit represented by the general formula (3) is 0.1.
It is desirable that the content is from mol% to 80 mol%. If it is too large, it will not dissolve in the solvent, and if it is too small, the effect will be poor.

【0035】本発明において一般式(2)で示される繰
り返し単位と、一般式(3)で示される結合単位及び炭
素−炭素の多重結合を分子中に2つ以上含有する共重合
体の分子量は500〜100000であることが好まし
く、1000〜30000であることがより好ましい。
500未満の場合には液状となり、一方100000を
超えると不溶化する恐れがある。
In the present invention, the molecular weight of the copolymer containing the repeating unit represented by the general formula (2), the bonding unit represented by the general formula (3) and two or more carbon-carbon multiple bonds in the molecule is: It is preferably 500 to 100,000, and more preferably 1,000 to 30,000.
If it is less than 500, it becomes liquid, while if it exceeds 100,000, it may become insoluble.

【0036】一般式(2)で示される繰り返し単位と、
一般式(3)で示される結合単位と、炭素−炭素の多重
結合を分子中に2つ以上含有する共重合体の具体例を下
記に示す。
A repeating unit represented by the general formula (2),
Specific examples of the copolymer containing the bonding unit represented by the general formula (3) and two or more carbon-carbon multiple bonds in the molecule are shown below.

【化15】 <(ロ)成分について>(ロ)成分の酸発生剤としては
露光時に酸が発生し、パターントランスファ組成物中の
ポリシランを架橋する際に加える温度で分解せず架橋の
妨げにならないことが必要である。加える温度は100
℃以上であるが、特にプロセス的には架橋時間を短縮す
るため150℃〜250℃で行われる。これらの条件で
も十分に使用できるものにはトリアリールスルホニウム
塩、ヨードニウム塩、N−ヒドロキシイミドスルホネー
ト類などが挙げられる。特にポリシランが極性溶媒に対
する溶解度が低いことから、イオン性化合物でないN−
ヒドロキシイミドスルホネート類が好ましい。その具体
的な例を挙げる。
[Chemical 15] <About the component (b)> It is necessary that the acid generator of the component (b) generates an acid during exposure and does not decompose at the temperature applied when the polysilane in the pattern transfer composition is crosslinked and does not hinder the crosslinking. Is. The temperature to add is 100
Although the temperature is higher than or equal to 0 ° C, it is carried out at 150 ° C to 250 ° C in order to shorten the crosslinking time in terms of the process. Examples of compounds that can be sufficiently used under these conditions include triarylsulfonium salts, iodonium salts, and N-hydroxyimide sulfonates. In particular, since polysilane has low solubility in a polar solvent, N- which is not an ionic compound
Hydroxyimide sulfonates are preferred. A specific example will be given.

【化16】 (ロ)成分の添加量はポリシラン100重量部に対して
0.05〜30重量部で好ましくは0.1〜10重量部
である。
[Chemical 16] The addition amount of the component (b) is 0.05 to 30 parts by weight, preferably 0.1 to 10 parts by weight, based on 100 parts by weight of polysilane.

【0037】0.05重量部未満ではレジストのパター
ン形状を改善する効果が見られず30重量部を超えると
塗膜性およびパターントランスファ膜形成後の膜の特性
が悪くなる。 <(ハ)成分について>(ハ)成分の溶媒については成
分のすべてを均一に溶解するものであればなんでも良
い。具体的な例としてアンソール、テトラヒドロフラ
ン、ジエトキシエタンなどのエーテル系溶媒やトルエ
ン、キシレン、メチシレン、クメン、エチルベンゼンな
どの芳香族炭化水素、あるいはソルベントナフサのよう
な混合溶剤でも良好である。 <(ニ)成分について>(ニ)成分のラジカル発生剤と
しては、アゾ化合物(たとえばアゾビスイソブチロニト
リル)、過酸化物、アルキルアリールケトン、シリルペ
ルオキシド、有機ハロゲン化物などが挙げられる。ラジ
カル発生剤は光照射または加熱により分子中のO−O結
合またはC−C結合が分解してラジカルを発生する。ラ
ジカル発生剤としては、たとえばベンゾイルペルオキシ
ド、ジターシャリブチルベルオキシド、ベンゾイン、ベ
ンゾインアルキルエーテル、ベンゾインアルキルアリー
ルチオエーテル、ベンゾイルアリールエーテル、ベンジ
ルアルキルアリールチオエーテル、ベンジルアラルキル
エタノール、フェニルグリオキサルアルキルアセター
ル、ベンゾイルオキシム、トリフェニル−t−ブチルシ
リルペルオキシド、更に下記に示す化合物が挙げられ
る。
If it is less than 0.05 parts by weight, the effect of improving the pattern shape of the resist is not observed, and if it exceeds 30 parts by weight, the coating properties and the properties of the film after forming the pattern transfer film are deteriorated. <About component (c)> Any solvent may be used as the solvent for component (c) as long as it can dissolve all of the components uniformly. Specific examples thereof include ether solvents such as ansole, tetrahydrofuran and diethoxyethane, aromatic hydrocarbons such as toluene, xylene, methicylene, cumene and ethylbenzene, and mixed solvents such as solvent naphtha. <About component (d)> Examples of the radical generator of component (d) include azo compounds (for example, azobisisobutyronitrile), peroxides, alkylaryl ketones, silyl peroxides, organic halides, and the like. The radical generator decomposes an O—O bond or a C—C bond in the molecule by light irradiation or heating to generate a radical. Examples of the radical generator include benzoyl peroxide, ditertiary butyl peroxide, benzoin, benzoin alkyl ether, benzoin alkylaryl thioether, benzoyl aryl ether, benzyl alkyl aryl thioether, benzyl aralkyl ethanol, phenylglyoxal alkyl acetal, benzoyl oxime, Examples include triphenyl-t-butylsilyl peroxide, and the compounds shown below.

【化17】 (ニ)ラジカル発生剤の添加量はポリシランの重量を1
00重量部としたとき1重量部以上100重量部以下が
望ましく特に5〜50重量部であることが望ましい。 <(ホ)成分について>一方、Si−H結合を含むa)
のポリシランやその他Si−H結合を含む化合物を含む
場合は酸化を受けやすい傾向があるため、貯蔵安定性を
増大させるために酸化防止剤をパターントランスファ組
成物に加えると良い。
[Chemical 17] (D) The amount of radical generator added is 1 weight of polysilane.
When the amount is 00 parts by weight, the amount is preferably 1 part by weight or more and 100 parts by weight or less, and particularly preferably 5 to 50 parts by weight. <About component (e)> On the other hand, a) containing Si-H bond
In the case where the polysilane or other compound containing a Si—H bond is included, it tends to be easily oxidized. Therefore, an antioxidant may be added to the pattern transfer composition in order to increase storage stability.

【0038】酸化防止剤としてはたとえば以下に列挙す
るものを用いることができる。2,6−ジ−t−ブチル
−4−メチルフェノール、2,5−ジ−t−アミルヒド
ロキノン、2,5−ジ−t−ブチルヒドロキノン、4,
4′−ブチリデン−ビス(6−t−ブチル−m−クレゾ
ール)、2,2′−メチレン−ビス(4−メチル−6−
t−ブチルフェノール)、4,4′−チオビス(6−t
−ブチル−m−クレゾール)などが挙げられる。
As the antioxidant, for example, those listed below can be used. 2,6-di-t-butyl-4-methylphenol, 2,5-di-t-amylhydroquinone, 2,5-di-t-butylhydroquinone, 4,
4'-butylidene-bis (6-t-butyl-m-cresol), 2,2'-methylene-bis (4-methyl-6-
t-butylphenol), 4,4'-thiobis (6-t
-Butyl-m-cresol) and the like.

【0039】添加量はポリシラン100重量部に対して
酸化防止剤0.01〜20重量部である。好ましくは
0.1〜6重量部である。酸化防止剤が20重量部を超
えるととポリシランと架橋剤との架橋反応が起こらなく
なり、0.01重量部未満であると貯蔵安定性の向上と
言う効果が得られなくなる。 <(ヘ)成分について>ポリシランa)を用い、かつポ
リシランa)中に架橋できる結合(炭素―炭素多重結
合)を持たない場合には(ヘ)成分の炭素−炭素の多重
結合を分子中に2つ以上持つ化合物を添加してもよい。
(ヘ)成分としては、炭素−炭素の多重結合を有する有
機物が挙げられる。多重結合を有する有機物とは二重結
合または三重結合を有する化合物、より具体的には、ビ
ニル基、アクリル基、アリール基、イミド基、アセチレ
ニル基、およびその誘導体などを有する化合物である。
このような多重結合を有する有機基はモノマー、オリゴ
マー、ポリマーのいずれでもよい。なお多重結合を有す
る有機物は自己重合していてもよい。
The amount of addition is 0.01 to 20 parts by weight of antioxidant with respect to 100 parts by weight of polysilane. It is preferably 0.1 to 6 parts by weight. When the amount of the antioxidant exceeds 20 parts by weight, the crosslinking reaction between the polysilane and the crosslinking agent does not occur, and when it is less than 0.01 part by weight, the effect of improving the storage stability cannot be obtained. <About component (f)> When polysilane a) is used and there is no crosslinkable bond (carbon-carbon multiple bond) in polysilane a), the carbon-carbon multiple bond of component (f) is incorporated into the molecule. You may add the compound which has two or more.
Examples of the component (f) include organic substances having a carbon-carbon multiple bond. The organic compound having a multiple bond is a compound having a double bond or a triple bond, more specifically, a compound having a vinyl group, an acryl group, an aryl group, an imide group, an acetylenyl group, a derivative thereof, or the like.
The organic group having such a multiple bond may be any of a monomer, an oligomer and a polymer. The organic substance having multiple bonds may be self-polymerized.

【0040】(ヘ)成分の添加量はポリシラン100重
量部に対し5〜200重量部である。5重量部未満では
架橋による効果が見られず、200以上ではレジストと
の選択比が低下する恐れがある。より好ましくは20〜
100重量部である。
The amount of component (f) added is 5 to 200 parts by weight per 100 parts by weight of polysilane. If it is less than 5 parts by weight, the effect of crosslinking is not observed, and if it is 200 or more, the selectivity with respect to the resist may decrease. More preferably 20-
It is 100 parts by weight.

【0041】ただし多重結合を2つ以上有するポリシラ
ンやオリゴシランの架橋剤のばあいは上記の添加量の制
限はない。
However, in the case of a polysilane or oligosilane cross-linking agent having two or more multiple bonds, the above-mentioned addition amount is not limited.

【0042】(ヘ)成分の炭素−炭素の多重結合を分子
中に2つ以上持つ化合物の具体例を以下に示す。
Specific examples of the compound (f) having two or more carbon-carbon multiple bonds in the molecule are shown below.

【化18】 [Chemical 18]

【化19】 [Chemical 19]

【化20】 [Chemical 20]

【化21】 <(ト)成分について>ポリシランa)において、ポリ
シラン自身に架橋できる結合(炭素−炭素多重結合)を
もつものを使用した場合、あるいはポリシランb)を使
用した場合には(ト)成分のSi−H結合を分子中に含
む化合物を添加することが望ましい。
[Chemical 21] <About (to) component> In the case of using polysilane a) having a bond (carbon-carbon multiple bond) capable of cross-linking to polysilane itself, or using polysilane b), Si-of (to) component It is desirable to add a compound containing an H bond in the molecule.

【0043】(ト)成分の添加量はポリシラン100重
量部に対し5〜200重量部である。10重量部未満で
は架橋による効果が見られず、200重量部以上ではレ
ジストとの選択比が低下する恐れがある。より好ましく
は10〜50重量部である。
The amount of component (g) added is 5 to 200 parts by weight per 100 parts by weight of polysilane. If it is less than 10 parts by weight, the effect of crosslinking is not observed, and if it is 200 parts by weight or more, the selectivity with respect to the resist may decrease. It is more preferably 10 to 50 parts by weight.

【0044】Si−H結合を分子中に含む化合物の具体
例を以下に示す。
Specific examples of compounds containing a Si--H bond in the molecule are shown below.

【化22】 本発明に係るパターントランスファ組成物をパターント
ランスファ膜とするには、酸素濃度が0.1%(100
0ppm)以下の雰囲気で加熱を行うことが望ましい。
より好ましくは200ppm以下の濃度とすることが好
ましい。本発明に係るパターントランスファ組成物中の
ポリシランはSi−H結合やSi(Si)4結合、R−
Si(Si)結合などが含まれる。これらの結合を有
するポリシランは空気中で高温にすると非常に酸化され
やすくなる。したがって酸素濃度を上記の範囲とした雰
囲気中で加熱して架橋反応を行うことにより、酸化反応
を抑制し、ポリシランの性質を劣化させることなしに、
レジスト溶媒に対して溶解しないパターントランスファ
膜を形成することができる。
[Chemical formula 22] In order to use the pattern transfer composition according to the present invention as a pattern transfer film, the oxygen concentration is 0.1% (100%).
It is desirable to perform heating in an atmosphere of 0 ppm) or less.
The concentration is more preferably 200 ppm or less. The polysilane in the pattern transfer composition according to the present invention contains Si—H bonds, Si (Si) 4 bonds, R—
Si (Si) 3 bonds and the like are included. Polysilanes having these bonds are very susceptible to oxidation at high temperatures in air. Therefore, by heating in an atmosphere having an oxygen concentration in the above range to carry out a crosslinking reaction, the oxidation reaction is suppressed and the properties of polysilane are not deteriorated.
It is possible to form a pattern transfer film that does not dissolve in the resist solvent.

【0045】次にここで図1(a)〜(d)を参照して
本発明に係るパターントランスファ組成物により形成さ
れたパターントランスファ膜を用いたシリコン系絶縁膜
の加工工程を説明する。なおTaO、RuOなどの
絶縁膜や、AlSi、AlSiCu、Tiサリサイド、
Coサリサイド、Cuなどの導電膜の加工も同様な工程
で行うことができる。
Next, with reference to FIGS. 1A to 1D, a process of processing a silicon type insulating film using a pattern transfer film formed of the pattern transfer composition according to the present invention will be described. An insulating film such as TaO 2 or RuO 2 , AlSi, AlSiCu, or Ti salicide,
Processing of a conductive film such as Co salicide or Cu can be performed in the same process.

【0046】図1(a)に示すように、シリコン基板1
1上にシリコン系絶縁膜12、パターントランスファ膜
13およびレジスト膜14を形成する。絶縁膜の膜厚は
10μm以下が望ましく、0.5〜2μmがより好まし
い。絶縁膜の膜厚が10μmを超えるとアスペクト比が
高くなり、エッチングストップなどのマクロローディン
グ効果が顕著に起こる。パターントランスファ膜の膜厚
は20〜500nm程度が望ましい。パターントランス
ファ膜13の膜厚は、以下の2つの条件を満たすように
決定する。露光光の多重反射を考慮に入れてレジストと
パターントランスファ膜との界面での反射率を計算し、
反射率が極力小さくなるような膜厚とする。レジストと
パターントランスファ膜との界面における反射率のパタ
ーントランスファ膜厚保依存性は、露光波長におけるレ
ジスト、パターントランスファ膜および絶縁膜の複素屈
折率を用いて計算する。具体的な計算方法は、P. H. Be
rning, Physics of Thin Film, Vol.1, pp.69-121(196
3); A. E. Bell & F. W. Spong, IEEE Journalof Quant
um Electronics, Vol. QE-14, pp.487-495(1978);K. Oh
ta & H. Isida,Applied Optics, Vol.29, pp.1952-1958
(1990)などの文献に記載されている。 (2)レジストパターンをマスクとして用いてエッチン
グできる膜厚で、かつエッチングされたパターントラン
スファ膜をマスクとして用いて絶縁膜をエッチングでき
る膜厚とする。
As shown in FIG. 1A, the silicon substrate 1
A silicon-based insulating film 12, a pattern transfer film 13 and a resist film 14 are formed on the substrate 1. The thickness of the insulating film is preferably 10 μm or less, more preferably 0.5 to 2 μm. When the film thickness of the insulating film exceeds 10 μm, the aspect ratio becomes high and the macro loading effect such as etching stop occurs remarkably. The thickness of the pattern transfer film is preferably about 20 to 500 nm. The film thickness of the pattern transfer film 13 is determined so as to satisfy the following two conditions. Calculate the reflectance at the interface between the resist and the pattern transfer film, taking into account the multiple reflection of the exposure light,
The film thickness is such that the reflectance is as small as possible. The pattern transfer film thickness retention dependency of the reflectance at the interface between the resist and the pattern transfer film is calculated using the complex refractive index of the resist, the pattern transfer film and the insulating film at the exposure wavelength. The specific calculation method is PH Be
rning, Physics of Thin Film, Vol.1, pp.69-121 (196
3); AE Bell & FW Spong, IEEE Journalof Quant
um Electronics, Vol. QE-14, pp.487-495 (1978); K. Oh
ta & H. Isida, Applied Optics, Vol.29, pp.1952-1958
(1990). (2) The resist pattern is used as a mask for etching, and the etched pattern transfer film is used as a mask for etching the insulating film.

【0047】パターントランスファ膜は、パターントラ
ンスファ組成物を塗布した後、酸素濃度が0.1%以下
の雰囲気ガス中で加熱して溶媒を気化させるとともに、
架橋反応させることにより形成される。このパターント
ランスファ膜には必要に応じて下地との密着性を向上さ
せるための密着性向上剤;絶縁膜からレジスト膜中への
反射光を防ぐための、紫外線を吸収する染料またはポリ
マー(たとえばポリスルホン、ポリベンズイミダゾー
ル);下地とのぬれ性を向上させるための界面活性剤な
どを添加しても良い。この場合ベーキングを経て成膜さ
れた、添加剤を含むパターントランスファ膜中における
シリコンの含有量が1〜50重量%の範囲となるように
添加剤の配合量を調製する。シリコンの含有量が1重量
%未満になると、露光光を十分に吸収することができ
ず、しかもレジストパターンをマスクとしてパターント
ランスファ膜をエッチングする際に十分なエッチング速
度比が得られない。一方、シリコン含有量が50重量%
を超える膜は塗布性が悪く、塗膜にピンホールが生じ易
くなる。レジスト膜はパターントランスファ膜上にレジ
スト溶液を塗布した後、ベーキングすることにより形成
される。レジスト膜の膜厚が薄いほど、露光時の露光量
マージン、フォーカスマージン、または解像度を向上さ
せることができる。このためレジスト膜の膜圧はパター
ントランスファ膜を寸法制御性良くエッチングできる範
囲でできるだけ薄いほうが良く、500nm以下が好ま
しい。
The pattern transfer film is applied with the pattern transfer composition and then heated in an atmosphere gas having an oxygen concentration of 0.1% or less to vaporize the solvent.
It is formed by a crosslinking reaction. If necessary, the pattern transfer film has an adhesion improver for improving the adhesion to the base; a dye or polymer (for example, polysulfone) that absorbs ultraviolet rays to prevent reflected light from the insulating film into the resist film. , Polybenzimidazole); a surfactant or the like for improving the wettability with the base may be added. In this case, the compounding amount of the additive is adjusted so that the silicon content in the pattern transfer film containing the additive formed by baking is in the range of 1 to 50% by weight. If the silicon content is less than 1% by weight, the exposure light cannot be sufficiently absorbed, and furthermore, a sufficient etching rate ratio cannot be obtained when the pattern transfer film is etched using the resist pattern as a mask. On the other hand, the silicon content is 50% by weight
A coating film having a thickness of more than 10 is poor in coatability and is likely to have pinholes in the coating film. The resist film is formed by applying a resist solution on the pattern transfer film and then baking. The thinner the resist film is, the more the exposure dose margin, the focus margin, or the resolution at the time of exposure can be improved. Therefore, the film pressure of the resist film is preferably as thin as possible within the range in which the pattern transfer film can be etched with good dimension controllability, and is preferably 500 nm or less.

【0048】レジストは、紫外光、電子線などの露光に
よってパターニング可能な組成物であれば特に限定され
ない。また、目的に応じて、ポジ型またはネガ型のレジ
ストを選択して使用することができる。ポジ型レジスト
としては、たとえばナフトキノンジアジドとノボラック
樹脂からなるレジスト(IX−770、日本合成ゴム社
製)、t−BOCで保護したポリビニルフェノール樹脂
とオニウム塩とからなる化学増幅レジスト(APEX−
E、シップレー社製)などが挙げられる。ネガ型レジス
トとしては、たとえばポリビニルフェノール、メラミン
樹脂および光酸発生剤からなる化学増幅レジスト(XP
−89131、シップレー社製)、ポリビニルフェノー
ルとビスアジド化合物とからなるレジスト(RD−20
0D、日立化成社製)などが挙げられる。レジスト膜中
に発生する定在波によりレジストバターンの寸法制御性
が劣化するのを防止するために、レジスト中に紫外線を
吸収するクマリン、グルタミンなどの染料を添加してレ
ジストの透明度を低下させてもよい。
The resist is not particularly limited as long as it is a composition that can be patterned by exposure to ultraviolet light, electron beam or the like. In addition, a positive or negative resist can be selected and used according to the purpose. As the positive resist, for example, a resist composed of naphthoquinonediazide and a novolac resin (IX-770, manufactured by Japan Synthetic Rubber Co., Ltd.), a chemically amplified resist composed of a polyvinylphenol resin protected by t-BOC and an onium salt (APEX-
E, manufactured by Shipley) and the like. As the negative resist, for example, a chemically amplified resist (XP containing a phenolphenol, a melamine resin and a photoacid generator) is used.
-89131, manufactured by Shipley Co., Ltd., a resist (RD-20) composed of polyvinylphenol and a bisazide compound.
0D, manufactured by Hitachi Chemical Co., Ltd.) and the like. In order to prevent the dimensional controllability of the resist pattern from deteriorating due to standing waves generated in the resist film, a dye such as coumarin or glutamine that absorbs ultraviolet rays is added to the resist to reduce the transparency of the resist. Good.

【0049】なお、下地からレジスト膜への露光光反射
をより確実に防止して現像後のレジストプロファイルを
良好な形状にするために、パターントランスファ膜とレ
ジスト膜との間に10〜150nm程度の膜厚の薄膜を
形成してもよい。この目的で形成される薄膜の材料およ
びその成膜方法としては、以下のようなものが挙げられ
る。
In order to more surely prevent exposure light reflection from the underlayer to the resist film and to make the resist profile after development a good shape, the distance between the pattern transfer film and the resist film is about 10 to 150 nm. You may form a thin film of a film thickness. Examples of the material of the thin film formed for this purpose and the film forming method thereof include the following.

【0050】たとえば、窒化シリコン膜、酸化シリコン
膜、酸窒化シリコン膜、シリコンカーバイド膜またはカ
ーボン膜を、スパッタ法またはCVD法により成膜す
る。また、ポリスルホン、ポリアミド、ノボラック樹
脂、ポリヒドロキシスチレンなどのポリマーを乳酸エチ
ル、シクロヘキサノンなどの有機溶媒に溶解した溶液を
スピンコーティングして成膜する。後者の場合には、ク
マリン、クルクミンなどの染料を添加してもよい。
For example, a silicon nitride film, a silicon oxide film, a silicon oxynitride film, a silicon carbide film or a carbon film is formed by the sputtering method or the CVD method. Further, a solution of a polymer such as polysulfone, polyamide, novolac resin, or polyhydroxystyrene in an organic solvent such as ethyl lactate or cyclohexanone is spin-coated to form a film. In the latter case, a dye such as coumarin or curcumin may be added.

【0051】また、レジスト膜上に、上層反射防止膜を
形成し、レジスト膜と空気との界面での光反射を低下さ
せることにより、レジスト膜中での定在波の発生を抑え
るようにしてもよい。このような上層反射防止膜として
は、たとえばヘキスト社製のAquata.rなどが挙
げられる。
Further, by forming an upper antireflection film on the resist film to reduce the light reflection at the interface between the resist film and air, it is possible to suppress the generation of standing waves in the resist film. Good. As such an upper antireflection film, for example, Aquata. r and the like.

【0052】次に、図1(b)に示すように、所望のパ
ターンを有するマスクを通して露光光である紫外光をレ
ジストに照射した後、現像することによりレジストパタ
ーンを形成する。紫外光を照射するための光源として
は、水銀灯、またはXeF(波長=351nm)、Xe
C1(波長=308nm)、KrF(波長=248n
m)、KrCl(波長=222nm)、ArF(波長=
193nm)、F(波長=151nm)などのエキシ
マレーザーが挙げられる。本発明のポリシランはSi−
Siを有し、波長150〜360nmの紫外線に対する
吸収性が高いので、露光光を吸収してレジスト膜中への
反射光を抑制できる。この結果、現像後のレジストプロ
ファイルに定在波に起因する波打ち形状は見られない。
また、レジスト膜厚および絶縁膜厚に変動があっても、
レジストパターン寸法の変動量を抑えることができる。
レジストの現像液としては、水酸化テトラメチルアンモ
ニウムなどの有機アルカリ水溶液、水酸化ナトリウム、
水酸化カリウムなどの無機アルカリ水溶液、またはキシ
レン、アセトンなどの有機溶媒が用いられる。
Next, as shown in FIG. 1B, a resist pattern is formed by irradiating the resist with ultraviolet light as exposure light through a mask having a desired pattern and then developing the resist. A mercury lamp, XeF (wavelength = 351 nm), Xe is used as a light source for irradiating ultraviolet light.
C1 (wavelength = 308 nm), KrF (wavelength = 248n)
m), KrCl (wavelength = 222 nm), ArF (wavelength =
193 nm), F 2 (wavelength = 151 nm) and other excimer lasers. The polysilane of the present invention is Si-
Since it has Si and has high absorptivity to ultraviolet rays having a wavelength of 150 to 360 nm, it can absorb exposure light and suppress light reflected into the resist film. As a result, no wavy shape due to standing waves is observed in the resist profile after development.
In addition, even if the resist film thickness and the insulating film thickness vary,
It is possible to suppress the variation amount of the resist pattern dimension.
As the resist developing solution, an organic alkaline aqueous solution such as tetramethylammonium hydroxide, sodium hydroxide,
An inorganic alkaline aqueous solution such as potassium hydroxide or an organic solvent such as xylene or acetone is used.

【0053】次いで、図1(c)に示すように、レジス
トパターンをマスクとしてパターントランスファ膜(エ
ッチンダマスク)をエッチングする。エッチング方式と
しては、たとえば反応性プラズマエッチング、マグネト
ロン反応性プラズマエッチング、電子ビームプラズマエ
ッチング、TCPプラズマエッチング、ICPプラズマ
エッチング、またはECRプラズマエッチングなどが挙
げられる。ソースガスとして、CF、CFCl、C
C1、CFBr、CC1、CCl
CF+H、(CF、C、CHF、SiF
、CFBr)+(Cl、Br)、Cl(+H
)、SiCl、Br、I、Cl +Ar、SF
(+N)、HBr、HI、HCl、Cl+Heの
群がら選択されるいずれかの組合せを使用することが好
ましい。これらのソースガスを用いれば、レジストとパ
ターントランスファ膜のエッチング速度比を高くとるこ
とができ、寸法制御性よくパターントランスファ膜をエ
ッチングできる。この理由は以下のように考えられる。
Then, as shown in FIG.
Pattern transfer film (e.g.
Etching the Cheunda mask). Etching method and
For example, reactive plasma etching, magneto
Ron reactive plasma etching, electron beam plasma etching
Etching, TCP plasma etching, ICP plasma
Etching or ECR plasma etching are listed.
You can CF as source gasFour, CFThreeCl, C
FTwoC1Two, CFThreeBr, CC1Four, CTwoF5ClTwo,
CFFour+ HTwo, (CFFour, CTwoF6, CHFThree, SiF
Four, CFThreeBr) + (ClTwo, BrTwo), ClTwo(+ H
Two), SiClFour, BrTwo, ITwo, Cl Two+ Ar, SF
Four(+ NTwo), HBr, HI, HCl, ClTwo+ He
It is preferable to use any combination selected from the group
Good Using these source gases, resist and
It is important to increase the etching rate ratio of the turn transfer film.
The pattern transfer film with good dimensional controllability.
Can be used. The reason for this is considered as follows.

【0054】すなわちエッチャントは、レジストを構成
する原子とは化学反応を起こしにくく揮発性生成物を生
成しにくいのに対して、パターントランスファ膜に含ま
れるシリコンとは化学反応を起こして蒸気圧が高い揮発
しやすい生成物を生じさせるためである。特にCl
たはHBrを含むソースガスを用いればパターントラン
スファ膜を高速比でエッチングすることができる。その
結果レジストの膜厚を薄くしてもレジストが削れてなく
なったり、レジストパターンが後退してパターントラン
スファ膜のパターンの寸法制御性が劣化することがな
い。
That is, the etchant is unlikely to cause a chemical reaction with the atoms constituting the resist and is unlikely to generate a volatile product, whereas the etchant causes a chemical reaction with silicon contained in the pattern transfer film to have a high vapor pressure. This is to produce a product that is easily volatilized. Particularly, if the source gas containing Cl 2 or HBr is used, the pattern transfer film can be etched at a high speed ratio. As a result, even if the film thickness of the resist is thinned, the resist is not scraped off, and the resist pattern recedes and the dimensional controllability of the pattern of the pattern transfer film does not deteriorate.

【0055】最後に、図1(d)に示すように、レジス
トパターンとパターントランスファ膜膜パターンとをマ
スクとして、絶縁膜をエッチングする。エッチング方式
としては、たとえば反応性プラズマエッチング、マグネ
トロン反応性プラズマエッチング、電子ビームプラズマ
エッチング、TCPプラズマエッチング、ICPプラズ
マエッチング、またはECRプラズマエッチングなどが
挙げられる。ソースガスとしてはフッ素系ガス、たとえ
ばCHF、C、C、CF+(H、C
)、C、CHF+CO、C+CO
などが好ましい。これらのソースガスを用いた場合に
は、シリコン系絶縁膜を高い速度比でエッチングでき
る。このとき、レジストパターンまたはパターントラン
スファ膜パターンの表面での重合膜の堆積が顕著でエッ
チング形状が劣化する場合にはソースガスにアルゴンま
たは酸素を添加して重合膜を除去できるようにすること
が好ましい。
Finally, as shown in FIG. 1D, the insulating film is etched by using the resist pattern and the pattern transfer film film pattern as a mask. Examples of the etching method include reactive plasma etching, magnetron reactive plasma etching, electron beam plasma etching, TCP plasma etching, ICP plasma etching, and ECR plasma etching. As the source gas, a fluorine-based gas such as CHF 3 , C 2 F 6 , C 3 F 8 , CF 4 + (H 2 , C
2 F 2 ), C 4 F 8 , CHF 3 + CO, C 4 F 8 + CO
Are preferred. When these source gases are used, the silicon-based insulating film can be etched at a high rate ratio. At this time, when the polymer film is remarkably deposited on the surface of the resist pattern or the pattern transfer film pattern and the etching shape is deteriorated, it is preferable to add argon or oxygen to the source gas so that the polymer film can be removed. .

【0056】ここで、図1(c)の工程の後に、パター
ントランスファ膜パターンの上に残存しているレジスト
パターンを除去し、パターントランスファ膜パターンの
みをマスクとして絶縁膜をエッチングする方法を採用す
ることもできる。
Here, after the step of FIG. 1C, a method of removing the resist pattern remaining on the pattern transfer film pattern and etching the insulating film using only the pattern transfer film pattern as a mask is adopted. You can also

【0057】[0057]

【実施例】(合成例1)滴下漏斗、還流冷却管を付けた
4つロフラスコに、アルゴンガス雰囲気下、無水トルエ
ン136mLと無水ヘプタン24mL混合溶媒中に塩化
銅(1)0.50g、15−クラウン−5−エーテル1
3.26g(0.0602mo1)と金属ナトリウム2
7.6g(1.20ml)を加え、溶媒還流温度まで加
熱し、ナトリウムdispersionを調製した。次に、溶媒還
流温度のままで、滴下漏斗から無水トルエン34mLと
無水ヘプタン6mLの混合溶媒に溶かしたメチルジクロ
ロシラン29.27g(0.250ml)とメチルフェ
ニルジクロロシラン47.78g(0.250mol)
を約30分間で滴下し、さらに溶媒還流温度で2時間反
応させたのち、無水トルエン20mLに溶かしたトリメ
チルクロロシランl0.8g(0.10mol)を加
え、2時間反応させたのち、室温まで冷却した。
EXAMPLES (Synthesis Example 1) Copper tetrachloride (1) 0.50 g, 15- in a mixed solvent of anhydrous toluene 136 mL and anhydrous heptane in an argon gas atmosphere in a four flask equipped with a dropping funnel and a reflux condenser. Crown-5-ether 1
3.26g (0.0602mo1) and metallic sodium 2
7.6 g (1.20 ml) was added and heated to the solvent reflux temperature to prepare sodium dispersion. Next, while maintaining the solvent reflux temperature, 29.27 g (0.250 ml) of methyldichlorosilane and 47.78 g (0.250 mol) of methylphenyldichlorosilane dissolved in a mixed solvent of 34 mL of anhydrous toluene and 6 mL of anhydrous heptane were added from a dropping funnel.
Was added dropwise over about 30 minutes, and the mixture was further reacted at the solvent reflux temperature for 2 hours. Then, 0.8 g (0.10 mol) of trimethylchlorosilane dissolved in 20 mL of anhydrous toluene was added, the mixture was reacted for 2 hours, and then cooled to room temperature. .

【0058】トルエン500mlを加え、沈殿物を窒素
下で加圧濾過し、濾液を濃縮した。その溶液にエタノー
ル500mLを加え、ポリマーを沈殿させた。ポリマー
を濾過したのち、トルエンに溶かし、その溶液をイオン
交換水で洗浄し、無水硫酸マグネシュウムで乾燥した。
乾燥剤を除いた後、溶媒を減圧下で溶媒を除き、トルエ
ンl00mLを加え溶かした後、エタノールl000m
1中に滴下し、沈殿物を濾過し真空乾燥し、淡黄色固体
のポリシラン(PS−1)11.2g(27.3%)を
得た。
500 ml of toluene was added, the precipitate was pressure filtered under nitrogen and the filtrate was concentrated. 500 mL of ethanol was added to the solution to precipitate the polymer. After the polymer was filtered, it was dissolved in toluene, the solution was washed with ion-exchanged water, and dried with anhydrous magnesium sulfate.
After removing the desiccant, the solvent was removed under reduced pressure, and 100 mL of toluene was added and dissolved, and then ethanol 1000 m was added.
1), and the precipitate was filtered and dried in vacuum to obtain 11.2 g (27.3%) of pale-yellow solid polysilane (PS-1).

【0059】H−NMR(CDCl)により、置換
基比がPh/Me/H=0.3/1.0/0.2(化学
式1のR,R1=Me、R2=Ph,a=0.28,b
=0.3)でGPC分析より、重量平均分子量が450
0であった。
According to 1 H-NMR (CDCl 3 ), the substituent ratio is Ph / Me / H = 0.3 / 1.0 / 0.2 (R in formula 1, R1 = Me, R2 = Ph, a = 0.28, b
= 0.3), the weight average molecular weight is 450 by GPC analysis.
It was 0.

【化23】 (合成例2)滴下漏斗、還流冷却管を付けた4つロフラ
スコに、アルゴンガス雰囲気下、無水トルエン136m
Lと無水ヘプタン24mL混合溶媒中に塩化銅(I)
0.50g、15−クラウン−5−エーテル13.26
g(0.0602mol)と金属ナトリウム27.6g
(1.20mol)を加え、溶媒還流温度まで加熱し、
ナトリウムdispersionを調製した。次に、溶媒還流温度
のままで、滴下漏斗から無水トルエン34mLと無水ヘ
プタン6mLの混合溶媒に溶かしたメチルジグロロシラ
ン29.27g(0.250mol)とジフェニルジク
ロロシラン63.30g(0.250mol)を約30
分間で滴下し、さらに溶媒遠流温度で2時間反応させた
のち、無水トルエン20mLに溶かしたトリメチルクロ
ロシランl0.8g(0.l0mol)を加え、2時間
反応させたのち、室温まで冷却した。
[Chemical formula 23] (Synthesis example 2) In a four flask equipped with a dropping funnel and a reflux condenser, anhydrous toluene 136 m under an argon gas atmosphere.
Copper (I) chloride in a mixed solvent of L and anhydrous heptane (24 mL)
0.50 g, 15-crown-5-ether 13.26
g (0.0602 mol) and metallic sodium 27.6 g
(1.20 mol), and heated to the solvent reflux temperature,
Sodium dispersion was prepared. Next, while maintaining the solvent reflux temperature, 29.27 g (0.250 mol) of methyldiglorosilane and 63.30 g (0.250 mol) of diphenyldichlorosilane dissolved in a mixed solvent of 34 mL of anhydrous toluene and 6 mL of anhydrous heptane were added from a dropping funnel. About 30
The mixture was added dropwise over a period of 2 minutes, and the mixture was further reacted at the solvent remote flow temperature for 2 hours. Then, 0.8 g (0.10 mol) of trimethylchlorosilane dissolved in 20 mL of anhydrous toluene was added, and the mixture was reacted for 2 hours and then cooled to room temperature.

【0060】トルエン500mlを加え、沈殿物を窒素
下で加圧濾過し、濾液を濃縮した。その溶液にエタノー
ル500mLを加え、ポリマーを沈殿させた。ポリマー
を濾過したのち、トルエンに溶かし、その溶液をイオン
交換水で洗浄し、無水硫酸マグネシュウムで乾燥した。
乾燥剤を除いた後、溶媒を減圧下で溶媒を除き、トルエ
ンl00mLを加え溶かした後、エタノール1000m
1中に滴下し、沈殿物を濾過し真空乾燥し淡黄色固体の
ポリシラン(PS−2)13.2g(23.3%)を得
た。
500 ml of toluene was added, the precipitate was pressure filtered under nitrogen and the filtrate was concentrated. 500 mL of ethanol was added to the solution to precipitate the polymer. After the polymer was filtered, it was dissolved in toluene, the solution was washed with ion-exchanged water, and dried with anhydrous magnesium sulfate.
After removing the desiccant, the solvent was removed under reduced pressure, and 100 mL of toluene was added and dissolved, and then 1000 m of ethanol was added.
1), and the precipitate was filtered and vacuum dried to obtain 13.2 g (23.3%) of a pale yellow solid polysilane (PS-2).

【0061】 1H−NMR(CDCl3)により、置
換基比がPh/Me/H=1.0/1.0/0.3(化
学式(1)のR=Me、R1,R2=Ph、a=0.
3、b=0.33)でGPC分析より、重量平均分子量
は5100であった。
By 1H-NMR (CDCl3), the substituent ratio is Ph / Me / H = 1.0 / 1.0 / 0.3 (R = Me, R1, R2 = Ph, a = in the chemical formula (1). 0.
3, b = 0.33) and the weight average molecular weight was 5,100 as determined by GPC analysis.

【化学式13】 (合成例3) 滴下漏斗、還流冷却管を付けた4つロフラスコに、アル
ゴンガス雰囲気下、無水ジメトキシエタンンl00mL
と金属マグネシウム48.62g(2.00mol)を
加え、よう素を少量加えた。次に、滴下漏斗から無水ジ
メトキシエタン30mLに溶かしたテトラクロロシラン
84.96g(0.500mol)を少量加えて反応開
始を確認後、さらにフラスコに無水ジメトキシエタン3
70mLを加えたのち徐々に滴下(約60分間)し、さ
らに室温で16時間反応させた。もう一つ別の滴下漏
斗、還流冷却管を付けた4つ口フラスコに、アルゴンガ
ス雰囲気下、無水ジメトキシエタン100mLと金属マ
グネシウム33.91g(1.40mol)を加え、よ
う素を少量加えた。次に、滴下漏斗から無水ジメトキシ
エタン30mLに溶かした臭化アリル84.28g
(0.698mol)を少量加えて反応開始を確認後、
さらにフラスコに無水ジメトキシエタン370mLを加
えたのち徐々に滴下(約60分間)し、さらに室温で1
6時間反応させ、アリルマグネシウムブロマイド溶液を
調製した。
[Chemical formula 13] (Synthesis Example 3) 100 mL of anhydrous dimethoxyethane was added to a four flask equipped with a dropping funnel and a reflux condenser under an argon gas atmosphere.
And 48.62 g (2.00 mol) of magnesium metal were added, and a small amount of iodine was added. Next, a small amount of 84.96 g (0.500 mol) of tetrachlorosilane dissolved in 30 mL of anhydrous dimethoxyethane was added from a dropping funnel to confirm the start of the reaction, and then anhydrous dimethoxyethane 3 was added to the flask.
After adding 70 mL, the solution was gradually added dropwise (about 60 minutes), and further reacted at room temperature for 16 hours. In a four-necked flask equipped with another dropping funnel and a reflux condenser, 100 mL of anhydrous dimethoxyethane and 33.91 g (1.40 mol) of magnesium metal were added under an argon gas atmosphere, and a small amount of iodine was added. Then, from the dropping funnel, 84.28 g of allyl bromide dissolved in 30 mL of anhydrous dimethoxyethane.
After confirming the start of the reaction by adding a small amount of (0.698 mol),
Then, add 370 mL of anhydrous dimethoxyethane to the flask and gradually add dropwise (for about 60 minutes).
The reaction was carried out for 6 hours to prepare an allylmagnesium bromide solution.

【0062】次に、この調製したアリルマグネシウムブ
ロマイド溶液をもう一つのフラスコに約1時間かけて加
え、約16時間反応後、溶液の一部を抜き取リイオン交
換水で加水分解させたところ酸性を示したため反応系中
にSi−C1結合が多く残っていると推察されるため、
さらに、この反応系中に無水ジメトキシエタン20mL
にとかした塩化アリル76.54gを約50分間かけて
滴下し、溶媒還流温度で2時間さらに反応させた。反応
温度60〜65℃で、無水トルエン20mLに溶かした
トリメチルクロロシラン54.34g(0.50mo
l)を加え、1.5時間反応させたのち、室温まで冷却
した。トルエン500mlを加え、沈殿物を窒素下で加
圧ろ過し、ろ液をイオン交換水で洗浄し、無水硫酸マグ
ネシュウムで乾燥した。乾燥剤を除いた後、減圧下で溶
媒を除き、トルエン50mLを加え溶かした後、エタノ
ール500ml中に滴下し、オレンジ色沈殿物を濾過し
真空乾燥しポリシラン(PS−3)8.0lgを得た。
Next, this prepared allylmagnesium bromide solution was added to another flask over about 1 hour, and after reacting for about 16 hours, a part of the solution was taken out and hydrolyzed with reion-exchanged water to obtain acidity. Since it is presumed that many Si-C1 bonds remain in the reaction system from the above,
Furthermore, 20 mL of anhydrous dimethoxyethane was added to this reaction system.
76.54 g of dissolved allyl chloride was added dropwise over about 50 minutes, and further reacted at the solvent reflux temperature for 2 hours. At a reaction temperature of 60 to 65 ° C., 54.34 g (0.50 mo) of trimethylchlorosilane dissolved in 20 mL of anhydrous toluene.
l) was added and the mixture was reacted for 1.5 hours and then cooled to room temperature. Toluene (500 ml) was added, the precipitate was pressure-filtered under nitrogen, the filtrate was washed with ion-exchanged water, and dried over anhydrous magnesium sulfate. After removing the desiccant, the solvent was removed under reduced pressure, 50 mL of toluene was added and dissolved, and then dropped into 500 ml of ethanol, the orange precipitate was filtered, and vacuum dried to obtain 8.0 lg of polysilane (PS-3). It was

【0063】 1H−NMR(CDC13)により、ア
リル基が導入されているのを確認した。GPC分析よ
り、重量平均分子量3100であった。
It was confirmed by 1H-NMR (CDC13) that an allyl group had been introduced. From the GPC analysis, the weight average molecular weight was 3100.

【化学式14】 (合成例4) 滴下漏斗、還流冷却管を付けた4つ口フラスコに、アル
ゴンガス雰囲気下、無水ジメトキシエタンl00mLと
金属マグネシウム48.62g(2.00ml)を加
え、よう素を少量加えた。次に、滴下漏斗から無水ジメ
トキシエタン30mLに溶かしたテトラクロロシラン8
4.96g(0.500mo1)を少量加えて反応開始
を確認後、さらにフラスコに無水ジメトキシエタン37
0mLを加えたのち徐々に滴下(約60分間)し、さら
に室温で20時間反応させた後、溶媒還流温度で2時間
反応させた。次に、この反応系中に無水ジメトキシエタ
ン20mLにとかした臭化ブチル137.02g(1.
00mo1)を約80分間かけて滴下し、溶媒還流温度
で2時間さらに反応させた。反応温度40から60℃
で、無水ジメトキシエタン20mLに溶かしたトリメチ
ルクロロシラン108.61g(1.00mo1)を徐
々に加え、1時間反応させたのち、室温まで冷却した。
トルエン500mlを加え、沈殿物を窒素下で加圧ろ過
し、ろ液をイオン交換水で洗浄し、無水硫酸マグネシュ
ウムで乾燥した。乾燥剤を除いた後、減圧下で溶媒を除
き、トルエン50mLを加え溶かした後、エタノール5
00ml中に滴下し、沈殿物をろ過し真空乾燥し、赤橙
色固体のポリシラン(PS−4)11.7gを得た。1
H−NMR(CDCl3)により、ブチル基が導入され
ているのを確認した。GPC分析より、重量平均分子量
4800であった。
[Chemical formula 14] (Synthesis Example 4) To a four-necked flask equipped with a dropping funnel and a reflux condenser, 100 ml of anhydrous dimethoxyethane and 48.62 g (2.00 ml) of magnesium metal were added under an argon gas atmosphere, and a small amount of iodine was added. Next, from the dropping funnel, tetrachlorosilane 8 dissolved in 30 mL of anhydrous dimethoxyethane was added.
After confirming the start of the reaction by adding a small amount of 4.96 g (0.500 mol 1), anhydrous dimethoxyethane 37 was added to the flask.
After adding 0 mL, the mixture was gradually added dropwise (about 60 minutes), further reacted at room temperature for 20 hours, and then reacted at a solvent reflux temperature for 2 hours. Next, 137.02 g of butyl bromide dissolved in 20 mL of anhydrous dimethoxyethane (1.
00mo1) was added dropwise over about 80 minutes, and further reacted at the solvent reflux temperature for 2 hours. Reaction temperature 40 to 60 ° C
Then, 108.61 g (1.00 mo1) of trimethylchlorosilane dissolved in 20 mL of anhydrous dimethoxyethane was gradually added, and the mixture was reacted for 1 hour and then cooled to room temperature.
Toluene (500 ml) was added, the precipitate was pressure-filtered under nitrogen, the filtrate was washed with ion-exchanged water, and dried over anhydrous magnesium sulfate. After removing the desiccant, the solvent was removed under reduced pressure, 50 mL of toluene was added and dissolved, and then ethanol 5 was added.
The mixture was added dropwise to 00 ml, and the precipitate was filtered and dried in vacuum to obtain 11.7 g of a reddish orange solid polysilane (PS-4). 1
It was confirmed by H-NMR (CDCl3) that a butyl group had been introduced. From GPC analysis, the weight average molecular weight was 4,800.

【化学式15】 (合成例5) 滴下漏斗、還流冷却管をつけた4つ口フラスコに、アル
ゴンガス雰囲気下、無水ジメトキシエタン100mLと
金属マグネシウム48.62g(2.00mol)を加
え、よう素を少量加えた。次に、滴下漏斗から無水ジメ
トキシエタン30mLに溶かしたテトラクロロシラン8
4.96g(0.500mol)を少量加えて反応開始
を確認後、さらにフラスコに無水ジメトキシエタン37
0mLを加えたのち徐々に下(約60分間)し、さら
に室温で20時間反応させた後、溶媒還流温度で2時間
反応させた。次に、この反応系中に無水ジメトキシエタ
ン120mLに溶かした臭化アリル120.9g(1.
00mo1)を約80分間かけて滴下し、溶媒還流温度
で9時間さらに反応させ、室温に冷却後、3.0Mエチ
ルマグネシウムブロマイドのジエチルエーテル溶液80
mlを加えた。室温で11時間反応させた後、溶媒還流
温度で3時間反応させた。そこに、無水ジメトキシエタ
ン20mLに溶かしたトリメチルクロロシラン26.1
1g(0.200mol)を徐々に加え、2時間反応さ
せたのち、室温まで冷却した。トルエン500mlを加
え、沈殿物を窒素下で加圧ろ過し、ろ液をイオン交換水
で洗浄し、無水硫酸マグネシュウムで乾燥した。乾燥剤
を除いた後、減圧下で溶媒を除き、トルエン50mLを
加え溶かした後、エタノール500ml中に滴下し、沈
殿物をろ過し真空乾燥し、赤橙色固体のポリシラン(P
S−5)4.0gを得た。1H−NMR(CDCl3)
により、エチル基/アリル基=1/1.3で導入されて
いるのを確認した。GPC分析より、重量平均分子量4
800であった。
[Chemical formula 15] (Synthesis example 5) To a four-necked flask equipped with a dropping funnel and a reflux condenser, 100 mL of anhydrous dimethoxyethane and 48.62 g (2.00 mol) of magnesium metal were added under an argon gas atmosphere, and iodine was added in a small amount. Next, from the dropping funnel, tetrachlorosilane 8 dissolved in 30 mL of anhydrous dimethoxyethane was added.
A small amount of 4.96 g (0.500 mol) was added to confirm the start of the reaction, and then anhydrous dimethoxyethane 37 was added to the flask.
Under gradually drops after adding 0mL was (approximately 60 minutes), was further reacted at room temperature for 20 hours, the reaction was carried out for 2 hours at the reflux temperature of the solvent. Next, in this reaction system, 120.9 g of allyl bromide dissolved in 120 mL of anhydrous dimethoxyethane (1.
00mo1) was added dropwise over about 80 minutes, and the reaction was further performed at the solvent reflux temperature for 9 hours. After cooling to room temperature, a 3.0 M solution of ethylmagnesium bromide in diethyl ether 80 was added.
ml was added. After reacting for 11 hours at room temperature, it was reacted for 3 hours at the solvent reflux temperature. There, trimethylchlorosilane 26.1 dissolved in 20 mL of anhydrous dimethoxyethane
1 g (0.200 mol) was gradually added, and the mixture was reacted for 2 hours and then cooled to room temperature. Toluene (500 ml) was added, the precipitate was pressure-filtered under nitrogen, the filtrate was washed with ion-exchanged water, and dried over anhydrous magnesium sulfate. After removing the desiccant, the solvent was removed under reduced pressure, 50 mL of toluene was added and dissolved, and then the solution was added dropwise to 500 mL of ethanol, the precipitate was filtered and vacuum dried, and polysilane (P
S-5) 4.0g was obtained. 1H-NMR (CDCl3)
Thus, it was confirmed that the introduction was carried out with ethyl group / allyl group = 1 / 1.3. Weight average molecular weight of 4 from GPC analysis
It was 800.

【化学式16】 (実施例1)(参考例) 合成例1で合成したポリシラン(PS−1)1g、下記
化学式で表される架橋剤(K1)0.3g、ラジカル発
生剤として25%BTTBのトルエン溶液0.8g、酸
発生剤NAI−106を0.03gアニソール9gに
溶解させ、パターントランスファ組成物を調製した。
[Chemical Formula 16] (Example 1) (Reference example) 1 g of polysilane (PS-1) synthesized in Synthesis example 1, 0.3 g of a cross-linking agent (K1) represented by the following chemical formula, and a toluene solution of 25% BTTB as a radical generator. A pattern transfer composition was prepared by dissolving 8 g of the acid generator NAI-106 in 0.03 g and 9 g of anisole.

【化学式17】 シリコンウエハー上に膜厚500nmのSiO膜を形
成しこのSiO膜上に前述のパターントランスファ組
成物塗布し、ベークして膜厚250nmのパターントラ
ンスファ膜を形成した。
[Chemical formula 17] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, the above-mentioned pattern transfer composition was applied on the SiO 2 film, and baked to form a pattern transfer film having a film thickness of 250 nm.

【0064】窒素雰囲下、240℃で1分間加熱するこ
とにより、パターントランスファ膜を架橋させて不溶化
した。次いで、このパターントランスファ膜上にポジ型
化学増幅型レジストTDUR−P007を塗布し、89
℃で120秒間べ一クして膜厚250nmのレジスト膜
を形成した。KrFエキシマレーザーを光源とする縮小
露光型ステッパーを用い、マスクを通して30mJ/c
の露光量で露光し、98℃で120秒間べークし
た。露光後のレジスト膜を0.21規定のTMAH現像
液で現像して、0.18μmライン・アンド・スペース
のレジストパターンを形成した。得られたレジストパタ
ーンの断面をSEM観察したところ、レジストパターン
の側壁に定在波による波打ち形状は認められなかった。
レジストパターンをマスクとして、HBr流量50sc
cm、真空度80mTorr、励起電力200Wの条件
でパターントランスファ膜をエッチングしたところ、垂
直な側壁をもつパターントランスファ膜のパターンが形
成された。この上部にはレジストが残っており、十分な
エッチング速度比を有することがわかった。
The pattern transfer film was crosslinked and insolubilized by heating at 240 ° C. for 1 minute in a nitrogen atmosphere. Then, a positive chemically amplified resist TDUR-P007 is applied on this pattern transfer film, and 89
The resist film having a film thickness of 250 nm was formed by baking at 120 ° C. for 120 seconds. 30mJ / c through a mask using a reduction exposure type stepper using a KrF excimer laser as a light source
It was exposed with an exposure amount of m 2 and baked at 98 ° C. for 120 seconds. The exposed resist film was developed with a 0.21N TMAH developer to form a 0.18 μm line-and-space resist pattern. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.
Using the resist pattern as a mask, the HBr flow rate is 50 sc
When the pattern transfer film was etched under the conditions of cm, vacuum degree of 80 mTorr and excitation power of 200 W, a pattern transfer film pattern having vertical sidewalls was formed. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0065】 次に、パターントランスファ膜をマスク
として、C流量50sccm、CO流量10sc
cm、Ar流量100sccm、O流量3sccm、
真空度10Torr、励起電力200Wの条件でSiO
膜をエッチングした。パターントランスファ膜のエッ
チング耐性は十分であり、垂直な側壁をもつSiO
パターンが得られた。また、残ったパターントランスフ
ァ膜は有機アルカリ水溶液または希フッ酸水溶液で容易
に剥離することができた。
Next, using the pattern transfer film as a mask, the flow rate of C 4 F 8 is 50 sccm, and the flow rate of CO is 10 sc.
cm, Ar flow rate 100 sccm, O 2 flow rate 3 sccm,
SiO under the conditions of a vacuum degree of 10 Torr and an excitation power of 200 W
The two films were etched. Pattern transfer film etch
The ching resistance was sufficient, and a SiO 2 film pattern having vertical sidewalls was obtained. Further, the remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution.

【0066】表1に実施例1のパターントランスファ組
成物の成分を示す。
Table 1 shows the components of the pattern transfer composition of Example 1.

【表1】 また、下記に示す比較例1〜4、実施例2〜16のパタ
ーントランスファ組成物の成分を表1に併記する。 (比較例1) 実施例1と同様にし、シリコンウェーハ1膜厚500n
mのSiO膜を形成した。次に、このSiO膜をエ
ッチングするのに必要な膜厚200nmのポリシリコン
膜を形成した。次いで、ポリシリコン膜上にポジ型化学
増幅型レジスト(商品名APEX−E、シップレー社
製)を塗布し、98℃で120秒間べ一キングを行っ
た。このときのレジストの膜厚は300nmである。
[Table 1] The components of the pattern transfer compositions of Comparative Examples 1 to 4 and Examples 2 to 16 shown below are also shown in Table 1. (Comparative Example 1) In the same manner as in Example 1, the silicon wafer 1 has a film thickness of 500 n.
m SiO 2 film was formed. Next, a polysilicon film having a film thickness of 200 nm necessary for etching this SiO 2 film was formed. Then, a positive type chemically amplified resist (trade name APEX-E, manufactured by Shipley Co., Ltd.) was applied on the polysilicon film, and baking was performed at 98 ° C. for 120 seconds. The film thickness of the resist at this time is 300 nm.

【0067】次に実施例1と同様に露光、現像処理を行
って、0.18μmライン・アンド・スペースのレジス
トバターンを形成した。
Then, exposure and development were performed in the same manner as in Example 1 to form a resist pattern of 0.18 μm line and space.

【0068】得られたレジストパターンの側壁には図2
に示したような波打ち形状が見られ、良好な形状のパタ
ーンを得ることができなかった。これは、ポリシリコン
膜による光反射が強いため、レジスト膜中で定在波が発
生したことによると考えられる。 (比較例2)実施例1と同様に、シリコンウェーハ上に
500nm厚のSiO膜を形成した。次に、このSi
膜をエッチングするのに必要な膜厚である膜厚20
0nmのカーボン膜を形成した。さらに、カーボン膜上
にポジ型化学増幅型レジスト(商品名:APEX−E、
シップレー社製)を塗布し、98℃で120秒間べーキ
ングを行った。このときのレジストの膜厚は200nm
である。
The side wall of the obtained resist pattern is shown in FIG.
The wavy shape shown in Fig. 3 was observed, and a good pattern could not be obtained. It is considered that this is because a standing wave was generated in the resist film due to strong light reflection by the polysilicon film. (Comparative Example 2) As in Example 1, a 500 nm thick SiO 2 film was formed on a silicon wafer. Next, this Si
A film thickness of 20 which is a film thickness necessary for etching the O 2 film
A 0 nm carbon film was formed. Furthermore, a positive chemically amplified resist (trade name: APEX-E,
(Manufactured by Shipley) was applied and baking was performed at 98 ° C. for 120 seconds. At this time, the film thickness of the resist is 200 nm
Is.

【0069】次に実施例1と同様にして、露光・現像処
理を行い、0.18μmmライン・アンド・スペースの
レジストパターンを形成した。カーボン膜は波長248
nmでの光吸収性が高いため、実施例1と同様、下地膜
からの反射が抑えら良好なレジストプロファイルをもっ
た寸法制御性のよいレジストパターンが得られた。
Next, in the same manner as in Example 1, exposure / development processing was performed to form a resist pattern of 0.18 μm line and space. The carbon film has a wavelength of 248
Since the light absorption property in nm is high, a resist pattern having good dimensional controllability and having a good resist profile in which reflection from the underlying film was suppressed was obtained as in Example 1.

【0070】以上のように形成したレジストパターンを
マスクとして用いて、マグネトロンRIE装置により、
CF流量80sccm、O流量8sccm、Ar流
量20sccm、真空度10mTorr、励起電力20
0Wの条件でカーボン膜のエッチングを行った。その結
果、レジストパターンがカーボン膜のエッチング途中で
削れてなくなり、所望の寸法でSiO膜のエッチング
を行うことができなかったまた、上記の条件で、単独の
レジスト膜およびカーボン膜のエッチングレートを測定
したところ、レジスト膜が185nm/min、カーボ
ン膜が65nm/minであった。
Using the resist pattern formed as described above as a mask, a magnetron RIE apparatus was used.
CF 4 flow rate 80 sccm, O 2 flow rate 8 sccm, Ar flow rate 20 sccm, vacuum degree 10 mTorr, excitation power 20
The carbon film was etched under the condition of 0 W. As a result, the resist pattern was not removed during the etching of the carbon film, and the SiO 2 film could not be etched to a desired size. Further, under the above conditions, the etching rates of the single resist film and the carbon film were changed. When measured, the resist film was 185 nm / min, and the carbon film was 65 nm / min.

【0071】カーボン膜のエッチングレートはレジスト
膜の0.35倍しかなく、レジスト膜とカーボン膜とで
は、選択比がとれていないことがわかった。このため、
カーボン膜のエッチング途中で、レジストパターンが崩
れてなくなったものと考えられる。 (比較例3)実施例1と同様にして、シリコンウェーハ
上に500nmSiO膜を形成した。次に、膜厚20
0nmのカーボン膜を順次形成した。次いで、このカー
ボン膜上に、ポジ型化学増幅型レジスト(商品名、:A
PEX−E、シップレー社製)を塗布し、98℃で12
0秒間べーキングを行った。このようにして得たレジス
ト膜の膜厚は700nmである。そして、このレジスト
膜に対し、実施例2と同様にして、露光、現像処理を行
い、0.18μmライン・アンド・スペースのレジスト
パターンを形成した。
It was found that the etching rate of the carbon film was only 0.35 times that of the resist film, and the resist film and the carbon film did not have a high selection ratio. For this reason,
It is considered that the resist pattern collapsed and disappeared during the etching of the carbon film. (Comparative Example 3) In the same manner as in Example 1, a 500 nm SiO 2 film was formed on a silicon wafer. Next, the film thickness 20
A 0 nm carbon film was sequentially formed. Then, on this carbon film, a positive chemically amplified resist (trade name,: A
PEX-E, manufactured by Shipley Co., Ltd., and applied at 98 ° C for 12
Baking was performed for 0 seconds. The thickness of the resist film thus obtained is 700 nm. Then, this resist film was exposed and developed in the same manner as in Example 2 to form a resist pattern of 0.18 μm line and space.

【0072】以上のように形成したレジストパターンを
マスクとして用いて、比較例2と同様の条件で、カーボ
ン膜のエッチングを行った。その結果、カーボン膜をエ
ッチングすることはできたが、図3に示すように、加工
形状はテーパー形状であり異方性よくエッチングするこ
とができなかった。これは、レジストパターンとカーボ
ン膜のエッチング選択比がとれていないため、カーボン
のエッチング途中でレジストパターンが後退したためと
考えられる。
Using the resist pattern formed as described above as a mask, the carbon film was etched under the same conditions as in Comparative Example 2. As a result, the carbon film could be etched, but as shown in FIG. 3, the processed shape was a tapered shape and could not be etched with good anisotropy. It is considered that this is because the etching selectivity between the resist pattern and the carbon film is not high, and the resist pattern recedes during the carbon etching.

【0073】また、レジストの膜厚が700nmと厚い
ために、最適な露光量でのフォーカスマージンが0.3
μmと狭く、デバイス製造時に必要な値である1.0μ
mのフォーカスマージンを得ることができなかった。 (比較例4)実施例1と同様にして、シリコンウエーハ
1上に膜厚500nmのSiO膜2を形成した。次に
平均分子量6000のポリスルホンをシクロヘキサノン
に溶解して調製した塗布液をスピンコーティング法によ
り塗布し、225℃で90秒間べーキングして、反射防
止膜としてのポリスルホン膜3を形成した。
Further, since the resist film is as thick as 700 nm, the focus margin at the optimum exposure amount is 0.3.
Narrow as 1.0 μm, which is the required value for device manufacturing 1.0 μm
The focus margin of m could not be obtained. Comparative Example 4 In the same manner as in Example 1, a SiO 2 film 2 having a film thickness of 500 nm was formed on the silicon wafer 1. Next, a coating solution prepared by dissolving polysulfone having an average molecular weight of 6000 in cyclohexanone was applied by a spin coating method and baked at 225 ° C. for 90 seconds to form a polysulfone film 3 as an antireflection film.

【0074】次に、ポリスルホン膜3上にポジ型化学増
幅型レジスト(商品名:APEX−E、シッブレー社
製)を塗布し、98℃で120秒間べ一キングを行い、
レジスト膜4を形成した。このようにして得たレジスト
膜4の膜厚は300nmである。その後、実施例2と同
様にして露光、現像処理を行って、0.18μmライン
・アンド・スペースのレジストパターンを形成した。
Next, a positive type chemically amplified resist (trade name: APEX-E, manufactured by Sibbley Co.) was applied on the polysulfone film 3 and baked at 98 ° C. for 120 seconds,
A resist film 4 was formed. The resist film 4 thus obtained has a thickness of 300 nm. Then, exposure and development were performed in the same manner as in Example 2 to form a 0.18 μm line-and-space resist pattern.

【0075】以上のようにして形成したレジストパター
ンをマスクとして用いて、比較例2と同様のエッチング
条件で、ポリスルホン膜3のエッチングを行った。この
状態を図4(a)に示す。ポリスルホン膜3パターン
は、比較例3の場合のカーボン膜パターンほど著しいテ
ーパ形状を示していなかった。これは、単独膜で測定さ
れたポリスルホン膜3のエッチングレートは、200n
m/minとカーボン膜のエッチングレートより速く、
かつポリスルホン膜3の膜厚(115nm)が比較例3
のカーボン膜の膜厚(700nm)よりも薄いためであ
る。
Using the resist pattern formed as described above as a mask, the polysulfone film 3 was etched under the same etching conditions as in Comparative Example 2. This state is shown in FIG. The polysulfone film 3 pattern did not show a marked taper shape as the carbon film pattern of Comparative Example 3. This is because the etching rate of the polysulfone film 3 measured with a single film is 200 n.
m / min and faster than the etching rate of the carbon film,
Moreover, the film thickness (115 nm) of the polysulfone film 3 is Comparative Example 3
This is because it is thinner than the thickness (700 nm) of the carbon film.

【0076】次に、このようにして得たレジストパター
ン4及びポリスルホン膜パターン3をマスクとして用
い、実施例3と同様のエッチング条件でSiO膜2を
エッチングした。この状態を図4(b)に示す。
Next, using the resist pattern 4 and the polysulfone film pattern 3 thus obtained as a mask, the SiO 2 film 2 was etched under the same etching conditions as in Example 3. This state is shown in FIG.

【0077】この状態では、エッチング前のポリスルホ
ン膜3の底部寸法(X)と比べて、エッチング後のSi
膜2のパターン寸法(Y)は20nm細くなってお
り、レジストパターン4の寸法に忠実な寸法制御性の良
好なエッチングを行うことはできなかった。
In this state, compared with the bottom dimension (X) of the polysulfone film 3 before etching, Si after etching
Since the pattern dimension (Y) of the O 2 film 2 was reduced by 20 nm, it was not possible to perform etching with good dimension controllability that is faithful to the dimension of the resist pattern 4.

【0078】 また、SiO2膜2の加工形状もテーパ
がついており、垂直に良好な異方性でエッチングするこ
とができなかった。このエッチング条件で、単独膜のエ
ッチングレートを測定したところ、ポリスルホン膜3の
エッチングレートは102nm/minであり、レジス
トよりも耐ドライエッチング性がない。このため、Si
膜2のエッチング途中でレジストパターン4および
ポリスルホン膜パターン3が後退し、SiO膜2のパ
ターン寸法に細りが生じ、かつエッチング形状がテーパ
状となったものと思われる。 (実施例2)(参考例) 合成例2で合成したポリシラン(PS−2)1g、下記
化学式で表される架橋剤K2)0.3g、ラジカル発生
剤として25%BTTBのトルエン溶液0.8g、酸発
生剤NAI−106を0.005gアニソール9gに
溶解させ、パターントランスファ組成物を調製した。
Further, the processed shape of the SiO 2 film 2 was also tapered, and it was not possible to etch vertically with good anisotropy. When the etching rate of the single film was measured under these etching conditions, the etching rate of the polysulfone film 3 was 102 nm / min, which was less dry etching resistant than the resist. Therefore, Si
It is considered that the resist pattern 4 and the polysulfone film pattern 3 receded during the etching of the O 2 film 2, the pattern dimension of the SiO 2 film 2 was thinned, and the etching shape was tapered. (Example 2) (Reference example) 1 g of polysilane (PS-2) synthesized in Synthesis example 2, 0.3 g of a crosslinking agent K2 represented by the following chemical formula, 0.8 g of a toluene solution of 25% BTTB as a radical generator. the acid generator NAI-106 0.005 g, was dissolved in anisole 9 g, to prepare a pattern transfer composition.

【化学式18】 シリコンウエハー上に膜厚500nmのSiO膜を形
成し、このSiO膜上に前述のパターントランスファ
溶液を塗布し、ベークして膜厚250nmのパターント
ランスファ膜を形成した。さらに窒素雰囲気下、200
℃で3分間加熱することにより、パターントランスファ
膜を架橋させて不溶化した。
[Chemical formula 18] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, and the above-mentioned pattern transfer solution was applied onto the SiO 2 film and baked to form a pattern transfer film having a film thickness of 250 nm. Further under nitrogen atmosphere, 200
The pattern transfer film was crosslinked and insolubilized by heating at 0 ° C. for 3 minutes.

【0079】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0080】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をClガス
にてエッチングして垂直な側壁をもつパターントランス
ファ膜のパターンが形成された。この上部にはレジスト
が残っており、十分なエッチング速度比を有することが
わかった。
Further, as in Example 1, the pattern transfer film was etched with Cl 2 gas using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0081】 次に実施例1と同様に、パターントラン
スファ膜をマスクとしてSiO膜をエッチングした。
パターントランスファ膜のエッチング耐性は十分であ
り、垂直な側壁をもつSiOパターンが得られた。ま
た残ったパターントランスファ膜は有機アルカリ水溶液
または希フッ酸水溶液で容易に剥離することができた。 (実施例3)(参考例) 合成例1で合成したポリシラン(PS−1)1g、下記
化学式で表される架橋剤(K3)1.0g、ラジカル発
生剤として25%BTTBのトルエン溶液0.8g、酸
発生剤NAI−106を0.005gをアニソール9g
に溶解させ、パターントランスファ組成物を調製した。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask.
The pattern transfer film had a sufficient etching resistance, and a SiO 2 pattern having vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 3) (Reference Example) 1 g of polysilane (PS-1) synthesized in Synthesis Example 1, 1.0 g of a crosslinking agent (K3) represented by the following chemical formula, and a toluene solution of 25% BTTB as a radical generator. 8g, acid generator NAI-106 0.005g, anisole 9g
To prepare a pattern transfer composition.

【化学式19】 シリコンウエハー上に膜厚500nmのSiO膜を形
成し、このSiO膜上に前述のパターントランスファ
溶液を塗布し、ベークして膜厚250nmのパターント
ランスファ膜を形成した。さらに窒素雰囲気下、240
℃で1分間加熱することにより、パターントランスファ
膜を架橋させて不溶化した。
[Chemical formula 19] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, and the above-mentioned pattern transfer solution was applied onto the SiO 2 film and baked to form a pattern transfer film having a film thickness of 250 nm. Further under a nitrogen atmosphere, 240
The pattern transfer film was crosslinked and insolubilized by heating at 0 ° C. for 1 minute.

【0082】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, as in Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0083】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をClでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with Cl 2 using the resist pattern as a mask to form a pattern transfer film pattern having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0084】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例4)合成例1で合成したポリシラン(PS−
1)1g、架橋剤として合成例3で合成したポリシラン
(PS−3)1.0g、ラジカル発生剤として25%B
TTBのトルエン溶液0.8g、酸発生剤NAI−10
6を0.005gをアニソール9gに溶解させ、パター
ントランスファ組成物を調製した。
Then, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 4) The polysilane synthesized in Synthesis Example 1 (PS-
1) 1 g, 1.0 g of polysilane (PS-3) synthesized in Synthesis Example 3 as a crosslinking agent, 25% B as a radical generator
0.8g of toluene solution of TTB, acid generator NAI-10
0.005 g of 6 was dissolved in 9 g of anisole to prepare a pattern transfer composition.

【0085】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、240℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A SiO 2 film having a film thickness of 500 nm is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied onto the SiO 2 film and baked to form a film having a film thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 240 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and insolubilized.

【0086】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0087】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0088】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例5)合成例3で合成したポリシラン(PS−
3)を1.0gと25%BTTBのトルエン溶液0.8
g、NAI−106を0.005gをトルエン9.0g
に溶解させ、パターントランスファ組成物を調製した。
Then, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 5) Polysilane synthesized in Synthesis Example 3 (PS-
3) 1.0 g and 25% BTTB in toluene solution 0.8
g, NAI-106 0.005 g, toluene 9.0 g
To prepare a pattern transfer composition.

【0089】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、240℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A SiO 2 film having a film thickness of 500 nm is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied on the SiO 2 film and baked to form a film having a film thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 240 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and insolubilized.

【0090】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0091】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をClでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with Cl 2 using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0092】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例6)合成例4で合成したポリシラン(PS−
4)1.0g、NAI−106を0.005gをアニソ
ール9.0gに溶解させてパターントランスファ組成物
を調製した。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 6) The polysilane synthesized in Synthesis Example 4 (PS-
4) 1.0 g and 0.005 g of NAI-106 were dissolved in 9.0 g of anisole to prepare a pattern transfer composition.

【0093】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、160℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A 500 nm-thickness SiO 2 film is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied onto this SiO 2 film and baked to form a film having a thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 160 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and made insoluble.

【0094】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0095】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0096】 次に実施例1と同様に、パターントラン
スファ膜をマスクとしてSiO膜をエッチングした。
パターントランスファ膜のエッチング耐性は十分であ
り、垂直な側壁をもつSiOパターンが得られた。ま
た残ったパターントランスファ膜は有機アルカリ水溶液
または希フッ酸水溶液で容易に剥離することができた。 (実施例7) 合成例5で合成したポリシラン(PS−5)1.0gと
下記化学式で表される架橋剤(K4)1.0g、ラジカ
ル発生剤として25%BTTBのトルエン溶液0.8
g、酸発生剤NAI−106を0.03gをクメン9.
0gに溶解させ、パターントランスファ組成物を調製し
た。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask.
The pattern transfer film had a sufficient etching resistance, and a SiO 2 pattern having vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 7) 1.0 g of polysilane (PS-5) synthesized in Synthesis Example 5 and 1.0 g of a crosslinking agent (K4) represented by the following chemical formula, and a toluene solution of 25% BTTB as a radical generator 0.8
g, 0.03 g of the acid generator NAI-106, cumene 9.
It was dissolved in 0 g to prepare a pattern transfer composition.

【化学式20】 シリコンウエハー上に膜厚500nmのSiO膜を形
成し、このSiO膜上に前述のパターントランスファ
溶液を塗布し、ベークして膜厚250nmのパターント
ランスファ膜を形成した。さらに窒素雰囲気下、240
℃で1分間加熱することにより、パターントランスファ
膜を架橋させて不溶化した。
[Chemical formula 20] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, and the above-mentioned pattern transfer solution was applied onto the SiO 2 film and baked to form a pattern transfer film having a film thickness of 250 nm. Further under a nitrogen atmosphere, 240
The pattern transfer film was crosslinked and insolubilized by heating at 0 ° C. for 1 minute.

【0097】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0098】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をClでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with Cl 2 using the resist pattern as a mask to form a pattern transfer film pattern having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0099】 次に実施例1と同様に、パターントラン
スファ膜をマスクとしてSiO膜をエッチングした。
パターントランスファ膜のエッチング耐性は十分であ
り、垂直な側壁をもつSiOパターンが得られた。ま
た残ったパターントランスファ膜は有機アルカリ水溶液
または希フッ酸水溶液で容易に剥離することができた。 (実施例8) 下記の化学式のポリシラン1.0gと合成例3で合成し
たポリシラン(PS−3)1.0g、ラジカル発生剤と
して25%BTTBのトルエン溶液0.8g、酸発生剤
NAI−106を0.05gをクメン9.0gに溶解さ
せ、パターントランスファ組成物を調製した。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask.
The pattern transfer film had a sufficient etching resistance, and a SiO 2 pattern having vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. Example 8 1.0 g of polysilane having the following chemical formula and 1.0 g of polysilane (PS-3) synthesized in Synthesis Example 3, 0.8 g of 25% BTTB toluene solution as a radical generator, and NAI-106 acid generator. Was dissolved in 9.0 g of cumene to prepare a pattern transfer composition.

【化学式21】 シリコンウエハー上に膜厚500nmのSiO膜を形
成し、このSiO膜上に前述のパターントランスファ
溶液を塗布し、ベークして膜厚250nmのパターント
ランスファ膜を形成した。さらに窒素雰囲気下、240
℃で1分間加熱することにより、パターントランスファ
膜を架橋させて不溶化した。
[Chemical formula 21] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, and the above-mentioned pattern transfer solution was applied onto the SiO 2 film and baked to form a pattern transfer film having a film thickness of 250 nm. Further under a nitrogen atmosphere, 240
The pattern transfer film was crosslinked and insolubilized by heating at 0 ° C. for 1 minute.

【0100】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0101】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0102】 次に実施例1と同様に、パターントラン
スファ膜をマスクとしてSiO膜をエッチングした。
パターントランスファ膜のエッチング耐性は十分であ
り、垂直な側壁をもつSiOパターンが得られた。ま
た残ったパターントランスファ膜は有機アルカリ水溶液
または希フッ酸水溶液で容易に剥離することができた。 (実施例9) 合成例3で合成したポリシラン(PS−3)1.0g、
下記化学式で表される架橋剤(K5)0.3g、ラジカ
ル発生剤として25%BTTBのトルエン溶液0.8
g、酸発生剤NAI−106を0.03gをアニソール
9.0gに溶解させ、パターントランスファ組成物を調
製した。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask.
The pattern transfer film had a sufficient etching resistance, and a SiO 2 pattern having vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 9) 1.0 g of polysilane (PS-3) synthesized in Synthesis Example 3,
0.3 g of a crosslinking agent (K5) represented by the following chemical formula, and a toluene solution of 25% BTTB as a radical generator 0.8
and 0.03 g of the acid generator NAI-106 were dissolved in 9.0 g of anisole to prepare a pattern transfer composition.

【化学式22】 シリコンウエハー上に膜厚500nmのSiO膜を形
成し、このSiO膜上に前述のパターントランスファ
溶液を塗布し、ベークして膜厚250nmのパターント
ランスファ膜を形成した。さらに窒素雰囲気下、240
℃で1分間加熱することにより、パターントランスファ
膜を架橋させて不溶化した。
[Chemical formula 22] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, and the above-mentioned pattern transfer solution was applied onto the SiO 2 film and baked to form a pattern transfer film having a film thickness of 250 nm. Further under a nitrogen atmosphere, 240
The pattern transfer film was crosslinked and insolubilized by heating at 0 ° C. for 1 minute.

【0103】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0104】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0105】 次に実施例1と同様に、パターントラン
スファ膜をマスクとしてSiO膜をエッチングした。
パターントランスファ膜のエッチング耐性は十分であ
り、垂直な側壁をもつSiOパターンが得られた。ま
た残ったパターントランスファ膜は有機アルカリ水溶液
または希フッ酸水溶液で容易に剥離することができた。 (実施例10) 合成例3で合成したポリシラン(PS−3)1.0g、
下記化学式で表される架橋剤(K6)0.3g、ラジカ
ル発生剤として25%BTTBのトルエン溶液0.8
g、酸発生剤NAI−106を0.03gをアニソール
9.0gに溶解させ、パターントランスファ組成物を調
製した。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask.
The pattern transfer film had a sufficient etching resistance, and a SiO 2 pattern having vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 10) 1.0 g of polysilane (PS-3) synthesized in Synthesis Example 3,
0.3 g of a cross-linking agent (K6) represented by the following chemical formula, 0.8% toluene solution of 25% BTTB as a radical generator
and 0.03 g of the acid generator NAI-106 were dissolved in 9.0 g of anisole to prepare a pattern transfer composition.

【化学式23】 シリコンウエハー上に膜厚500nmのSiO膜を形
成し、このSiO膜上に前述のパターントランスファ
溶液を塗布し、ベークして膜厚250nmのパターント
ランスファ膜を形成した。さらに窒素雰囲気下、240
℃で1分間加熱することにより、パターントランスファ
膜を架橋させて不溶化した。
[Chemical formula 23] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, and the above-mentioned pattern transfer solution was applied onto the SiO 2 film and baked to form a pattern transfer film having a film thickness of 250 nm. Further under a nitrogen atmosphere, 240
The pattern transfer film was crosslinked and insolubilized by heating at 0 ° C. for 1 minute.

【0106】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, as in Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0107】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0108】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例11)合成例3と同様の方法でブチルブロマイ
ドのかわりにベンジルブロマイドを用いて合成したポリ
マー(PS−31)1.0g、酸発生剤NAI−106
を0.005gをクメンに溶解させパターントランスフ
ァ組成物を調製した。
Then, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 11) 1.0 g of a polymer (PS-31) synthesized using benzyl bromide instead of butyl bromide in the same manner as in Synthesis Example 3, and an acid generator NAI-106.
Was dissolved in cumene to prepare a pattern transfer composition.

【0109】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、240℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A SiO 2 film having a film thickness of 500 nm is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied onto the SiO 2 film and baked to form a film having a film thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 240 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and insolubilized.

【0110】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0111】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0112】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例12)合成例4と同様の方法でアリルブロマイ
ド(臭化アリル)のかわりにクロロメチルスチレンを用
いて合成したポリマー(PS−41)1.0g、ラジカ
ル発生剤として25%BTTBのトルエン溶液0.8
g、酸発生剤NAI−106を0.01gをトルエンに
溶解させ、パターントランスファ組成物を調製した。
Then, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 12) 1.0 g of a polymer (PS-41) synthesized using chloromethylstyrene instead of allyl bromide (allyl bromide) by the same method as in Synthesis Example 4, and 25% BTTB toluene as a radical generator. Solution 0.8
and 0.01 g of the acid generator NAI-106 were dissolved in toluene to prepare a pattern transfer composition.

【0113】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、240℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A SiO 2 film having a film thickness of 500 nm is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied on the SiO 2 film and baked to give a film thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 240 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and insolubilized.

【0114】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0115】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0116】 次に実施例1と同様に、パターントラン
スファ膜をマスクとしてSiO膜をエッチングした。
パターントランスファ膜のエッチング耐性は十分であ
り、垂直な側壁をもつSiOパターンが得られた。ま
た残ったパターントランスファ膜は有機アルカリ水溶液
または希フッ酸水溶液で容易に剥離することができた。 (合成例6) 滴下漏斗、還流冷却管を付けた4つロフラスコに、アル
ゴンガス雰囲気下、無水ジメトキシエタン100mLと
金属マグネシウム48.62g(2.00mol)を加
え、よう素を少量加えた。次に、滴下漏斗から無水ジメ
トキシエタン30mLに溶かしたテトラクロロシラン8
4.96g(0.500mol)を少量加えて反応開始
を確認後、さらにフラスコに無水ジメトキシエタン37
0mLを加えたのち徐々に滴下(約60分間)し、さら
に室温で20時間反応させた後、溶媒還流温度で2時間
反応させた。次に、この反応系中に無水ジメトキシエタ
ン20mLにとかした臭化ブチル137.02g(1.
00mol)を約80分間かけて滴下し、溶媒還流温度
で2時間さらに反応させた。室温まで冷却しのち、トル
エン500m1を加え、沈殿物を窒素下で加圧濾過し、
ろ液を希塩酸で処理し、さらに、イオン交換水で洗浄
し、無水硫酸マグネシュウムで乾燥した。乾燥剤を除い
た後、溶媒を減圧下で溶媒を除き、トルエン50mLを
加え溶かした後、エタノール500m1中に滴下し、沈
殿物を濾過し真空乾燥し、赤橙色固体のポリシラン(P
S−6)l0.5gを得た。1H−NMR(CDC1
3)により、ブチル基およびSi−H基が導入されてい
るのを確認した。GPC分析より、重量平均分子量48
00であった。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask.
The pattern transfer film had a sufficient etching resistance, and a SiO 2 pattern having vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Synthesis Example 6) 100 mL of anhydrous dimethoxyethane and 48.62 g (2.00 mol) of metallic magnesium were added to a four flask equipped with a dropping funnel and a reflux condenser under an argon gas atmosphere, and iodine was added in a small amount. Next, from the dropping funnel, tetrachlorosilane 8 dissolved in 30 mL of anhydrous dimethoxyethane was added.
A small amount of 4.96 g (0.500 mol) was added to confirm the start of the reaction, and then anhydrous dimethoxyethane 37 was added to the flask.
After adding 0 mL, the mixture was gradually added dropwise (about 60 minutes), further reacted at room temperature for 20 hours, and then reacted at a solvent reflux temperature for 2 hours. Next, 137.02 g of butyl bromide dissolved in 20 mL of anhydrous dimethoxyethane (1.
(00 mol) was added dropwise over about 80 minutes, and further reacted at the solvent reflux temperature for 2 hours. After cooling to room temperature, 500 ml of toluene are added, the precipitate is pressure filtered under nitrogen,
The filtrate was treated with dilute hydrochloric acid, further washed with ion-exchanged water, and dried with anhydrous magnesium sulfate. After removing the desiccant, the solvent was removed under reduced pressure, 50 mL of toluene was added to dissolve it, and the mixture was added dropwise to 500 ml of ethanol, and the precipitate was filtered and vacuum dried to obtain a red-orange solid polysilane (P
0.5 g of S-6) was obtained. 1H-NMR (CDC1
From 3), it was confirmed that a butyl group and a Si—H group were introduced. From GPC analysis, weight average molecular weight of 48
It was 00.

【化学式24】 (合成例7) 滴下漏斗、還流冷却管を付けた4つロフラスコに、アル
ゴンガス雰囲気下、無水ジメトキシエタンl00mLと
金属マグネシウム48.62g(2.00mo1)を加
え、よう素を少量加えた。次に、滴下漏斗から無水ジメ
トキシエタン30mLに溶かしたテトラクロロシラン8
4.96g(0.500mol)を少量加えて反応開始
を確認後、さらにフラスコに無水ジメトキシエタン37
0mLを加えたのち徐々に滴下(約60分間)し、さら
に室温で20時間反応させた後、溶媒還流温度で2時間
反応させた。次に、この反応系中に無水ジメトキシエタ
ン20mLにとかした臭化アリル137.02g(1.
00mol)を約80分間かけて滴下し、溶媒還流温度
で20時間さらに反応させた。室温まで冷却しのち、ト
ルエン500mlを加え、沈殿物を窒素下で加圧濾過
し、ろ液を希塩酸で処理し、さらに、イオン交換水で洗
浄し、無水硫酸マグネシュウムで乾燥した。乾燥剤を除
いた後、溶媒を減圧下で溶媒を除き、トルエン50mL
を加え溶かした後、エタノール500m1中に滴下し、
沈殿物を濾過し真空乾燥し、赤橙色固体のポリシラン
(PS−7)l0.5gを得た。1H−NMR(CDC
13)により、アリル基およびSi−H基が導入されて
いるのを確認した。GPC分析より、重量平均分子量は
3800であった。
[Chemical formula 24] (Synthesis Example 7) 100 mL of anhydrous dimethoxyethane and 48.62 g (2.00 mol) of magnesium metal were added to a four flask equipped with a dropping funnel and a reflux condenser under an argon gas atmosphere, and iodine was added in a small amount. Next, from the dropping funnel, tetrachlorosilane 8 dissolved in 30 mL of anhydrous dimethoxyethane was added.
A small amount of 4.96 g (0.500 mol) was added to confirm the start of the reaction, and then anhydrous dimethoxyethane 37 was added to the flask.
After adding 0 mL, the mixture was gradually added dropwise (about 60 minutes), further reacted at room temperature for 20 hours, and then reacted at a solvent reflux temperature for 2 hours. Next, 137.02 g of allyl bromide dissolved in 20 mL of anhydrous dimethoxyethane (1.
(00 mol) was added dropwise over about 80 minutes, and further reacted at the solvent reflux temperature for 20 hours. After cooling to room temperature, 500 ml of toluene was added, the precipitate was filtered under pressure under nitrogen, the filtrate was treated with dilute hydrochloric acid, further washed with ion-exchanged water, and dried with anhydrous magnesium sulfate. After removing the desiccant, remove the solvent under reduced pressure, and add 50 mL of toluene.
Was added and dissolved, and then dropped into 500 ml of ethanol,
The precipitate was filtered and dried under vacuum to obtain 0.5 g of polysilane (PS-7) as a reddish orange solid. 1H-NMR (CDC
From 13), it was confirmed that an allyl group and a Si—H group were introduced. From GPC analysis, the weight average molecular weight was 3,800.

【化学式25】 (合成例8) 滴下漏斗、還流冷却管を付けた4つロフラスコに、アル
ゴンガス雰囲気下、無水ジメトキシエタンl00mLと
金属マゲネシウム24.32g(1.00mo1)を加
え、よう素を少量加えた。次に、滴下漏斗から無水ジメ
トキシエタン30mLに溶かしたテトラクロロシラン8
4.96g(0.500mol)を少量加えて反応開始
を確認後、さらにフラスコに無水ジメトキシエタン37
0mLを加えたのち徐々に滴下(約60分間)し、さら
に室温で20時間反応させた後、溶媒還流温度で2時間
反応させた。次に、別途合成したフェニルマグネシウム
ブロマイドのジメトキシエタン溶液(約2M溶液500
m1)をこの反応系中を約2時間かけて滴下し、溶媒還
流温度で2時間さらに反応させた。室温まで冷却したの
ち、トルエンl000mlを加え、沈殿物を窒素下で加
圧濾過し、ろ液を希塩酸で処理し、さらに、イオン交換
水で洗浄し、無水硫酸マグネシュウムで乾燥した。乾燥
剤を除いた後、溶媒を減圧下で溶媒を除き、トルエン5
0mLを加え溶かした後、エタノール500m1中に滴
下し、沈殿物を濾過し真空乾燥し、赤燈色固体のポリシ
ラン(PS−8)8.5gを得た。1H−NMR(CD
CI3)により、フェニル基およびSi−H基が導入さ
れているのを確認した。GPC分析より、重量平均分子
13800であった。
[Chemical formula 25] (Synthesis Example 8) 100 mL of anhydrous dimethoxyethane and 24.32 g (1.00 mol) of metal magnesium were added to a four flask equipped with a dropping funnel and a reflux condenser under an argon gas atmosphere, and a small amount of iodine was added. Next, from the dropping funnel, tetrachlorosilane 8 dissolved in 30 mL of anhydrous dimethoxyethane was added.
A small amount of 4.96 g (0.500 mol) was added to confirm the start of the reaction, and then anhydrous dimethoxyethane 37 was added to the flask.
After adding 0 mL, the mixture was gradually added dropwise (about 60 minutes), further reacted at room temperature for 20 hours, and then reacted at a solvent reflux temperature for 2 hours. Next, a separately synthesized dimethoxyethane solution of phenylmagnesium bromide (about 2M solution 500
m1) was added dropwise to this reaction system over about 2 hours and further reacted at the solvent reflux temperature for 2 hours. After cooling to room temperature, 1000 ml of toluene was added, the precipitate was pressure-filtered under nitrogen, the filtrate was treated with dilute hydrochloric acid, further washed with ion-exchanged water, and dried with anhydrous magnesium sulfate. After removing the desiccant, the solvent was removed under reduced pressure to remove toluene 5
After adding 0 mL and dissolving, it was dripped in 500 ml of ethanol, the precipitate was filtered, and vacuum dried to obtain 8.5 g of red-orange solid polysilane (PS-8). 1H-NMR (CD
It was confirmed by CI3) that the phenyl group and the Si-H group were introduced. From GPC analysis, the weight average molecule was 13,800.

【化学式26】 (実施例13) 合成例6で合成したポリシラン(PS−6)1.0g、
下記化学式に示す架橋剤(K7)を0.5g、酸発生剤
NAl−106(みどり化学社製)0.018g、酸化
防止剤2,6−ジ(t−ブチル)−4一メチルフェノー
ル0.005g、ラジカル発生剤BTTB(日本油脂社
製)0.20gをクメン10gに溶かし、溶液を調製し
た。
[Chemical formula 26] (Example 13) 1.0 g of polysilane (PS-6) synthesized in Synthesis Example 6,
0.5 g of a crosslinking agent (K7) represented by the following chemical formula, 0.018 g of an acid generator NAl-106 (manufactured by Midori Kagaku Co., Ltd.), and an antioxidant 2,6-di (t-butyl) -4 -methylphenol. A solution was prepared by dissolving 005 g and 0.20 g of a radical generator BTTB (manufactured by NOF CORPORATION) in 10 g of cumene.

【化学式27】 シリコンウエハー上に膜厚500nmのSiO膜を形
成し、このSiO膜上に前述のパターントランスファ
溶液を塗布し、ベークして膜厚250nmのパターント
ランスファ膜を形成した。さらに窒素雰囲気下、240
℃で1分間加熱することにより、パターントランスファ
膜を架橋させて不溶化した。
[Chemical formula 27] A SiO 2 film having a film thickness of 500 nm was formed on a silicon wafer, and the above-mentioned pattern transfer solution was applied onto the SiO 2 film and baked to form a pattern transfer film having a film thickness of 250 nm. Further under a nitrogen atmosphere, 240
The pattern transfer film was crosslinked and insolubilized by heating at 0 ° C. for 1 minute.

【0117】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0118】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0119】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例14)合成例6で合成したポリシラン(PS−
6)1.0g、合成例7で合成したポリシラン(PS−
7)1.0g、酸発生剤NA1−106(みどり化学社
製)0.018g、酸化防止剤2,6−(t−ブチル)
−4−メチルフェノール0.005g、ラジカル発生剤
BTTB(日本油脂社製)0.20gをクメン10gに
溶かし、パターントランスファー組成物溶液を調製し
た。
Then, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 14) The polysilane synthesized in Synthesis Example 6 (PS-
6) 1.0 g, polysilane (PS- synthesized in Synthesis Example 7)
7) 1.0 g, acid generator NA1-106 (made by Midori Kagaku) 0.018 g, antioxidant 2,6- (t-butyl)
A pattern transfer composition solution was prepared by dissolving 0.005 g of -4-methylphenol and 0.20 g of a radical generator BTTB (manufactured by NOF CORPORATION) in 10 g of cumene.

【0120】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、240℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A SiO 2 film having a film thickness of 500 nm is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied onto the SiO 2 film and baked to form a film having a film thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 240 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and insolubilized.

【0121】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0122】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0123】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例15)合成例8で合成したポリシラン(PS−
8)1.0g、合成例7で合成したポリシラン(PS−
7)1.0g、酸発生剤NA1−106(みどり化学社
製)0.018g、酸化防止剤2,6−ジ(t−ブチ
ル)−4−メチルフェノール0.005g,ラジカル発
生剤BTTB(日本油脂社製)0.20gをクメン10
gに溶かし、パターントランスファー組成物溶液を調製
した。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 15) The polysilane synthesized in Synthesis Example 8 (PS-
8) 1.0 g, polysilane (PS- synthesized in Synthesis Example 7)
7) 1.0 g, acid generator NA1-106 (manufactured by Midori Kagaku) 0.018 g, antioxidant 2,6-di (t-butyl) -4-methylphenol 0.005 g, radical generator BTTB (Japan (Fat and oil company) 0.20 g of cumene 10
g to prepare a pattern transfer composition solution.

【0124】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、240℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A SiO 2 film having a film thickness of 500 nm is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied onto the SiO 2 film and baked to form a film having a film thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 240 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and insolubilized.

【0125】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, similarly to Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0126】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern of the pattern transfer film having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0127】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。 (実施例16)合成例7で合成したポリシラン1.0g
(PS−7)、酸発生剤NAl−106(みどり化学社
製)0.018g、酸化防止剤2,6−ジ(t−ブチ
ル)−4−メチルフェノール0.005g,ラジカル発
生剤BTTB(日本油脂社製)0.20gをクメン10
gに溶かし、パターントランスファー組成物溶液を調製
した。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution. (Example 16) 1.0 g of polysilane synthesized in Synthesis Example 7
(PS-7), acid generator NAl-106 (manufactured by Midori Kagaku) 0.018 g, antioxidant 2,6-di (t-butyl) -4-methylphenol 0.005 g, radical generator BTTB (Japan (Fat and oil company) 0.20 g of cumene 10
g to prepare a pattern transfer composition solution.

【0128】 シリコンウエハー上に膜厚500nmの
SiO膜を形成し、このSiO膜上に前述のパター
ントランスファ溶液を塗布し、ベークして膜厚250n
mのパターントランスファ膜を形成した。さらに窒素雰
囲気下、240℃で1分間加熱することにより、パター
ントランスファ膜を架橋させて不溶化した。
A SiO 2 film having a film thickness of 500 nm is formed on a silicon wafer, and the above-mentioned pattern transfer solution is applied on the SiO 2 film and baked to form a film having a film thickness of 250 n.
m pattern transfer film was formed. Further, by heating at 240 ° C. for 1 minute in a nitrogen atmosphere, the pattern transfer film was crosslinked and insolubilized.

【0129】次いで実施例1と同様に、パターントラン
スファ膜上にレジストパターンを形成した。得られたレ
ジストパターンの断面をSEM観察したところ、レジス
トパターンの側壁に定在波による波打ち形状は認められ
なかった。
Then, as in Example 1, a resist pattern was formed on the pattern transfer film. As a result of SEM observation of the cross section of the obtained resist pattern, no wavy shape due to standing waves was observed on the sidewall of the resist pattern.

【0130】さらに実施例1と同様に、レジストパター
ンをマスクとしてパターントランスファ膜をHBrでエ
ッチングして垂直な側壁をもつパターントランスファ膜
のパターンが形成された。この上部にはレジストが残っ
ており、十分なエッチング速度比を有することがわかっ
た。
Further, as in Example 1, the pattern transfer film was etched with HBr using the resist pattern as a mask to form a pattern transfer film pattern having vertical sidewalls. It was found that the resist remained on the upper portion and had a sufficient etching rate ratio.

【0131】次に実施例1と同様に、パターントランス
ファ膜をマスクとしてSiO膜をエッチングした。パ
ターントランスファ膜のエッチング耐性は十分であり、
垂直な側壁をもつSiOパターンが得られた。また残
ったパターントランスファ膜は有機アルカリ水溶液また
は希フッ酸水溶液で容易に剥離することができた。
Next, as in Example 1, the SiO 2 film was etched using the pattern transfer film as a mask. The pattern transfer film has sufficient etching resistance,
A SiO 2 pattern with vertical sidewalls was obtained. The remaining pattern transfer film could be easily peeled off with an organic alkali aqueous solution or a dilute hydrofluoric acid aqueous solution.

【0132】[0132]

【発明の効果】本発明によれば、塗布法により成膜で
き、露光時に反射光を抑制することができ、レジストに
対するエッチング選択比が大きく、しかも酸化シリコン
膜や窒化シリコン膜などの絶縁膜をはじめとする被加工
膜の加工の際のドライエッチング耐性にも優れたパター
ントランスファ膜を形成できるパターントランスファ組
成物を提供することができる。それにより寸法制御性良
く絶縁膜の加工を行うことが可能である。本発明は光リ
ソグラフィーにおいて露光量マージンフォーカスマージ
ンまたは解像度を向上させるためにレジストの膜厚を薄
くしたときに特に顕著な効果が発揮され、その工業的価
値は大きい。
According to the present invention, a film can be formed by a coating method, reflected light can be suppressed during exposure, an etching selection ratio with respect to a resist is large, and an insulating film such as a silicon oxide film or a silicon nitride film can be formed. It is possible to provide a pattern transfer composition capable of forming a pattern transfer film which is excellent in dry etching resistance at the time of processing a film to be processed. Thereby, the insulating film can be processed with good dimensional controllability. The present invention exerts a particularly remarkable effect when the resist film thickness is reduced in order to improve the exposure amount margin focus margin or resolution in optical lithography, and its industrial value is great.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明のパターントランスファ膜を用いた被加
工膜の加工工程を示す概略図。
FIG. 1 is a schematic view showing a process of processing a film to be processed using a pattern transfer film of the present invention.

【図2】従来のパターントランスファ材料を用いた場合
のレジストパターンの形状
FIG. 2 is a shape of a resist pattern when a conventional pattern transfer material is used.

【図3】従来のパターントランスファ材料をエッチング
して得られるパターンの形状
FIG. 3 is a pattern shape obtained by etching a conventional pattern transfer material.

【図4】比較例4のパターントランスファ材料を用いた
場合のパターン形状。
FIG. 4 is a pattern shape when the pattern transfer material of Comparative Example 4 is used.

【符号の説明】[Explanation of symbols]

1…シリコン基板 2…酸化シリコン膜 3…パターントランスファ材料層 4…レジスト 11…シリコン基板 12…酸化シリコン膜 13…パターントランスファ材料層 14…レジスト 1 ... Silicon substrate 2 ... Silicon oxide film 3 ... Pattern transfer material layer 4 ... Resist 11 ... Silicon substrate 12 ... Silicon oxide film 13 ... Pattern transfer material layer 14 ... Resist

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI G03F 7/38 501 G03F 7/38 501 7/40 521 7/40 521 H01L 21/027 H01L 21/30 574 (72)発明者 太田 英男 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝 研究開発センター内 (56)参考文献 特開 平10−209134(JP,A) 特開 平11−174684(JP,A) 特開2000−100700(JP,A) 特開2000−31118(JP,A) (58)調査した分野(Int.Cl.7,DB名) G03F 7/00 - 7/42 C08L 83/16 H01L 21/027 ─────────────────────────────────────────────────── ─── Continuation of front page (51) Int.Cl. 7 Identification code FI G03F 7/38 501 G03F 7/38 501 7/40 521 7/40 521 H01L 21/027 H01L 21/30 574 (72) Inventor Hideo Ohta 1 Komukai Toshiba-cho, Kochi-ku, Kawasaki-shi, Kanagawa (56) References JP-A-10-209134 (JP, A) JP-A-11-174684 (JP, A) JP 2000-100700 (JP, A) JP 2000-31118 (JP, A) (58) Fields investigated (Int.Cl. 7 , DB name) G03F 7 /00-7/42 C08L 83/16 H01L 21/027

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】少なくとも下記(イ)、(ロ)及び(ハ)
成分を含有することを特徴とするパターントランスファ
組成物。 (イ)下記a)又はb)の少なくとも一方のポリシラン a) 下記一般式(1)で表される繰り返し単位と、下
記一般式(3)で示される結合単位とを含有する共重合
体を含むポリシラン 【化1】 (但しRは炭素数6以下の置換又は無置換の脂肪族炭化
水素基であり、R1、R2は炭素数20以下の置換又は
無置換の炭化水素基である。また、aは0.1以上0.
9以下であり、bは0以上0.7以下である。) 【化2】 b)下記一般式(2)で示される繰り返し単位と、下記
一般式(3)で示される結合単位と、炭素−炭素の多重
結合を分子中に2つ以上を含有する共重合体を含むポリ
シラン 【化3】 (但し、R3、R4、R5は同一であっても異なってい
てもよく、水素原子、または炭素数20以下の置換また
は無置換の炭化水素基である。 a′は0.1以上0.
8以下である。) 【化4】 (ロ)酸発生剤 (ハ)有機溶媒
1. At least the following (a), (b) and (c):
A pattern transfer composition comprising a component. (B) a repeating unit represented by the following a) or b) of at least one polysilane a) the following general formula (1), below
Polysilane containing a copolymer containing a bonding unit represented by the general formula (3) : (However, R is a substituted or unsubstituted aliphatic hydrocarbon group having 6 or less carbon atoms, R1 and R2 are substituted or unsubstituted hydrocarbon groups having 20 or less carbon atoms, and a is 0.1 or more. 0.
It is 9 or less, and b is 0 or more and 0.7 or less. ) [Chemical 2] copolycondensates containing two or more in a molecule multiple bonds carbon - and repeating units represented by b) the following general formula (2), a coupling unit represented by the following <br/> general formula (3), carbon Polysilanes containing coalescence (However, R3, R4, and R5 may be the same or different and each is a hydrogen atom or a substituted or unsubstituted hydrocarbon group having 20 or less carbon atoms. A'is 0.1 or more and 0.
It is 8 or less. ) [Chemical 4] (B) Acid generator (c) Organic solvent
【請求項2】前記一般式(1)で表される繰り返し単位
、一般式(3)で示される結合単位とを含有する共重
合体は、下記一般式(4)で表される繰り返し単位を
らに含むことを特徴とする請求項1記載のパターントラ
ンスファ組成物。 【化5】 (但しArは炭素数20以下の置換または無置換の芳香
族炭化水素基である。a″は0.1以上0.9以下であ
る。)
2. A copolymer containing a repeating unit represented by the general formula (1) and a bonding unit represented by the general formula (3) has a repeating unit represented by the following general formula (4). pattern transfer composition according to claim 1, characterized in that it comprises in a the <br/> et al. [Chemical 5] (However, Ar is a substituted or unsubstituted aromatic hydrocarbon group having 20 or less carbon atoms. A ″ is 0.1 or more and 0.9 or less.)
【請求項3】請求項1、請求項2のいずれかに記載され
たパターントランスファ組成物を被加工膜上に塗布する
工程と、被加工膜を酸素濃度が0.1%以下の雰囲気で
加熱して被加工膜上にパターントランスファ膜を形成す
る工程と、パターントランスファ膜上にレジストパター
ンを形成する工程と、前記レジストパターンをエッチン
グマスクとしてパターントランスファ膜をエッチングす
る工程と、エッチングされたパターントランスファ膜を
エッチングマスクとして被加工膜をエッチングする工程
とを具備することを特徴とするパターントランスファ方
法。
3. A step of applying the pattern transfer composition according to claim 1 or 2 onto a film to be processed, and heating the film to be processed in an atmosphere having an oxygen concentration of 0.1% or less. Forming a pattern transfer film on the processed film, forming a resist pattern on the pattern transfer film, etching the pattern transfer film using the resist pattern as an etching mask, and etching the pattern transfer film. And a step of etching the film to be processed using the film as an etching mask.
JP37246598A 1998-12-28 1998-12-28 Pattern transfer composition and pattern transfer method Expired - Fee Related JP3486123B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP37246598A JP3486123B2 (en) 1998-12-28 1998-12-28 Pattern transfer composition and pattern transfer method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP37246598A JP3486123B2 (en) 1998-12-28 1998-12-28 Pattern transfer composition and pattern transfer method

Publications (2)

Publication Number Publication Date
JP2000194128A JP2000194128A (en) 2000-07-14
JP3486123B2 true JP3486123B2 (en) 2004-01-13

Family

ID=18500493

Family Applications (1)

Application Number Title Priority Date Filing Date
JP37246598A Expired - Fee Related JP3486123B2 (en) 1998-12-28 1998-12-28 Pattern transfer composition and pattern transfer method

Country Status (1)

Country Link
JP (1) JP3486123B2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709986B2 (en) * 2001-06-28 2004-03-23 Hynix Semiconductor Inc. Method for manufacturing semiconductor memory device by using photoresist pattern exposed with ArF laser beam
JP2006201361A (en) * 2005-01-19 2006-08-03 Tokyo Ohka Kogyo Co Ltd Composition for forming intermediate layer including silylphenylene-based polymer, and pattern formation method using the same
JP5350602B2 (en) * 2007-04-19 2013-11-27 大阪瓦斯株式会社 Polysilane and method for producing the same
WO2009028511A1 (en) * 2007-08-27 2009-03-05 Nissan Chemical Industries, Ltd. Composition for resist lower layer film formation for lithography and process for producing semiconductor device
US8323866B2 (en) 2008-07-08 2012-12-04 Massachusetts Institute Of Technology Inorganic resist sensitizer
WO2010005428A1 (en) * 2008-07-08 2010-01-14 Massachusetts Institute Of Technology (Mit) Resist sensitizer
US8158338B2 (en) 2008-07-08 2012-04-17 Massachusetts Institute Of Technology Resist sensitizer
WO2016111210A1 (en) * 2015-01-09 2016-07-14 Jsr株式会社 Composition for forming silicon-containing film and pattern forming method using said composition
KR102308765B1 (en) * 2015-03-11 2021-10-05 닛산 가가쿠 가부시키가이샤 How to form a resist underlayer film

Also Published As

Publication number Publication date
JP2000194128A (en) 2000-07-14

Similar Documents

Publication Publication Date Title
JPH1160735A (en) Polysilane and formation of pattern
TWI642698B (en) Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
KR101902046B1 (en) Metal-oxide films from small molecules for lithographic applications
US7276327B2 (en) Silicon-containing compositions for spin-on arc/hardmask materials
JP5271274B2 (en) Hard mask composition for processing resist underlayer film, manufacturing method of semiconductor integrated circuit device using hard mask composition, and semiconductor integrated circuit device manufactured by the method
TWI449756B (en) Silsesquioxane resins
TWI515767B (en) A hardmask process for forming a reverse tone image using polysilazane
JP3971088B2 (en) Pattern formation method
US6576562B2 (en) Manufacturing method of semiconductor device using mask pattern having high etching resistance
JP3435318B2 (en) Pattern formation method
KR100754230B1 (en) Radiation sensitive copolymers, photoresist compositions thereof and deep UV bilayer systems thereof
KR101772950B1 (en) Methods of forming relief images
US6569595B1 (en) Method of forming a pattern
US20090278254A1 (en) Dielectric materials and methods for integrated circuit applications
TWI833908B (en) Spin-on compositions comprising an inorganic oxide component and an alkynyloxy substituted spin-on carbon component useful as hard masks and filling materials with improved shelf life
JP2005352110A (en) Sacrificial film forming composition, pattern forming method, sacrificial film and method for removing the same
JP3486123B2 (en) Pattern transfer composition and pattern transfer method
EP0447111B1 (en) Resist composition and pattern formation process
US6258732B1 (en) Method of forming a patterned organic dielectric layer on a substrate
JP2004266008A (en) Method for manufacturing semiconductor device
JP3998393B2 (en) Pattern formation method
JPH11186243A (en) Method of etching silicon oxide silicon layer
JP2002198283A (en) Resist pattern formation method
CN112005168A (en) Resist underlayer film forming composition, underlayer film for lithography, and pattern forming method
JP5661562B2 (en) Fine pattern mask, method of manufacturing the same, and method of forming fine pattern using the same

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081024

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081024

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091024

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees