JP3476390B2 - 半導体集積回路の遅延最適化設計方法 - Google Patents

半導体集積回路の遅延最適化設計方法

Info

Publication number
JP3476390B2
JP3476390B2 JP23094099A JP23094099A JP3476390B2 JP 3476390 B2 JP3476390 B2 JP 3476390B2 JP 23094099 A JP23094099 A JP 23094099A JP 23094099 A JP23094099 A JP 23094099A JP 3476390 B2 JP3476390 B2 JP 3476390B2
Authority
JP
Japan
Prior art keywords
delay optimization
block
delay
design method
timing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23094099A
Other languages
English (en)
Other versions
JP2001057387A (ja
Inventor
真彦 志原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP23094099A priority Critical patent/JP3476390B2/ja
Publication of JP2001057387A publication Critical patent/JP2001057387A/ja
Application granted granted Critical
Publication of JP3476390B2 publication Critical patent/JP3476390B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路の
遅延最適化設計方法に関し、特に、大規模LSIの遅延
特性を最適化する半導体集積回路の遅延最適化設計方法
に関する。
【0002】
【従来の技術】従来、半導体集積回路の遅延最適化設計
方法は、例えば、チップレベルの大規模なLSIの遅延
特性を最適化する設計方法に適用される。半導体微細化
技術の進展により、配線遅延がLSIの性能を決定する
重要な要素となり、レイアウト後の遅延最適化(IP
O:In Place Optimizeとも呼ばれる)が必須となって
きている。しかし、近年のLSIの大規模化によって、
遅延最適化の処理対象となるゲート規模は増大してい
る。これによって、遅延最適化の処理を行うワークステ
ーションは、使用メインメモリ量の増大等でリソース限
界に近づき、適切な遅延最適化が行えないこともある。
このような場合、LSIを階層構造に基づくブロックに
分割することで、遅延最適化の処理規模を小さくするの
が一般的な回避策の一つである。なお、ここで述べられ
ている遅延最適化とは、レイアウト後の回路接続情報
と、遅延情報及び配線容量を入力として与えられたタイ
ミング制約とを満足するように、素子のリサイズやバッ
ファ挿入を行うことである。
【0003】図7および図8は従来例1を示しており、
図7は遅延最適化処理例を示したフローチャートであ
る。また、図8は、チップ上の2個のブロック間でのタ
イミング処理手順例を説明するための概念図である。
【0004】図8において、(a)のフリップフロップ
801からフリップフロップ804間のパスのタイミン
グ制約が満たされないとすると、例えば、(b)のよう
にバッファ802を高駆動能力なタイプへリサイズした
り、(c)のようにバッファ805を挿入することで遅
延を小さくして、タイミング制約を満たす最適化を行っ
ている。
【0005】従来、大規模なLSIの遅延最適化をブロ
ックレベルに分割して行う場合、チップレベルで用意さ
れているタイミング制約をブロックレベルに割り振る必
要がある。このタイミング制約の割り振りをタイミング
バジェッティングと呼び、論理合成システムや静的タイ
ミング解析システム等に搭載されるバジェッティング機
能を利用するか、または人手によって行われる。この従
来の手順例を、本発明の実施形態の説明で用いる図3を
流用して説明すると、フリップフロップ303からフリ
ップフロップ306のパスにおけるタイミング制約は、
ブロックの出力ピン307や入力ピン308に対して割
り振りされ、これを基にそれぞれのブロックに対して遅
延最適化を行うこととなる。
【0006】このタイミングバジェッティングを通常用
いられるようなバジェッティング機能で行った結果、必
ずしもブロックレベルの遅延最適化を行う上で適切な割
合にタイミング制約を割り振るとは限らない。よって、
タイミングバジェッティングの結果が適切でない場合、
ブロックレベルの遅延最適化の結果は、LSI全体のチ
ップレベルのタイミング制約を満たせないことがある。
また、タイミングバジェッティングを人手によって行う
場合、大規模なLSIだと大変な手間がかかり、しか
も、その作業者がエキスパートでなければタイミングの
割り振りを適切に行うことが難しい。このことから、品
質やTAT(turn-around-time/応答時間)の面で悪化
することがある。
【0007】図7において、ステップ703のブロック
レベルの遅延最適化処理は、ステップ210の回路接続
・遅延・タイミング制約情報をブロックレベルへ分割
(割り振り)する処理が適切でない場合には、タイミン
グ検証704の結果、タイミング制約を満足できず(7
05/NO)、回路設計701やレイアウト設計702
へ戻って設計を見直す必要があり、特に、TAT面への
影響が大きい。
【0008】このようなタイミング制約の割り振りを適
切に行えない理由として、例えば、図3に示すように、
ブロック301内のバッファ304が最も高駆動能力な
もので構成されていた場合には、このブロック301は
遅延最適化処理の余地がなく、タイミング制約を満たす
ことが難しい。よって、遅延最適化処理の余地があるブ
ロック302に対してタイミング制約を厳しく処理する
こととなる。
【0009】本発明と技術分野が類似する従来例2とし
て、特開平9−212533号公報の「論理回路の最適
化装置及びその方法」がある。本従来例2は、各部分パ
ルスの最適化可能性に基づいて遅延制約を各階層や配線
に分配する、遅延制約分配部を備えている。この遅延制
約分配部を用い、階層設計されたハードウェアを階層毎
に最適化する際に階層間にまたがったクリティカルパス
に対して、パスへの遅延制約を階層毎に適切に分配し、
効率よく遅延最適化を行っている。
【0010】従来例3の特開平11−8309号公報の
「論理回路設計制約生成装置」は、ブロック分割して論
理回路設計を行う場合に、レイアウト設計後の後戻りを
削減するブロック境界の設計制約条件を生成することを
目的としている。
【0011】従来例4の特開平11−15858号公報
の「論理回路の最適化装置及びその方法」は、遅延最適
化対象回路を選択し、遅延最適化を実行している。
【0012】従来例5の特開平11−120222号公
報の「階層回路最適化方式及びプログラムを記録した機
械読み取り可能な記録媒体」は、モジュール単位で最適
化を行う論理合成システムを用いて、階層化された複数
のモジュールから成る回路を遅延最適化する場合に、回
路の全体面積や実行時間の極端な増大が起きないよう考
慮して、回路全体に与えられた遅延改善目標値を各モジ
ュールに分配し、各モジュールの最適化を行っている。
【0013】従来例6の特開平11−502646号公
報の「ASIC設計における合成シェルの生成及び使
用」は、論理合成の実行に対する処理時間の短縮やメモ
リ量の縮小を狙ったものである。
【0014】
【発明が解決しようとする課題】しかしながら、従来例
1は、タイミングバジェッティング機能の性能が遅延最
適化の結果を左右するため、タイミング制約を満たすた
めにタイミング制約の割り振りを人手によって見直した
り、回路設計やレイアウト設計に戻る必要がある。ま
た、上記従来例の多くのタイミングバジェッティング機
能は、論理段数の比によってタイミング制約を割り振り
している。そのため、下記の問題を伴う。
【0015】第1に、遅延最適化の余地があるブロック
に対して、タイミング制約をより厳しく管理する考慮が
なされていない。
【0016】第2に、人手によるタイミングバジェッテ
ィングは、大規模なLSIの場合、ブロック数やブロッ
クの入出力ピン数が多く、非常に多くの手間がかかる。
【0017】第3に、LSI全体の仕様を理解したエキ
スパートでなければ適切にタイミング制約を割り振るの
は現実的に難しい。
【0018】従来例2に対する本願発明は、パスへの遅
延制約の分配の結果を基に、「階層分割された接続関係
のあるブロック同士で遅延最適化の状況を分析」しつつ
最適な遅延制約の再配分を行い、全体的に適切な遅延最
適化を行うことに特徴を有している。よって、大規模L
SIの設計において、より現実的、より効率的な遅延最
適化処理が可能となる。また、従来例3に対しても、従
来例2と同様の相違点を有している。
【0019】従来例4に対する本願発明は、従来例4の
ような選択を行っていない。本願発明では、直列または
並列に接続関係のある対象モジュール(ブロック)に対
して遅延最適化の処理が可能である。また、遅延制約の
再分配結果を基に遅延最適化を初期の回路状態から行う
ことも可能である。よって、回路のオーバーヘッドを最
小限に抑え、さらに、最適化処理が目的を満足しなかっ
た場合にフロアプランやレイアウト設計に戻り、そこで
リカバリーを可能としている(参照図5)。また、従来
例5に対しても、従来例4と同様の相違点を有してい
る。
【0020】従来例6に対する本願発明は、遅延最適化
の効果向上を目的としており、設計段階や実現手法が相
違している。
【0021】本発明は、上記の問題点を鑑み、タイミン
グ制約の割り振りが適切でなくともブロックレベルの遅
延最適化がチップレベルと同等の結果となる、半導体集
積回路の遅延最適化設計方法を提供することを目的とす
る。
【0022】
【課題を解決するための手段】かかる目的を達成するた
め、請求項1記載の発明によれば、半導体集積回路の遅
延最適化設計方法は、LSIを複数のブロックに階層分
割するに際して、各ブロックにタイミング制約を分配し
て遅延最適化を実行する半導体集積回路の遅延最適化設
計方法であって、LSIを複数のブロックに階層分割す
る際に、タイミング制約を分配して各ブロックの遅延最
適化処理を行うステップと、遅延最適化の状況を分析す
るステップと、分析に基づきタイミング制約を再分配す
ることにより遅延最適化を再実行するステップとを有す
ることを特徴とするものである。
【0023】 請求項2記載の発明によれば、半導体集
積回路の遅延最適化設計方法は、LSIを複数のブロッ
クに階層分割するに際して、前記各ブロックにタイミン
グ制約を分配して遅延最適化を実行する半導体集積回路
の遅延最適化設計方法であって、LSIを複数のブロッ
クに階層分割する際に、タイミング制約を分配して前記
各ブロックの遅延最適化処理を行うステップと、階層分
割された接続関係のあるブロック同士で前記遅延最適化
の状況を分析するステップと、分析されたデータに基づ
き前記ブロック間でタイミング制約を満たさないパスの
接続先ブロックを検索するステップと、ブロックに対し
て、満たさなかった分のタイミング制約の付け替えを行
うステップとを有することを特徴とするものである。
【0024】請求項記載の発明によれば、半導体集積
回路の遅延最適化設計方法、タイミング制約の付け替
えは、ブロック組合せ回路のバッファが最も高駆動能
力なタイプであった場合、出力ピンに割り振ってあるタ
イミング制約値を後続するブロックのの入力ピンのタイ
ミング制約値へ足し込むことによって行われる請求項2
記載の半導体集積回路の遅延最適化設計方法であること
を特徴とするものである。
【0025】請求項記載の発明によれば、半導体集積
回路の遅延最適化設計方法、各ブロックタイミング
制約をデータベースに格納するステップと、各ブロック
の遅延最適化状況をデータベースに格納するステップと
をさらに有する請求項1から3のいずれか1項に記載の
半導体集積回路の遅延最適化設計方法であることを特徴
とするものである
【0026】請求項記載の発明によれば、半導体集積
回路の遅延最適化設計方法、各ステップにおいて取得
されたデータを所定の遅延最適化データベースに書き込
む書込ステップをさらに有する請求項1から4のいずれ
か1項に記載の半導体集積回路の遅延最適化設計方法で
あることを特徴とするものである
【0027】請求項記載の発明によれば、半導体集積
回路の遅延最適化設計方法、各ステップは、書込ステ
ップで書き込まれたデータを読み出して行う処理である
請求項5記載の半導体集積回路の遅延最適化設計方法で
あることを特徴とするものである
【0028】
【発明の実施の形態】次に、添付図面を参照して本発明
による半導体集積回路の遅延最適化設計方法の実施の形
態を詳細に説明する。図1〜図6を参照すると、本発明
の半導体集積回路の遅延最適化設計方法の一実施形態が
示されている。
【0029】図1は、本発明の実施形態における階層構
造に基づくブロックレベルの遅延最適化コントロール部
の処理フローチャートを示したものである。ステップ1
01の各ブロックタイミング制約をデータベースに格納
する処理、ステップ103の各ブロックの遅延最適化状
況をデータベースに格納する処理、ステップ107の接
続先ブロックにタイミング制約を付け替えする処理のそ
れぞれは、遅延最適化データベース109にデータを書
き込む処理である。
【0030】また、ステップ102の各ブロックの遅延
最適化を実行する処理、ステップ104の各ブロックの
遅延最適化状況を分析する処理、ステップ106のタイ
ミング制約を満たさないパスの接続先ブロックを検索す
る処理、ステップ108のタイミング制約の付け替えが
あるブロックの遅延最適化を再実行する処理のそれぞれ
は、書き込まれたデータを読み出して行う処理である。
【0031】図2は、本発明の実施形態における階層構
造に基づくブロックレベルの遅延最適化処理に前後処理
を含めた全体フローチャートの概要を示したものであ
る。ステップ210は回路接続・遅延・タイミング制約
情報をブロックレベルに分割する処理、ステップ220
はブロックレベルの遅延最適化の処理、ステップ230
は回路接続・遅延情報を統合する処理である。なお、上
記のブロックレベルの遅延最適化処理220には、遅延
最適化コントロール部221と遅延最適化データベース
109と遅延最適化が行われるブロック222〜224
とが含まれる。
【0032】図3は、本発明の実施形態におけるブロッ
クの接続構成例を示している。本図3において、実施形
態のブロックレベルの遅延最適化処理回路は、二つのブ
ロック301、302で構成される。一方のブロック3
01は、フリップフロップ303とバッファ304とブ
ロック301の出力ピン307とを有している。また他
方のブロック302は、フリップフロップ306とバッ
ファ305とブロック302の入力ピン308とを有し
ている。
【0033】(実施形態の動作) 次に、本発明の実施形態の動作について、図1の処理フ
ローチャートに基づき図2、図3も参照しながら説明す
る。
【0034】図1において、ブロックレベルの遅延最適
化の処理フローチャートは、図2に示すようにブロック
レベルの遅延最適化処理220内にある遅延最適化コン
トロール部221の機能を示したものである。タイミン
グ制約情報のブロックレベル分割処理210で各ブロッ
クに割り振りされたタイミング制約情報を遅延最適化デ
ータベース109に格納101し、これを基に各ブロッ
クの遅延最適化を実行102する。各ブロックの遅延最
適化状況を、遅延最適化データベース109に格納10
3する。その後、格納された遅延最適化データベース1
09を参照しながら、ブロックの入出力ピン307、3
08からフリップフロップ303、306までのパスの
最適化状況を分析104し、タイミング制約を満足して
いるか否かを判定105する。
【0035】上記の判定105の結果がタイミング制約
を満たさない場合には(105/NO)、タイミング制
約を満たさないパスの接続先ブロックを検索106し、
その接続先ブロックに対して満たせなかった分のタイミ
ング制約の付け替え107を行う。タイミング制約の付
け替え107が行われたブロックに対して遅延最適化を
再実行108し、ステップ103の各ブロックの遅延最
適化状況をデータベースに格納する処理へ戻る。このフ
ローチャートの一連の処理は、タイミング制約を満足す
るまで(105/YES)繰り返される。
【0036】タイミング制約の付け替え107は、図3
に示すように、ブロック301にある組合せ回路のバッ
ファ304が既に最も高駆動能力なタイプであった場
合、出力ピン307に割り振ってあるタイミング制約値
をブロック302の入力ピン308へ足し込むことによ
って行われる。これによって、遅延最適化がこれ以上難
しいブロック301側から遅延最適化を行う余裕がある
ブロック302側で遅延最適化が行われ、フリップフロ
ップ303からフリップフロップ306までのパスの最
適化が可能となる。
【0037】(効果の説明) 第1の効果は、タイミング制約を分割する機能(タイミ
ングバジェッティング)の性能に関係なく、LSI全体
で行うチップレベルと同等の遅延最適化の結果を得るこ
とができる。その理由は、あるブロックの遅延最適化が
タイミング制約を満たせない場合に、接続関係のあるブ
ロックの遅延最適化状況から、さらに遅延最適化が可能
なブロックへその分のタイミング制約を付け替えること
が可能なためである。
【0038】第2の効果は、遅延最適化設計のTATを
短縮することが可能となる。その理由は、従来はタイミ
ング制約を満たすためにタイミング制約の割り振りを人
手によって見直したり、回路設計やレイアウト設計に戻
る必要があった。しかし、本発明によって、タイミング
バジェッティングの性能に関係なく一回の遅延最適化で
可能な限りの最適化が行えるからである。
【0039】(発明の他の実施形態) 次に、本発明の他の実施形態について図面を参照して説
明する。図4を参照すると、この実施形態は、図1で示
した実施形態からタイミング制約の付け替えがあるブロ
ックの遅延最適化を再実行する処理108を無くし、接
続先ブロックにタイミング制約を付け替えする処理10
7の後、各ブロックの遅延最適化を実行する処理102
へと戻っている。これによって、全てのブロックに対し
て初期の回路状態から新たなタイミング制約で再度、遅
延最適化を行うことになる。
【0040】この他の実施形態は、図1の実施形態に対
して、タイミング制約の付け替え107による新たなタ
イミング制約を基に一度の遅延最適化処理で済むことか
ら、回路接続の変更量を必要最小限に抑えられるという
効果を有する。
【0041】本発明による半導体集積回路の遅延最適化
設計方法は、LSIの階層構造に基づくブロックに分割
してレイアウト後の遅延最適化を行う場合に、接続関係
のあるブロック同士の遅延最適化状況を分析しながら遅
延最適化処理を行う。これによって、タイミング制約情
報をブロックレベルに分割した結果に左右されず、チッ
プレベルで一括の最適化時と同等の遅延最適化性能を得
ることができる。
【0042】図1において、ブロックレベルの遅延最適
化は、各ブロックに割り振りされたタイミング制約情報
を遅延最適化データベース109に格納101し、これ
を基に、各ブロックの遅延最適化を実行102する。各
ブロックの遅延最適化状況を遅延最適化データベース1
09に格納103する。その後、遅延最適化データベー
ス109を参照しながら、ブロックのピンからF/Fま
でのパスの最適化状況を分析104し、タイミング制約
を満足しているか否かを判定105する。
【0043】上記の判定105の結果が、タイミング制
約を満たさない場合には(105/NO)、そのタイミ
ング制約を満たさないパスの接続先ブロックを検索10
6し、その接続先ブロックに対して満たせなかった分の
タイミング制約の付け替え107を行う。タイミング制
約の付け替え107が行われたブロックに対して遅延最
適化を再実行108する。なお、このフローチャート
は、タイミング制約を満足するまで繰り返される。これ
により、タイミング制約情報をブロックレベルに分割し
た結果に左右されず、チップレベルで一括に遅延最適化
が不可能な大規模LSIでもチップレベルと同等の遅延
最適化を行うことができる。
【0044】図5を参照すると、この実施形態は、図2
で示した実施形態にタイミング制約違反のあるブロック
間の接続情報を抽出する処理501が加わっている。こ
の処理501によって、タイミング制約違反のあるブロ
ック接続データ502が抽出される。この情報を基に、
フロアプラン・レイアウト設計503にてブロックのフ
ロアプランや素子配置の見直しを行う。図6に示すよう
に、チップ601上のブロック301からブロック30
2の間でタイミング制約を満足できないのであれば、こ
のブロック301とブロック302を近傍に配置する。
上記手順に基づく処理で、遅延最適化を行う。
【0045】この実施形態では、図1のフローチャート
で遅延最適化を繰り返した結果、これ以上の遅延最適化
が不可能であると判断された時に、遅延最適化処理10
2、108では最適化が行えない配線602をフロアプ
ラン・レイアウト設計503で縮小する。このことによ
って、タイミング制約を満足するように改善が行える効
果を有する。
【0046】尚、上述の実施形態は本発明の好適な実施
の一例である。但し、これに限定されるものではなく、
本発明の要旨を逸脱しない範囲内において種々変形実施
が可能である。
【0047】
【発明の効果】以上の説明より明かなように、本発明の
半導体集積回路の遅延最適化設計方法は、チップレベル
の複数のブロックが接続され構成された大規模LSIを
タイミング制約に左右されず階層分割し、この階層分割
された接続関係のあるブロック同士で遅延最適化の状況
を分析し、分析されたデータに基づき分割された階層毎
にブロックレベルで遅延最適化処理を行い、LSI全体
としてチップレベルと同等の遅延最適化性能を得る。よ
って、タイミング制約の割り振りが適切でなくともブロ
ックレベルの遅延最適化がチップレベルと同等の結果と
なる。
【図面の簡単な説明】
【図1】本発明の半導体集積回路の遅延最適化設計方法
の実施形態における階層構造に基づくブロックレベルの
遅延最適化コントロール部の処理フローチャートを示し
たものである。
【図2】階層構造に基づくブロックレベルの遅延最適化
処理に前後処理を含めた全体フローチャートの概要を示
したものである。
【図3】処理対象のブロックの接続構成例を示した図で
ある。
【図4】他の実施形態の遅延最適化コントロール部の処
理フローチャートを示したものである。
【図5】他の実施形態の図2に相当する全体フローチャ
ートの概要を示したものである。
【図6】チップ上の2個のブロック間でのタイミング制
約を満足させる処理手順例を説明するための概念図であ
る。
【図7】従来の遅延最適化処理例を示したフローチャー
トである。
【図8】従来のチップ上の2個のブロック間でのタイミ
ング処理手順例を説明するための概念図である。
【符号の説明】
101 各ブロックタイミング制約をデータベースに格
納する処理 102 各ブロックの遅延最適化を実行する処理 103 各ブロックの遅延最適化状況をデータベースに
格納する処理 104 各ブロックの遅延最適化状況を分析する処理 105 判定 106 タイミング制約を満たさないパスの接続先ブロ
ックを検索する処理 107 接続先ブロックにタイミング制約の付け替え処
理 108 タイミング制約の付け替えがあるブロックの遅
延最適化再実行処理 109 遅延最適化データベース 210 回路接続・遅延・タイミング制約情報のブロッ
クレベル分割処理 220 ブロックレベルの遅延最適化処理 221 遅延最適化コントロール部 222〜224、301、302 ブロック 230 回路接続・遅延情報を統合する処理 303、306 フリップフロップ 304、305 バッファ 307 出力ピン 308 入力ピン 501 タイミング制約違反のあるブロック間の接続情
報の抽出処理 502 ブロック接続データ 503 フロアプラン・レイアウト設計 601 チップ
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/82 H01L 21/822 H01L 27/04 G06F 1/10 G06F 17/50

Claims (6)

    (57)【特許請求の範囲】
  1. 【請求項1】 LSIを複数のブロックに階層分割する
    に際して、前記各ブロックにタイミング制約を分配して
    遅延最適化を実行する半導体集積回路の遅延最適化設計
    方法であって、 該半導体集積回路の遅延最適化設計方法は、LSIを複数のブロックに階層分割する際に、タイミン
    グ制約を分配して前記各ブロックの遅延最適化処理を行
    うステップと、 前記遅延最適化の状況を分析するステップと、 前記分析に基づきタイミング制約を再分配することによ
    り遅延最適化を再実行するステップと、 を有することを特徴とする半導体集積回路の遅延最適化
    設計方法。
  2. 【請求項2】 LSIを複数のブロックに階層分割する
    に際して、前記各ブロックにタイミング制約を分配して
    遅延最適化を実行する半導体集積回路の遅延最適化設計
    方法であって、 LSIを複数のブロックに階層分割する際に、タイミン
    グ制約を分配して前記各ブロックの遅延最適化処理を行
    うステップと、 前記階層分割された接続関係のあるブロック同士で前記
    遅延最適化の状況を分析するステップと、 前記分析されたデータに基づき前記ブロック間でタイミ
    ング制約を満たさないパスの接続先ブロックを検索する
    ステップと、 該ブロックに対して、前記満たさなかった分のタイミン
    グ制約の付け替えを行うステップと、 を有することを特徴とする半導体集積回路の遅延最適化
    設計方法。
  3. 【請求項3】 前記タイミング制約の付け替えは、 ある前記ブロックにある組合せ回路のバッファが最も高
    駆動能力なタイプであった場合、 当該ブロックの出力ピンに割り振ってあるタイミング制
    約値を該ブロックと接続された他の前記ブロックの入力
    ピンへ足し込むことによって行われることを特徴とする
    請求項2記載の半導体集積回路の遅延最適化設計方法。
  4. 【請求項4】 前記各ブロックのタイミング制約をデー
    タベースに格納するステップと、 前記各ブロックの遅延最適化状況をデータベースに格納
    するステップと、 をさらに有することを特徴とする請求項1から3のいず
    れか1項に記載の半導体集積回路の遅延最適化設計方
    法。
  5. 【請求項5】 前記各ステップにおいて取得されたデー
    タを所定の遅延最適化データベースに書き込む書込ステ
    ップをさらに有することを特徴とする請求項1から4の
    いずれか1項に記載の半導体集積回路の遅延最適化設計
    方法。
  6. 【請求項6】 前記各ステップは、 前記書込ステップで書き込まれたデータを読み出して行
    う処理であることを特徴とする請求項5記載の半導体集
    積回路の遅延最適化設計方法。
JP23094099A 1999-08-17 1999-08-17 半導体集積回路の遅延最適化設計方法 Expired - Fee Related JP3476390B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP23094099A JP3476390B2 (ja) 1999-08-17 1999-08-17 半導体集積回路の遅延最適化設計方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23094099A JP3476390B2 (ja) 1999-08-17 1999-08-17 半導体集積回路の遅延最適化設計方法

Publications (2)

Publication Number Publication Date
JP2001057387A JP2001057387A (ja) 2001-02-27
JP3476390B2 true JP3476390B2 (ja) 2003-12-10

Family

ID=16915699

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23094099A Expired - Fee Related JP3476390B2 (ja) 1999-08-17 1999-08-17 半導体集積回路の遅延最適化設計方法

Country Status (1)

Country Link
JP (1) JP3476390B2 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003085222A (ja) 2001-09-06 2003-03-20 Mitsubishi Electric Corp 自動配置配線装置、自動配置配線方法及び自動配置配線プログラム
US6990645B2 (en) 2003-04-29 2006-01-24 International Business Machines Corporation Method for static timing verification of integrated circuits having voltage islands

Also Published As

Publication number Publication date
JP2001057387A (ja) 2001-02-27

Similar Documents

Publication Publication Date Title
US6557145B2 (en) Method for design optimization using logical and physical information
US5724250A (en) Method and apparatus for performing drive strength adjust optimization in a circuit design
US6099580A (en) Method for providing performance-driven logic optimization in an integrated circuit layout design
US6286126B1 (en) Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits using best and worst case delay models for nets therein
US7032198B2 (en) Method of optimizing signal lines within circuit, optimizing apparatus, recording medium having stored therein optimizing program, and method of designing circuit and recording medium having stored therein program for designing circuit
US5726903A (en) Method and apparatus for resolving conflicts between cell substitution recommendations provided by a drive strength adjust tool
US7467367B1 (en) Method and system for clock tree synthesis of an integrated circuit
US6993738B2 (en) Method for allocating spare cells in auto-place-route blocks
US7739098B2 (en) System and method for providing distributed static timing analysis with merged results
CN101877017A (zh) 使用快速估计技术的有效的采用穷尽法的基于路径的sta
US6564361B1 (en) Method and apparatus for timing driven resynthesis
US20040230919A1 (en) Advanced design format library for integrated circuit design synthesis and floorplanning tools
US20020124230A1 (en) Timing optimization for integrated circuit design
US6543032B1 (en) Method and apparatus for local resynthesis of logic trees with multiple cost functions
US6618847B1 (en) Power stabilizer using under-utilized standard cells
US8762919B2 (en) Circuit macro placement using macro aspect ratio based on ports
US6681373B1 (en) Method and apparatus for dynamic buffer and inverter tree optimization
US5930147A (en) Design support system in which delay is estimated from HDL description
US6532582B1 (en) Method and apparatus for optimal critical netlist area selection
US6782514B2 (en) Context-sensitive constraint driven uniquification and characterization of standard cells
JP3476390B2 (ja) 半導体集積回路の遅延最適化設計方法
US6634014B1 (en) Delay/load estimation for use in integrated circuit design
US10515174B1 (en) Interface modeling for power analysis of an integrated circuit
US6637011B1 (en) Method and apparatus for quick search for identities applicable to specified formula
US6018622A (en) Method for reducing circuit area by grouping compatible storage devices

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030819

LAPS Cancellation because of no payment of annual fees