JP3411240B2 - Apparatus and method for processing semiconductor sample - Google Patents

Apparatus and method for processing semiconductor sample

Info

Publication number
JP3411240B2
JP3411240B2 JP17029399A JP17029399A JP3411240B2 JP 3411240 B2 JP3411240 B2 JP 3411240B2 JP 17029399 A JP17029399 A JP 17029399A JP 17029399 A JP17029399 A JP 17029399A JP 3411240 B2 JP3411240 B2 JP 3411240B2
Authority
JP
Japan
Prior art keywords
gas
gas supply
semiconductor wafer
wafer
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP17029399A
Other languages
Japanese (ja)
Other versions
JP2000357681A (en
Inventor
喜芳 岸本
Original Assignee
株式会社半導体先端テクノロジーズ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体先端テクノロジーズ filed Critical 株式会社半導体先端テクノロジーズ
Priority to JP17029399A priority Critical patent/JP3411240B2/en
Priority to KR1020000015688A priority patent/KR100716690B1/en
Publication of JP2000357681A publication Critical patent/JP2000357681A/en
Application granted granted Critical
Publication of JP3411240B2 publication Critical patent/JP3411240B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • EFIXED CONSTRUCTIONS
    • E03WATER SUPPLY; SEWERAGE
    • E03DWATER-CLOSETS OR URINALS WITH FLUSHING DEVICES; FLUSHING VALVES THEREFOR
    • E03D1/00Water flushing devices with cisterns ; Setting up a range of flushing devices or water-closets; Combinations of several flushing devices
    • E03D1/02High-level flushing systems
    • E03D1/14Cisterns discharging variable quantities of water also cisterns with bell siphons in combination with flushing valves
    • E03D1/142Cisterns discharging variable quantities of water also cisterns with bell siphons in combination with flushing valves in cisterns with flushing valves
    • EFIXED CONSTRUCTIONS
    • E03WATER SUPPLY; SEWERAGE
    • E03DWATER-CLOSETS OR URINALS WITH FLUSHING DEVICES; FLUSHING VALVES THEREFOR
    • E03D1/00Water flushing devices with cisterns ; Setting up a range of flushing devices or water-closets; Combinations of several flushing devices
    • E03D1/30Valves for high or low level cisterns; Their arrangement ; Flushing mechanisms in the cistern, optionally with provisions for a pre-or a post- flushing and for cutting off the flushing mechanism in case of leakage
    • E03D1/34Flushing valves for outlets; Arrangement of outlet valves
    • E03D1/35Flushing valves having buoyancy
    • EFIXED CONSTRUCTIONS
    • E03WATER SUPPLY; SEWERAGE
    • E03DWATER-CLOSETS OR URINALS WITH FLUSHING DEVICES; FLUSHING VALVES THEREFOR
    • E03D3/00Flushing devices operated by pressure of the water supply system flushing valves not connected to the water-supply main, also if air is blown in the water seal for a quick flushing
    • E03D3/12Flushing devices discharging variable quantities of water

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Hydrology & Water Resources (AREA)
  • Public Health (AREA)
  • Water Supply & Treatment (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、プラズマを用いた
処理を行う半導体ウェーハの処理装置及び処理方法に関
するものであり、特に半導体ウェーハなどのエッチング
装置もしくはアッシング装置とその方法に関するもので
ある。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor wafer processing apparatus and a processing method for performing processing using plasma, and more particularly to an etching apparatus or an ashing apparatus for semiconductor wafers and the like and a method thereof.

【0002】[0002]

【従来の技術】エッチング装置で最も重要かつ困難であ
るのが、エッチングの均一性の確保である。この均一性
を決めるのに重要であるのが、プラズマ、ガス流れ、圧
力、等の各パラメータをウェーハ上で均一にすることで
ある。特に300mm対応エッチング装置の開発のように、
大口径対応のエッチング装置で困難であるのがガスを均
一に供給する方法である。
2. Description of the Related Art The most important and difficult thing in an etching apparatus is to ensure etching uniformity. What is important in determining this uniformity is that the parameters such as plasma, gas flow, pressure, etc. are made uniform on the wafer. Especially as the development of etching equipment for 300 mm,
What is difficult with an etching apparatus for a large diameter is a method of uniformly supplying gas.

【0003】エッチング装置において、ガスの流れを均
一にするには、ガスの排気も均一にしなくてはならない
が、たとえウェーハ周辺部からシンメトリカルに排気を
行っても、ガスの供給位置がウェーハから離れている場
合は、ウェーハのセンターとエッジでガスの流れもしく
は成分が不均一に成りやすい。シャワーヘッドを用いる
場合、ウェーハの直上に載置することが可能であり、ガ
スを均一に供給できる方法として多用されている。
In order to make the gas flow uniform in the etching apparatus, the gas must be exhausted uniformly. However, even if the gas is exhausted symmetrically from the peripheral portion of the wafer, the gas supply position is separated from the wafer. In such a case, the gas flow or composition is likely to be nonuniform at the center and edge of the wafer. When a shower head is used, it can be placed directly on the wafer and is widely used as a method for uniformly supplying gas.

【0004】しかしながらシャワーヘッドをウェーハに
近づけすぎると、圧力の均一性を確保することが困難と
なりエッチング特性の均一性の悪化を招く。特に大口径
化対応の場合この問題は深刻であり、例えば、平行平板
タイプのエッチング装置で200mmと同じギャップ(上下
電極やウェーハとシャワーヘッド間の距離)で300mm対
応のエッチング装置を作製した場合、ウェーハのセンタ
ー部とエッジ部で圧力の差が生じてしまうし、エッチン
グの均一性を得ることが困難となる。またギャップを広
げると、ウェーハ直上からガスを供給できる利点が薄れ
てしまう。
However, if the shower head is brought too close to the wafer, it becomes difficult to secure the uniformity of the pressure and the uniformity of the etching characteristics is deteriorated. This problem is particularly serious when dealing with larger diameters. For example, if a parallel plate type etching device is used and a 300 mm etching device with the same gap (distance between upper and lower electrodes or wafer and showerhead) as 200 mm is manufactured, A pressure difference occurs between the center portion and the edge portion of the wafer, and it becomes difficult to obtain uniform etching. Further, if the gap is widened, the advantage that the gas can be supplied from directly above the wafer is diminished.

【0005】次に、エッチングの均一性とともに重要で
あるのが、広いプロセスウィンドーの確保である。特に
1台の装置でコンタクトホールと配線や溝の加工という
ように異種の構造をエッチングする場合問題となりやす
い。これは、ウェーハからのバイプロダクト(エッチン
グ生成物)の供給の多いウェーハセンター部と、回りに
エッチングする対象物が少ないウェーハエッジ部とでは
エッチング特性に差が出やすく、これをガスの供給のし
かたで補正することも可能であるが、エッチング対象物
の構造が変った場合、センターとエッジでの均一性の確
保を一つ以上のプロセスで実現することは極めて困難と
なる。
Next, it is important to secure a wide process window as well as the uniformity of etching. In particular
This is a problem when etching different types of structures such as processing contact holes and wiring and trenches with a single device. This is because a difference in etching characteristics is likely to occur between the wafer center portion where a large amount of by-products (etching products) are supplied from the wafer and the wafer edge portion where there are few objects to be etched around. However, if the structure of the etching target changes, it is extremely difficult to secure the uniformity at the center and the edge by one or more processes.

【0006】[0006]

【発明が解決しようとする課題】この発明は上述のよう
な従来の課題を解決するためになされたもので、この発
明は、処理槽内の圧力の均一性を確保するため、ギャッ
プを広げることを可能としながら、ガスの供給はウェー
ハ直上から行い、大口径化対応のエッチング装置に於い
ても、均一なプロセスを確保することを目的としてい
る。また、この発明は、一つ以上のプロセス例えば、ウ
ェーハの表面がほとんどレジストに覆われているコンタ
クト構造と、エッチング面積が半分以上ある配線構造の
両方を、均一性を確保してエッチングすることを目的と
している。
The present invention has been made to solve the above-mentioned conventional problems, and the present invention is to widen the gap in order to ensure the uniformity of the pressure in the processing tank. The gas is supplied from directly above the wafer, and the purpose is to ensure a uniform process even in an etching apparatus for large diameter. In addition, the present invention provides one or more processes, for example, a contact structure in which the surface of the wafer is almost covered with a resist and a wiring structure in which the etching area is half or more, to ensure etching uniformity. Has an aim.

【0007】[0007]

【課題を解決するための手段】これを実現するため、こ
の発明の半導体ウェーハの処理装置は、処理槽内に下部
電極を備え、上記処理槽内にプラズマを発生して上記下
部電極の上に置かれた半導体ウェーハを処理する処理装
置において、上記下部電極の上にプラズマ用のガスを供
給するガス供給口を有するガス供給手段を備え、上記ガ
ス供給手段は、内部に上記プラズマ用のガスを流通さ
せ、かつ多数のガス供給口を有する複数の管であって、
中心から放射状に配置された複数の放射状管と、上記放
射状管に連通した複数のリング状の環状管と、上記環状
管に連通し上記ガスの導入もしくは排出を行う複数の縦
管とを組み合わせて構成され、かつ、上記半導体ウェー
ハの表面に対応して分布が調整された広い領域の多数の
ガス供給口から前記ガスを供給するように構成されたこ
とを特徴とする。
In order to achieve this, a semiconductor wafer processing apparatus according to the present invention comprises a lower electrode in a processing tank, and plasma is generated in the processing tank to form a plasma on the lower electrode. In a processing apparatus for processing a placed semiconductor wafer, a gas supply means having a gas supply port for supplying a gas for plasma is provided on the lower electrode, and the gas supply means contains the gas for plasma inside. A plurality of pipes that are circulated and have a large number of gas supply ports ,
A plurality of radial tubes arranged radially from the center, and
A plurality of ring-shaped annular pipes communicating with the radial pipe, and the above-mentioned annular
Multiple vertical pipes that communicate with the pipe and introduce or exhaust the above gases
It is constructed by combining a tube, and wherein the distribution corresponds to the surface of the semiconductor wafer is composed of a large number of the gas supply port of the wide region is adjusted so as to supply the gas.

【0008】また、この発明の他の半導体ウェーハの処
理装置は、上記放射状管、環状管及び縦管が中空のパイ
プ状であることを特徴とする。
According to another semiconductor wafer processing apparatus of the present invention, the radial pipe, the annular pipe and the vertical pipe are hollow.
It is characterized in that it is in the shape of a cup .

【0009】[0009]

【0010】また、この発明の他の半導体ウェーハの処
理装置は、上記ガス供給手段のガス供給口は、半導体ウ
ェーハのセンター部分とエッジ部分でガス供給量を最適
化するように調整されたことを特徴とする。
According to another semiconductor wafer processing apparatus of the present invention, the gas supply port of the gas supply means is a semiconductor wafer.
Optimal gas supply at the center and edge of the wafer
It is characterized in that it is adjusted so that

【0011】また、この発明の他の半導体ウェーハの処
理装置は、上記ガス供給手が、上記ガス供給口と上記半
導体ウェーハとの距離を可変に制御する制御手段を備え
たことを特徴とする。
Further, in another semiconductor wafer processing apparatus of the present invention, the gas supplier has the gas supply port and the half
Equipped with control means for variably controlling the distance to the conductor wafer
It is characterized by that.

【0012】さらに、この発明の半導体ウェーハの処理
装置は、上記処理槽の上部または側部から上記プラズマ
用のガスを導入するガス導入手段を備えたことを特徴と
する。
Further, processing of the semiconductor wafer of the present invention
The device is equipped with the plasma from the top or side of the processing tank.
A gas introduction means for introducing a gas for use
To do.

【0013】[0013]

【0014】[0014]

【0015】[0015]

【発明の実施の形態】実施の形態1 図1は、この発明の一実施の形態によるエッチング装置
の概略構造を示す断面図である。図1に示されるよう
に、このエッチング装置は、チャンバー10(処理槽)
と、このチャンバー下部に設置された下部電極を兼ねる
下部ステージ11と、ガス供給口がチャンバー内に上下
動可能に配置されたガス供給部12と、チャンバー上部
に配置された上部電極13とを備えている。下部ステー
ジの上にはウェーハ15(半導体試料)が載せられる。
また、下部ステージ11の下側には、制御部14が配置
されている。この制御部14は、ガス導入部12を上下
動させる機構を備えているほか、パワー導入機構、静電
チャック関係、ウェーハ上下機構、ウェーハ温調機構等
を含んでいる。
First Embodiment FIG. 1 is a sectional view showing a schematic structure of an etching apparatus according to an embodiment of the present invention. As shown in FIG. 1, this etching apparatus includes a chamber 10 (processing tank).
A lower stage 11 also serving as a lower electrode installed in the lower part of the chamber, a gas supply part 12 having a gas supply port vertically movable in the chamber, and an upper electrode 13 arranged in the upper part of the chamber. ing. A wafer 15 (semiconductor sample) is placed on the lower stage.
A control unit 14 is arranged below the lower stage 11. The control unit 14 includes a mechanism for moving the gas introduction unit 12 up and down, and includes a power introduction mechanism, an electrostatic chuck-related, a wafer up-and-down mechanism, a wafer temperature adjustment mechanism, and the like.

【0016】チャンバー10内には上部電極13、或い
は、アンテナからのパワー導入により放電がたち、プラ
ズマが生成される。このプラズマガスにより、下部ステ
ージ11上に載置されたウェーハ15がエッチングされ
る。
A discharge is generated in the chamber 10 by introducing power from the upper electrode 13 or an antenna, and plasma is generated. This plasma gas etches the wafer 15 placed on the lower stage 11.

【0017】図2は、図1におけるガス導入部12の具
体的構造を説明するための斜視図である。ガス供給部1
2は、中心から放射状に配置され軸となる放射状管2
1、リング状の環状管22、及び支持を兼ねる縦管23
から構成され、これら複数の管がプラズマ用のガスを導
通させるように連通している。放射状管21、環状管2
2及び縦管23の数と配置は任意に調整可能である。た
だし、隣り合う縦管23の間隔はウェーハ15を挿入で
きるようにウェーハ径以上とする。ウェーハ15は、搬
送ロボットによりガス供給部12の真下に載置される。
FIG. 2 is a perspective view for explaining a specific structure of the gas introduction part 12 in FIG. Gas supply unit 1
2 is a radial tube 2 which is arranged radially from the center and serves as an axis.
1, a ring-shaped annular pipe 22, and a vertical pipe 23 also serving as a support
The plurality of tubes are in communication with each other so that the gas for plasma is conducted therethrough. Radial tube 21, annular tube 2
The number and arrangement of the two and the vertical tubes 23 can be arbitrarily adjusted. However, the interval of vertical adjacent tube 23 and the wafer diameter or less so that it can insert the wafer 15. The wafer 15 is placed directly below the gas supply unit 12 by the transfer robot.

【0018】縦管23は、制御部14のアクチュエータ
につながっており、レシピにて上下動が可能である。放
射状管21、環状管22及び縦管23は、中空のパイプ
状であり、中をプロセスガスが流れる。ガスの導入は1
本もしくは数本の縦管23から行い、同じくガスの排出
も1本もしくは数本の縦管23から行う。
The vertical pipe 23 is connected to the actuator of the controller 14 and can be moved up and down according to a recipe. The radial pipe 21, the annular pipe 22 and the vertical pipe 23 are hollow pipes, through which the process gas flows. Gas introduction is 1
The gas is discharged from one or several vertical tubes 23, and the gas is similarly discharged from one or several vertical tubes 23.

【0019】図3は、放射状管21又は環状管22をウ
ェーハ側からみた部分拡大図である。図に示すように、
これらのパイプのウェーハ側には、ガスの噴き出し穴2
4(ガス供給口)が開けられている。孔24の数(密
度)は任意に調整可能であり、ウェーハ面におけるエッ
チングの均一性を向上させるために、センター部分とエ
ッジ部分で変えることも可能である。また穴24の大き
さについてもセンター、エッジで変えることが可能であ
る。更に穴24の向きについても真下以外に下方斜め方
向、水平方向あるいは真上方向(ウェーハの反対側、上
部電極向きに)開けることも可能である。
FIG. 3 is a partially enlarged view of the radial tube 21 or the annular tube 22 as seen from the wafer side. As shown in the figure,
On the wafer side of these pipes, gas ejection holes 2
4 (gas supply port) is opened. The number (density) of the holes 24 can be arbitrarily adjusted, and can be changed between the center portion and the edge portion in order to improve the etching uniformity on the wafer surface. Also, the size of the hole 24 can be changed depending on the center and the edge. Further, the holes 24 may be formed in a diagonally downward direction, a horizontal direction, or an upward direction (toward the opposite side of the wafer, toward the upper electrode) other than directly below.

【0020】次に、このエッチング装置の具体的な構造
と使用の態様について説明する。一例として、たとえば
上下電極に異なる周波数のパワーを印加できる、平行平
板タイプの300mmウェーハ対応酸化膜エッチング装置の
例を挙げる。エッチング条件の一例は次のとおりであ
る。 圧力:40mTorr, ガス流量:C4F8=50sccm 、Ar=300sccm、 CO=200sccm、
O2=30sccm パワー:上部電極 3000W(13.56MHz)、 下部電極 100
0W(400kHz) 下部をアースとすることや、磁場を加えることも可能 ギャップ:10cm ガス供給高さ:数ミリ〜10cmで可変
Next, the specific structure and usage of this etching apparatus will be described. As an example, an example of a parallel plate type oxide film etching apparatus for a 300 mm wafer capable of applying power of different frequencies to the upper and lower electrodes will be given. An example of etching conditions is as follows. Pressure: 40mTorr, Gas flow rate: C4F8 = 50sccm, Ar = 300sccm, CO = 200sccm,
O2 = 30sccm Power: Upper electrode 3000W (13.56MHz), Lower electrode 100
0W (400kHz) It is also possible to ground the lower part and add a magnetic field Gap: 10cm Gas supply height: Variable from a few millimeters to 10cm

【0021】上記の条件でエッチングする場合、ギャッ
プが十分に広いため、圧力の均一性は問題なく、電極付
近の高い電子温度のプラズマからウェーハまでの距離が
遠くダメージやエレクトロンシェーディングの心配がな
い。エッチング対象物を高いレートであるいはラジカル
などのニュートラルに対してイオン(荷電粒子)を増や
した条件でエッチングを行いたい場合は、ガス供給部を
最も高く、或いは、上部電極の位置に設定する。この場
合従来のシャワーヘッドでのエッチングに近い条件とな
る。これに対し、(イ)センターでデポ性が強すぎるの
を改善したり、エッジで選択比が下がるのを低減させる
など、センターとエッジの不均一性を改善したい場合、
あるいは、(ロ)より解離の進行しないガスでエッチン
グすることで対レジストや対SiN,Siとの選択比を向上さ
せたい場合には、ガス供給部の高さを、例えば10mmと設
定する。
In the case of etching under the above conditions, since the gap is sufficiently wide, there is no problem in the uniformity of pressure, and there is no fear of damage or electron shading because the distance from the high electron temperature plasma near the electrode to the wafer is long. When etching is to be performed at a high rate or under conditions in which ions (charged particles) are increased with respect to neutrals such as radicals, the gas supply unit is set to the highest position or the position of the upper electrode. In this case, the conditions are similar to those of the conventional shower head etching. On the other hand, (a) if you want to improve the non-uniformity of the center and the edge, such as improving that the depot property is too strong at the center or reducing the selection ratio at the edge,
Alternatively, when it is desired to improve the selectivity with respect to the resist or SiN or Si by etching with a gas that does not cause dissociation, the height of the gas supply unit is set to, for example, 10 mm.

【0022】以上のように、このガス供給部12は、ウ
ェーハ15の直上に石英(Quartz)等の耐プラズマ性の
ある材料からなり、かつプラズマを遮蔽しないシャワー
ヘッド状に構成されている。このガス供給部12は平板
状ではなく、ガスを通すパイプからなるため、プラズマ
中に載置でき、またパイプには多くの穴が開いているた
め、ウェーハに均一にガスを供給することが可能であ
る。また、このガス供給部12はレシピにて上下動を可
能とし、エッチングする構造が変った場合でも、レシピ
でガス供給部12を最適の高さに設定できるため、どん
な構造、プロセスに対しても均一なエッチングが可能で
ある。
As described above, the gas supply unit 12 is made of a material having a plasma resistance such as quartz (Quartz) directly above the wafer 15 and has a shower head shape that does not shield the plasma. Since this gas supply unit 12 is not a flat plate, but consists of a pipe through which gas can pass, it can be placed in the plasma, and since the pipe has many holes, it is possible to uniformly supply gas to the wafer. Is. Further, the gas supply unit 12 can be moved up and down according to the recipe, and even if the structure to be etched is changed, the gas supply unit 12 can be set to the optimum height according to the recipe, so that it can be used for any structure or process. Uniform etching is possible.

【0023】次に、このエッチング装置の動作・作用に
ついてさらに説明する。一般にウェーハのセンターでは
バイプロダクトも多く、例えばウェーハエッジ部に比べ
デポ性が強い。この影響を低減するにはウェーハの直近
から新鮮なガスを供給するのが最適な方法であるが、本
構造を用いることで実現できる。すなわち、ウェーハセ
ンターに直近からある程度以上の流速を持ったガスが供
給されることでバイプロダクトの比率の多いデポ性の強
い成分を持つガスを追いだし、新鮮なガスに置き換え、
ウェーハエッジとのガスの成分の違いを低減できる。
Next, the operation and action of this etching apparatus will be further described. Generally, there are many byproducts at the center of the wafer, and the depositability is stronger than that at the wafer edge, for example. The best way to reduce this effect is to supply fresh gas from the immediate vicinity of the wafer, but this can be achieved by using this structure. In other words, the gas with a flow rate above a certain level is supplied to the wafer center from the immediate vicinity to expel the gas with a strong depot component with a large proportion of biproducts, and replace it with fresh gas.
The difference in gas composition from the wafer edge can be reduced.

【0024】エッチング対象物の構造に応じて、この作
用を強くしたい場合にはガス供給部をレシピでウェーハ
に近づければ良い。ガス供給部の高さは、ガスの解離の
程度に大きく影響する。すなわち、噴出されたガスがウ
ェーハに到達するまでに、プラズマ中で低次の分子やラ
ジカル、イオンに分解されていくが、ウェーハからの距
離が近い場合は分解の程度が小さい。このような観点か
ら各構造にたいして最適な高さを設定する。
Depending on the structure of the object to be etched, if it is desired to enhance this action, the gas supply part may be brought closer to the wafer by a recipe. The height of the gas supply section greatly affects the degree of gas dissociation. That is, by the time the ejected gas reaches the wafer, it is decomposed into low-order molecules, radicals, and ions in the plasma, but the degree of decomposition is small when the distance from the wafer is short. From this point of view, the optimum height is set for each structure.

【0025】以上説明したように、この実施の形態によ
れば本発明は、チャンバー内の圧力の均一性を確保する
ため、ギャップを広げることを可能としながら、ガスの
供給は、ガス供給部によってウェーハ直上から行なうの
で、大口径化対応のエッチング装置に於いても、均一な
プロセスを確保することができる。また、この実施の形
態によれば、一つ以上のプロセス例えば、ウェーハの表
面がほとんどレジストに覆われているコンタクト構造
と、エッチング面積が半分以上ある配線構造の両方を、
均一性を確保してエッチングすることができる。
As described above, according to the present embodiment, the present invention allows the gap to be widened in order to ensure the uniformity of the pressure in the chamber, while the gas is supplied by the gas supply unit. Since the process is performed right above the wafer, it is possible to secure a uniform process even in an etching apparatus corresponding to a large diameter. In addition, according to this embodiment, one or more processes, for example, both a contact structure in which the surface of the wafer is almost covered with resist and a wiring structure in which the etching area is half or more,
Etching can be performed while ensuring uniformity.

【0026】実施の形態2 図4は、この発明の他の実施の形態によるエッチング装
置の概略構造を示す断面図である。この実施の形態は、
チャンバー10の上部や側壁からガスを供給する従来の
エッチング装置に、実施の形態1で説明したガス供給部
12とその制御機構を付加したものである。図中の符号
は、図1〜図3のものと同一のものを示すので、詳細な
説明は省略する。このエッチング装置では、図の符号g
に示すように、従来どおりチャンバー10の上部あるい
は側壁からガスを供給する。さらに、アクチュエータに
つながり、レシピにて上下動が可能なガス供給部12の
ガス供給口23から、図の符号hに示すように、ガスを
供給する。このように二つの方法でチャンバー10内に
ガスを供給するようにすれば、ガスの供給量とその分布
ならびにガス速度などの制御の可能性をさらに増すこと
ができる。
Second Embodiment FIG. 4 is a sectional view showing a schematic structure of an etching apparatus according to another embodiment of the present invention. In this embodiment,
The gas supply unit 12 described in the first embodiment and its control mechanism are added to the conventional etching apparatus that supplies gas from the upper portion or side wall of the chamber 10. Since the reference numerals in the figure are the same as those in FIGS. 1 to 3, detailed description thereof will be omitted. In this etching apparatus, reference numeral g in the figure
As shown in FIG. 5, gas is supplied from the upper portion or side wall of the chamber 10 as in the conventional case. Further, gas is supplied from the gas supply port 23 of the gas supply unit 12 that is connected to the actuator and can be moved up and down according to the recipe, as indicated by the symbol h in the figure. As described above, if the gas is supplied into the chamber 10 by the two methods, the amount of gas supplied and the distribution thereof.
Also, the possibility of controlling the gas velocity and the like can be further increased.

【0027】また、この場合、ガス流gとガス流hとで
ガスの種類を変えることも可能である。例えば、トータ
ルガス流量:C4F8=50sccm、Ar=300sccm、CO=200sccm、O
2=30sccmのうち、あまり解離を進めたくない、C4F8はガ
ス流hとしてプラズマ中より流し、その他のガスをガス
流gとしてチャンバー10の上部から流すことも可能で
ある。
In this case, it is also possible to change the kind of gas by the gas flow g and the gas flow h. For example, total gas flow rate: C 4 F 8 = 50sccm, Ar = 300sccm, CO = 200sccm, O
Of 2 = 30 sccm, C 4 F 8 which does not want to promote dissociation may flow from the plasma as the gas flow h, and other gas may flow from the upper part of the chamber 10 as the gas flow g.

【0028】また、たとえば、ガス供給部12のガス供
給口23から、ウェーハ15の直上のプラズマ中より例
えば選択比を上げる目的でエッチングに関係する材料を
供給する。これは、ガス供給部全体もしくはウェーハ表
面部の消耗材料としてSiC,Si,SiN,Cを用いる場合などで
ある。
Further, for example, a material related to etching is supplied from the gas supply port 23 of the gas supply unit 12 for the purpose of increasing the selection ratio, for example, in the plasma immediately above the wafer 15. This is the case when SiC, Si, SiN, or C is used as a consumable material for the entire gas supply unit or the wafer surface.

【0029】以上のように、この実施の形態において
も、同心円の数個のリング及びそれらをつなぐ数本のパ
イプにガスを流せる構造とし、パイプに開けられた多数
の穴よりガスをウェーハに噴き出す穴を設ける。これに
より、プラズマ用のガスの供給をウェーハ直近のプラズ
マ生成領域より行う、すなわちプラズマ空間を決める上
部プレートをそのままにしながらガス供給部のみをウェ
ーハに近づけることを可能としたものである。
As described above, also in this embodiment, the structure is such that the gas can flow through several concentric rings and several pipes connecting them, and the gas is spouted onto the wafer through the many holes formed in the pipe. Make a hole. As a result, the gas for plasma is supplied from the plasma generation region in the immediate vicinity of the wafer, that is, only the gas supply part can be brought close to the wafer while keeping the upper plate that determines the plasma space.

【0030】また、このガス導入の高さはレシピで可変
とすることができ、均一性の調整等のマージンが広が
る。さらに、ガスの導入は従来のシャワーヘッド同様に
広い領域の多数の穴から供給することが可能で、ウェー
ハの直近にあるため、穴の数等を調整することでウェー
ハのセンターとエッジでガスの供給量を最適化させるこ
とが容易となる。これにより大口径ウェーハの均一エッ
チングが可能になる。材料に関しては石英(Quartz)が
最適であるが、これをCやSi,SiCとすることで、ウェー
ハ直上にてFなどのスカベンジの効果を持たせることも
可能となる。以上説明したこの実施の形態においても、
実施の形態1と同様の効果を奏することは言うまでもな
い。
Further, the height of this gas introduction can be made variable by the recipe, so that the margin for adjusting the uniformity is widened. Furthermore, the gas can be introduced from a large number of holes in a wide area as in the conventional shower head, and since it is in the immediate vicinity of the wafer, adjusting the number of holes, etc., allows the gas to be introduced at the center and edge of the wafer. It becomes easy to optimize the supply amount. This enables uniform etching of large diameter wafers. Quartz is the most suitable material, but by using C, Si, or SiC, it is possible to have scavenging effects such as F directly on the wafer. Also in this embodiment described above,
It goes without saying that the same effect as that of the first embodiment is achieved.

【0031】[0031]

【発明の効果】以上説明したように、この発明のエッチ
ング装置によれば、次のような効果を奏する。 (一)ウェーハ内エッチングレートの均一性向上を図る
ことができる。 (二)ウェーハ内エッチング選択比の均一性向上を図る
ことができる。 (三)ウェーハ内エッチング形状の均一性向上を図るこ
とができる。 (四)マイクロローディング効果の低減を図ることがで
きる。 (五)対レジスト、対下地選択比の向上を図ることがで
きる。 (六)チャージアップダメージの低減を図ることができ
る。
As described above, the etching apparatus of the present invention has the following effects. (1) The uniformity of the etching rate within the wafer can be improved. (2) The uniformity of the etching selectivity in the wafer can be improved. (3) The uniformity of the etching shape in the wafer can be improved. (4) The microloading effect can be reduced. (5) It is possible to improve the selection ratio with respect to resist and base. (6) Charge-up damage can be reduced.

【図面の簡単な説明】[Brief description of drawings]

【図1】 この発明の一実施の形態によるエッチング装
置の概略構造を示す断面図である。
FIG. 1 is a sectional view showing a schematic structure of an etching apparatus according to an embodiment of the present invention.

【図2】 図1におけるガス供給部の具体的構造を説明
するための斜視図である。
FIG. 2 is a perspective view for explaining a specific structure of a gas supply unit in FIG.

【図3】 図2におけるガス供給部の放射状管又は環状
管をウェーハ側からみた部分拡大図である。
FIG. 3 is a partially enlarged view of a radial pipe or an annular pipe of the gas supply unit in FIG. 2 seen from the wafer side.

【図4】 この発明の他の実施の形態によるエッチング
装置の概略構造を示す断面図である。
FIG. 4 is a sectional view showing a schematic structure of an etching apparatus according to another embodiment of the present invention.

【符号の説明】[Explanation of symbols]

10 チャンバー(処理槽) 11 下部ステージ(下部電極) 12 ガス供給部 13 上部電極 14 制御部 15 ウェーハ(半導体試料) 21 放射状管 22 環状管 23 縦管 24 孔(ガス供給口) 10 chambers (processing tanks) 11 Lower stage (lower electrode) 12 Gas supply section 13 Upper electrode 14 Control unit 15 wafers (semiconductor sample) 21 Radial tube 22 annular pipe 23 Vertical pipe 24 holes (gas supply port)

Claims (5)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 処理槽内に下部電極を備え、上記処理槽
内にプラズマを発生して上記下部電極の上に置かれた半
導体ウェーハを処理する処理装置において、上記下部電
極の上にプラズマ用のガスを供給するガス供給口を有す
るガス供給手段を備え、 上記ガス供給手段は、内部に上記プラズマ用のガスを流
通させ、かつ多数のガス供給口を有する複数の管であっ
て、中心から放射状に配置された複数の放射状管と、上
記放射状管に連通した複数のリング状の環状管と、上記
環状管に連通し上記ガスの導入もしくは排出を行う複数
の縦管とを組み合わせて構成され、かつ、上記半導体ウ
ェーハの表面に対応して分布が調整された広い領域の多
数のガス供給口から前記ガスを供給するように構成され
たことを特徴とする半導体ウェーハの処理装置。
1. A processing apparatus comprising a lower electrode in a processing tank, wherein plasma is generated in the processing tank to process a semiconductor wafer placed on the lower electrode, wherein a plasma is formed on the lower electrode. A gas supply means having a gas supply port for supplying the gas, the gas supply means is a plurality of pipes through which the plasma gas is circulated and which has a large number of gas supply ports.
The radial tubes arranged radially from the center, and
A plurality of ring-shaped annular pipes communicating with the radial pipe, and
Plural communicating with the annular pipe and introducing or discharging the above gas
And a vertical pipe, and is configured to supply the gas from a large number of gas supply ports in a wide area whose distribution is adjusted corresponding to the surface of the semiconductor wafer. Semiconductor wafer processing equipment.
【請求項2】 上記放射状管、環状管及び縦管は中空の
パイプ状であることを特徴とする請求項1に記載の半導
体ウェーハの処理装置。
2. The radial pipe, annular pipe and vertical pipe are hollow.
The semiconductor wafer processing apparatus according to claim 1, wherein the semiconductor wafer processing apparatus has a pipe shape .
【請求項3】 上記ガス供給手段のガス供給口は、半導
体ウェーハのセンター部分とエッジ部分でガス供給量を
最適化するように調整されたことを特徴とする請求項1
又は2に記載の半導体ウェーハの処理装置。
3. The gas supply port of the gas supply means is a semiconductor.
The gas supply amount at the center and edge of the body wafer
Adjusted to be optimized.
Or the semiconductor wafer processing apparatus according to 2.
【請求項4】 上記ガス供給手段は、上記ガス供給口と
上記半導体ウェーハとの距離を可変に制御する制御手段
を備えたことを特徴とする請求項1〜3のいずれかに記
載の半導体ウェーハの処理装置。
4. The gas supply means is provided with the gas supply port.
Control means for variably controlling the distance to the semiconductor wafer
The semiconductor wafer processing apparatus of claim 1, further comprising:
【請求項5】 上記処理槽の上部または側部から上記プ
ラズマ用のガスを導入するガス導入手段を備えたことを
特徴とする請求項1〜4のいずれかに記載の半導体ウェ
ーハの処理装置。
5. The process is performed from the top or side of the processing tank.
It was equipped with gas introduction means for introducing gas for plasma
The semiconductor wafer processing apparatus according to claim 1 , wherein the semiconductor wafer processing apparatus is a semiconductor wafer processing apparatus.
JP17029399A 1999-06-16 1999-06-16 Apparatus and method for processing semiconductor sample Expired - Fee Related JP3411240B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP17029399A JP3411240B2 (en) 1999-06-16 1999-06-16 Apparatus and method for processing semiconductor sample
KR1020000015688A KR100716690B1 (en) 1999-06-16 2000-03-28 Apparatus and method for processing semiconductor piece

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17029399A JP3411240B2 (en) 1999-06-16 1999-06-16 Apparatus and method for processing semiconductor sample

Publications (2)

Publication Number Publication Date
JP2000357681A JP2000357681A (en) 2000-12-26
JP3411240B2 true JP3411240B2 (en) 2003-05-26

Family

ID=15902285

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17029399A Expired - Fee Related JP3411240B2 (en) 1999-06-16 1999-06-16 Apparatus and method for processing semiconductor sample

Country Status (2)

Country Link
JP (1) JP3411240B2 (en)
KR (1) KR100716690B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4638833B2 (en) * 2006-03-31 2011-02-23 三井造船株式会社 Plasma film forming apparatus and method for cleaning plasma film forming apparatus
US20080119055A1 (en) 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03203317A (en) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd Plasma processor
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same

Also Published As

Publication number Publication date
KR100716690B1 (en) 2007-05-09
JP2000357681A (en) 2000-12-26
KR20010006881A (en) 2001-01-26

Similar Documents

Publication Publication Date Title
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8383002B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US10224221B2 (en) Internal plasma grid for semiconductor fabrication
KR102320085B1 (en) Method for manufacturing semiconductor device
US8241514B2 (en) Plasma etching method and computer readable storage medium
US8066895B2 (en) Method to control uniformity using tri-zone showerhead
TWI452627B (en) Plasma processing apparatus and method
JP4970434B2 (en) Plasma reactor and method of using plasma reactor
US20070193688A1 (en) Process tuning gas injection from the substrate edge
CN111095498B (en) Mounting table, substrate processing apparatus, and edge ring
TWI725034B (en) Plasma processing method
JP2002217171A (en) Etching equipment
KR20150130920A (en) Method for etching etching target layer
JP3411240B2 (en) Apparatus and method for processing semiconductor sample
JP2006344701A (en) Etching device and etching method
KR100716263B1 (en) Apparatus for dry etching
JP4608827B2 (en) Plasma processing apparatus and plasma processing method
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
WO2002009198A9 (en) Etching apparatus having a confinement and guide object for gas flow of plasma and method for using same
JP3403973B2 (en) Semiconductor processing equipment
TW202350020A (en) Apparatus for generating etchants for remote plasma processes
JP2982767B2 (en) Dry etching apparatus and dry etching method
JP2008060236A (en) Equipment and method for plasma processing
JP2007266536A (en) Plasma treatment apparatus

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees