JP3185892B2 - Timing simulation method - Google Patents

Timing simulation method

Info

Publication number
JP3185892B2
JP3185892B2 JP24439191A JP24439191A JP3185892B2 JP 3185892 B2 JP3185892 B2 JP 3185892B2 JP 24439191 A JP24439191 A JP 24439191A JP 24439191 A JP24439191 A JP 24439191A JP 3185892 B2 JP3185892 B2 JP 3185892B2
Authority
JP
Japan
Prior art keywords
design
delay time
simulation
logic
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP24439191A
Other languages
Japanese (ja)
Other versions
JPH0554097A (en
Inventor
弘 竹上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm Co Ltd
Original Assignee
Rohm Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm Co Ltd filed Critical Rohm Co Ltd
Priority to JP24439191A priority Critical patent/JP3185892B2/en
Publication of JPH0554097A publication Critical patent/JPH0554097A/en
Application granted granted Critical
Publication of JP3185892B2 publication Critical patent/JP3185892B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、モノリシックIC、ハ
イブリッドICなどの半導体集積回路の設計における検
証方式に関し、特にそのタイミングシミュレーション
法(以下「タイミングシミュレーション方式」ともい
う)に関するものである。
The present invention relates to a monolithic IC, relates verification method in designing a semiconductor integrated circuit such as a hybrid IC, in particular its timing simulation side
Method (hereinafter also referred to as “timing simulation method”)
U) .

【0002】[0002]

【従来の技術】ICの設計は、いろいろな段階に分けて
行なわれる。図1に、設計の流れの中の機能設計からレ
イアウト設計までを示している。機能設計a、論理設計
b、回路設計c、レイアウト設計dの設計工程では、通
常、それぞれ検証のためのソフトウエアが用意されてお
り、各設計工程の信頼性の向上及び工数の削減に寄与し
ている。機能設計aは、機能仕様に基づき、機能構成要
素の決定や機能ブロック図の作成、制御方式の決定など
を行なう工程で、機能動作確認のために機能シミュレー
ションeを行なう。論理設計bは、機能設計aにより決
定された論理仕様を満たすように、論理構成を具現化
し、ゲート間の接続関係を規定する工程である。論理シ
ミュレータにより行なわれる論理シミュレーションf
は、論理シミュレータの規則に沿った論理ゲートのネッ
トリストをシミュレータ上で実現し、適宜与えられた入
力信号に対して、期待値通りの出力信号が得られたかど
うかを、ソフトウエア処理で確認する。論理設計bの終
了後、回路設計cを経てレイアウト設計dを行なう場合
と、論理ゲートレベルからすぐにレイアウト設計dを行
なう場合がある。回路設計cは、いわゆるトランジスタ
レベルの設計であり、これに抵抗、容量などの素子を組
み合わせて、それらの素子のパラメータや接続関係を決
定する工程である。回路設計の検証のソフトウエアとし
ては回路シミュレーションgがあるが、これは、トラン
ジスタ、抵抗などの等価回路モデルを使用して、直流、
交流、過渡解析を行なうプログラムである。レイアウト
設計dは、論理設計bもしくは回路設計cの結果に従っ
て、トランジスタなどの素子またはこれらから成るブロ
ックの配置を決め、それらの間の配線を行なう工程であ
る。レイアウト設計dにおける検証プログラムhは多種
にわたるが、代表的なものとして、製造上の制約からく
るパターンの最小線幅、最小間隔などの幾何学的な設計
ルールの検証を行なうDRC(Design Rule Check )
や、電源等の短絡、切断や入力ゲートの開放などの電気
的なルールの検証を行なうERC(Electrical Rule Ch
eck )がある。
2. Description of the Related Art An IC is designed in various stages. FIG. 1 shows from the functional design to the layout design in the design flow. In the design process of the functional design a, the logic design b, the circuit design c, and the layout design d, usually, software for verification is prepared, respectively, which contributes to improvement of reliability of each design process and reduction of man-hours. ing. The functional design a is a process of determining a functional component, creating a functional block diagram, determining a control method, and the like based on the functional specification, and performs a functional simulation e to confirm a functional operation. The logic design b is a step of implementing a logic configuration and defining a connection relationship between gates so as to satisfy the logic specification determined by the function design a. Logic simulation f performed by the logic simulator
Implements on the simulator a netlist of logic gates according to the rules of the logic simulator, and confirms by software processing whether an output signal as expected is obtained for an input signal given as appropriate. . After the completion of the logic design b, the layout design d may be performed via the circuit design c, or the layout design d may be performed immediately from the logic gate level. The circuit design c is a so-called transistor-level design, and is a step of combining elements such as resistors and capacitors and determining the parameters and connection relations of those elements. There is a circuit simulation g as a software for verifying a circuit design.
This is a program that performs AC and transient analysis. The layout design d is a process of deciding the arrangement of elements such as transistors or blocks composed of them according to the result of the logical design b or the circuit design c, and performing wiring between them. There are various types of verification programs h in the layout design d, but a typical one is a DRC (Design Rule Check) for verifying geometric design rules such as a minimum line width and a minimum interval of a pattern due to manufacturing restrictions.
(Electrical Rule Ch) that verifies electrical rules such as short circuit, disconnection of power supply, and opening of input gate.
eck).

【0003】上述のような設計の流れの中で、例えば、
論理設計bの終了後、レイアウト設計dを行なったとす
る。このとき、論理設計上問題がなく、その結果を用い
てレイアウト設計を行なっても、レイアウト、即ち、論
理ゲートの配置位置とその間の配線の長短によって、寄
生素子が生じてしまうことがある。つまり、配線抵抗、
配線容量、配線インダクタンスである。これら寄生素子
による遅延時間が短く、回路動作上問題のない場合はい
いが、寄生素子によって生じた遅延時間によって誤動作
を起こしてしまうようなときは、レイアウト設計dをや
り直さなければならなくなる。
In the design flow described above, for example,
It is assumed that the layout design d is performed after the completion of the logical design b. At this time, there is no problem in the logic design, and even if the layout design is performed using the result, a parasitic element may be generated depending on the layout, that is, the layout position of the logic gates and the length of the wiring therebetween. That is, the wiring resistance,
These are wiring capacitance and wiring inductance. It is good if the delay time due to these parasitic elements is short and there is no problem in circuit operation. However, if a malfunction occurs due to the delay time caused by the parasitic elements, the layout design d must be redone.

【0004】このような場合、遅延時間を考慮した検証
を行なって、遅延時間による不備が生じているかどうか
を確認する。この遅延時間を考慮にいれた検証がタイミ
ングシミュレーションである。従来から行なわれている
タイミングシミュレーションの方式を、図2にフローチ
ャートで示している。ステップ#105で上述の機能設計a
を行なう。この機能設計結果をもとに、ステップ#110で
機能シミュレーションe用のネットリスト(e)を作成
する。ネットリスト(e)は、機能ブロックの接続関係
(ネット)を表わすもので、これを入力として、ステッ
プ#115で機能シミュレーションeを実行する。機能シミ
ュレーションeの結果が期待通りでない場合、機能設計
aと機能シミュレーションeを機能が満足できるまで繰
り返す。続いて、ステップ#120では、機能設計a及び機
能シミュレーションeの結果をもとに論理設計bを行な
う。この結果から、ステップ#125で論理シミュレーショ
ンf用のネットリスト(f)を作成する。ネットリスト
(f)は論理ゲートの接続関係を表わす。これを入力と
して、ステップ#130で論理シミュレーションfを実行す
る。ここでも機能設計工程と同様に、論理シミュレーシ
ョンfの結果が期待通りでない場合、論理設計bと論理
シミュレーションfを機能が満足できるまで繰り返す。
その後、論理設計b及び論理シミュレーションeの結果
をふまえて、ステップ#135でレイアウト設計dを行な
う。レイアウト設計dを終えると、ステップ#140で配線
抵抗などの寄生素子の抽出を行なう。ステップ#145で、
寄生素子を等価回路に置き換えて入力情報に含めた回路
シミュレーションg用のネットリスト(g)を作成す
る。これを入力として、ステップ#150で回路シミュレー
ションgを実行する。この回路シミュレーションgの結
果により、ステップ#155で寄生素子による遅延時間が問
題となるかどうかを見て、問題である場合はステップ#1
35に戻りレイアウト設計をやり直し、問題にならなけれ
ば設計終了とする。
[0004] In such a case, verification is performed in consideration of the delay time, and it is confirmed whether or not there is a defect due to the delay time. Verification taking this delay time into account is timing simulation. FIG. 2 is a flowchart showing a conventional timing simulation method. In step # 105, the above function design a
Perform Based on the result of the functional design, a netlist (e) for a functional simulation e is created in step # 110. The net list (e) represents the connection relationship (net) of the functional blocks, and receives this as an input to execute a functional simulation e in step # 115. If the result of the function simulation e is not as expected, the function design a and the function simulation e are repeated until the function is satisfied. Subsequently, in step # 120, a logical design b is performed based on the results of the functional design a and the functional simulation e. From this result, a net list (f) for the logic simulation f is created in step # 125. The netlist (f) represents the connection relationship of the logic gate. With this as an input, a logic simulation f is executed in step # 130. Here, similarly to the function design process, if the result of the logic simulation f is not as expected, the logic design b and the logic simulation f are repeated until the function is satisfied.
Thereafter, based on the results of the logical design b and the logical simulation e, a layout design d is performed in step # 135. After finishing the layout design d, in step # 140, a parasitic element such as a wiring resistance is extracted. In step # 145,
A netlist (g) for a circuit simulation g in which the parasitic element is replaced with an equivalent circuit and included in the input information is created. With this as an input, a circuit simulation g is executed in step # 150. Based on the result of the circuit simulation g, it is determined whether or not the delay time due to the parasitic element is a problem in step # 155.
Return to 35 and re-design the layout. If there is no problem, end the design.

【0005】従来のタイミング検証の方式としては、図
2に示したように、 (1)配線容量、配線抵抗等をトランジスタレベルのネ
ットリストに戻し、大規模回路に対応した回路シミュレ
ータ(アナログシミュレータあるいはスイッチングシミ
ュレータ)で解析を行ない、タイミングの検証を行な
う。という方式以外に、例えば、次の2つがある。 (2)配線容量とドライブゲートの出力インピーダンス
より、CR時定数を求めて、各ゲートの遅延時間を求め
る。それを論理シミュレータにフィードバックしてタイ
ミングの検証を行なう。 (3)レイアウト設計の前に各配線の許容できる最大遅
延時間を決めておき、その時間以上の遅延が生じないよ
う配線長を考慮して、レイアウト設計を行なう方法で、
遅延時間を考慮しているが、実際にタイミングシミュレ
ーションは行なっていない。
[0005] As a conventional timing verification method, as shown in FIG. 2, (1) wiring capacitance, wiring resistance, etc. are returned to a transistor-level netlist, and a circuit simulator (analog simulator or analog simulator) corresponding to a large-scale circuit is used. Analysis is performed by a switching simulator to verify the timing. For example, there are the following two methods. (2) The CR time constant is determined from the wiring capacitance and the output impedance of the drive gate, and the delay time of each gate is determined. This is fed back to the logic simulator to verify the timing. (3) A maximum allowable delay time of each wiring is determined before the layout design, and the layout design is performed in consideration of the wiring length so that a delay longer than that time is not generated.
Although the delay time is taken into consideration, the timing simulation is not actually performed.

【0006】[0006]

【発明が解決しようとする課題】従来の方式の問題点
を、上述の番号に従って述べる。まず、(1)では、大
規模ICでは大量のトランジスタレベルでのネットリス
ト情報を一括して解析する必要が生じるため、大容量の
記憶装置と大きな演算能力を持つ計算機が必要となる。
また、機能設計、論理設計時に行なわれたシミュレーシ
ョンとのインターフェイスが合わず、それぞれの結果の
比較が行ないにくかった。(2)の方式では、同一配線
上のゲートの遅延時間はすべて同じになり、配置上の差
異が表現できなかった。さらに、(3)の方式では、
(2)と同様の問題点に加え、以下の欠点があった。即
ち、レイアウト設計時に配線長の制限が加わり、この制
限を満足するマスクパターンを作成するのが困難にな
る。また、この制限に対応するために各ゲート能力を大
きくする必要がでてくる場合もあった。本発明は、この
ような問題を解決し、同一配線上のゲートでも配置位置
の違いが生じる遅延時間の計算が行なえ、かつ検証の時
間が短くて済むタイミングシミュレーション方式を提供
することを目的とする。
Problems of the conventional system will be described according to the above-mentioned numbers. First, in the case of (1), a large-scale IC needs to collectively analyze a large amount of netlist information at a transistor level, so that a large-capacity storage device and a computer having a large arithmetic capability are required.
Also, the interface with the simulation performed at the time of functional design and logic design did not match, and it was difficult to compare the respective results. In the method (2), the delay times of the gates on the same wiring are all the same, and a difference in arrangement cannot be expressed. Furthermore, in the method of (3),
In addition to the same problems as (2), there are the following disadvantages. That is, the wiring length is restricted during the layout design, and it is difficult to create a mask pattern that satisfies the restriction. In some cases, it is necessary to increase each gate capability to cope with this limitation. An object of the present invention is to solve such a problem and to provide a timing simulation method capable of calculating a delay time that causes a difference in arrangement position even for gates on the same wiring, and shortening the verification time. .

【0007】[0007]

【課題を解決するための手段】上記目的を達成するた
め、本発明は、繰り返し部分の多い半導体集積回路を機
能設計、論理設計、及びレイアウト設計を用いて設計す
る際に寄生素子による遅延時間を考慮して設計の検証を
行なうタイミングシミュレーション方法であって、半導
体集積回路のレイアウト設計に伴なって生じる寄生素子
による遅延時間について、前段ゲートからそれに続く後
段ゲートまでの配線においてノード毎に電圧の立ち上が
り、立ち下がり波形を計算して求め、それらの波形が次
段ゲートのスレショールド電圧を切るまでの時間を遅延
時間として前段ゲートから各ノードまでの遅延時間を
めるようにしている。そして、前記のようにして求めた
遅延時間情報を、機能シミュレータあるいは論理シミュ
レータの入力情報としてフィードバックするようにして
いる。
To achieve the above object, the present invention provides a semiconductor integrated circuit having a large number of repetitive parts.
Design using functional design, logical design, and layout design.
Design delay in consideration of delay time due to parasitic elements
This is a timing simulation method to calculate the rising and falling waveforms of the voltage for each node in the wiring from the preceding gate to the succeeding gate, with respect to the delay time caused by the parasitic element caused by the layout design of the semiconductor integrated circuit. Te calculated, determined delay time until each node from the previous gate time to those waveforms off threshold voltage of the next stage gate as a delay time
I am trying to do it. Then, the delay time information obtained as described above is fed back as input information of the function simulator or the logic simulator.

【0008】[0008]

【作用】このようにすると、個々のゲート別に遅延時間
を計算しているので、同一配線上のゲートでも配置位置
の差異が遅延時間に反映される。従って、精度のよいタ
イミングシミュレーションが行なえ、レイアウト設計の
修正も精度よく行なうことができる。また、遅延時間情
報を機能シミュレータまたは論理シミュレータの入力と
してフィードバックし、機能または論理シミュレーショ
ンを行なうことができるので、回路シミュレーションを
行なう場合に比べ、大幅な実行時間の短縮が図れるとと
もに、結果の解析が容易に行なえる。
In this way, since the delay time is calculated for each gate, the difference in the arrangement position is reflected in the delay time even for gates on the same wiring. Therefore, accurate timing simulation can be performed, and the layout design can be corrected with high accuracy. In addition, since the delay time information can be fed back as an input to the function simulator or logic simulator to perform function or logic simulation, the execution time can be greatly reduced compared to the case of performing circuit simulation, and the results can be analyzed. Easy to do.

【0009】[0009]

【実施例】以下、本発明の実施例を図面を参照しつつ、
説明する。図3に、本発明を実施した遅延時間の計算方
法を示す。インバータINV1、INV2、INV3
と、抵抗R1、R2及び容量C1、C2、C3から成る
(a)の回路について遅延時間を計算したい場合、ノー
ドN1、N2、N3のそれぞれの電圧VN1、VN2、VN3の立ち
上がり、立ち下がり波形を計算し、次段ゲートのスレシ
ョールド電圧を切る時間を遅延時間として、前記抵抗、
容量及び電源PSから成る(b)に示すような等価回路と
する。より詳しく説明すると、インバータ(ゲート)I
NV1からは例えばパルスが出力されるので、その立ち
上がり、立ち下がりがノードN1、N2、N3でどの位、遅れ
るかを計算する訳であるが、今、ここで、立ち上がりの
場合について、図3(c)を参照して説明する。インバ
ータINV1の出力電圧は容量C1を充電するので、ノ
ードN1の電圧は図3(c)において、αの如くなる。ま
た、ノードN2に生じる電圧は、容量C以外に、更に抵抗
R1、容量C2による遅延も受けるので、βの如く遅延
して上昇する。同様にノードN3に生じる電圧は、容量
C1、C2、C3、抵抗R1、R2、R3による遅延を
受けるので、γの如く遅延する。ところで、上記α、
β、γの特性において、どの点を遅延時間とするかは、
後続するインバータINV2、INV3のスレショール
ド電圧EOを基準に決める。従って、スレショールド電
圧EOを切る点の時間T1、T2、T3がノードN1、
N2、N3での電圧の立ち上がり時の遅延時間になる。
尚、インバータINV2、INV3のスレショールド電
圧は同一のIC内に形成される同一の素子であるので、
スレショールド電圧は同じである。電圧の立ち下がりに
ついても同様にして求められる。各配線毎に上述のよう
な計算を行ない、機能シミュレータもしくは理論シミュ
レータの入力記述言語の遅延時間を記述する項にフィー
ドバックすれば、遅延時間情報が組み込まれた機能及び
理論シミュレーション、即ち、タイミングシミュレーシ
ョンが行なえる。
Embodiments of the present invention will be described below with reference to the drawings.
explain. FIG. 3 shows a method for calculating a delay time according to the present invention. Inverters INV1, INV2, INV3
And calculating the delay time for the circuit (a) composed of the resistors R1, R2 and the capacitors C1, C2, C3, the rising and falling waveforms of the voltages VN1, VN2, VN3 at the nodes N1, N2, N3 are Calculate, the time to cut the threshold voltage of the next stage gate as delay time, said resistance,
An equivalent circuit as shown in FIG. More specifically, the inverter (gate) I
For example, since a pulse is output from the NV1, it is necessary to calculate how much the rise and fall of the pulse are delayed at the nodes N1, N2 and N3. Now, in the case of the rise, FIG. This will be described with reference to c). Since the output voltage of the inverter INV1 charges the capacitor C1, the voltage of the node N1 becomes like α in FIG. In addition, the voltage generated at the node N2 is delayed by the resistance R1 and the capacitance C2 in addition to the capacitance C, and thus increases with a delay like β. Similarly, the voltage generated at the node N3 is delayed by γ because it is delayed by the capacitors C1, C2, C3 and the resistors R1, R2, R3. By the way, the above α,
In the characteristics of β and γ, which point is the delay time
It is determined based on the threshold voltage E O of the succeeding inverters INV2 and INV3. Accordingly, the times T1, T2, and T3 at which the threshold voltage E O is cut off correspond to the nodes N1,
This is the delay time when the voltage rises at N2 and N3.
Since the threshold voltages of the inverters INV2 and INV3 are the same elements formed in the same IC,
The threshold voltage is the same. Ru is determined in the same manner also for the fall of the voltage. By performing the above calculation for each wiring and feeding back to the term describing the delay time of the input description language of the functional simulator or the theoretical simulator, the function incorporating the delay time information and the theoretical simulation, that is, the timing simulation can be performed. I can do it.

【0010】図4に、本発明を実施したタイミングシミ
ュレーションを行なっている設計のフローチャートを示
す。レイアウト設計後の寄生素子抽出までは、図2のフ
ローチャートとほぼ同様である。ステップ#205で機能設
計aを行なう。この結果をもとに、ステップ#210で機能
シミュレーションe用のネットリスト(e)を作成し、
これを入力として、ステップ#215で機能シミュレーショ
ンeを実行する。続いて、ステップ#220では、機能設計
a及び機能シミュレーションeの結果をもとに論理設計
bを行なう。この結果から、ステップ#225で論理シミュ
レーションf用のネットリスト(f)を作成し、これを
入力として、ステップ#230で論理シミュレーションfを
実行する。その後、論理設計b及び論理シミュレーショ
ンeの結果をふまえて、ステップ#235でレイアウト設計
を行なう。レイアウト設計が終わると、ステップ#240で
配線抵抗などの寄生素子の抽出を行なう。ステップ#245
で、前記ネットリスト(e)及び(f)の情報と、抽出
された寄生素子の情報から図3のように遅延時間を計算
する。ステップ#250では、この後、機能シミュレーショ
ンを行なうかどうかを判定し、機能シミュレーションを
行なう場合は、ステップ#210に戻り、遅延時間情報を組
み込んだネットリスト(e)を作成し、ステップ#215以
降を実行する。ステップ#250で機能シミュレーションを
行なわないとした場合は、ステップ#255で論理シミュレ
ーションを実行するかどうかを判定する。論理シミュレ
ーションを行なうとした場合は、ステップ#225に戻り、
遅延時間情報を組み込んだネットリスト(f)を作成
し、ステップ#230以降を実行する。ステップ#245で求め
た遅延時間が、回路上問題にならないときは、レイアウ
ト設計をやり直す必要はなく、タイミングシミュレーシ
ョン等も不要であるので、ステップ#260で設計を終了す
る。
FIG. 4 shows a flow chart of a design for performing a timing simulation according to the present invention. The process up to the extraction of the parasitic element after the layout design is almost the same as the flowchart of FIG. In step # 205, function design a is performed. Based on this result, a netlist (e) for functional simulation e is created in step # 210,
With this as an input, function simulation e is executed in step # 215. Subsequently, in step # 220, a logical design b is performed based on the results of the functional design a and the functional simulation e. Based on this result, a net list (f) for the logic simulation f is created in step # 225, and the logic list f is executed in step # 230 by using this as an input. Then, based on the results of the logic design b and the logic simulation e, a layout design is performed in step # 235. After the layout design, in step # 240, parasitic elements such as wiring resistance are extracted. Step # 245
Then, the delay time is calculated as shown in FIG. 3 from the information of the netlists (e) and (f) and the extracted information of the parasitic element. In step # 250, it is determined whether or not to perform the function simulation. If the function simulation is to be performed, the process returns to step # 210, and a netlist (e) incorporating delay time information is created. Execute If functional simulation is not performed in step # 250, it is determined in step # 255 whether a logical simulation is performed. If logic simulation is to be performed, return to step # 225
A netlist (f) incorporating the delay time information is created, and step # 230 and subsequent steps are executed. If the delay time obtained in step # 245 does not cause a problem on the circuit, there is no need to re-design the layout and no timing simulation or the like is necessary, so the design is ended in step # 260.

【0011】尚、本発明のタイミングシミュレーション
方式は、半導体集積回路だけでなく、プリント基板の設
計や各種電子機器の設計にも応用できる。
The timing simulation method of the present invention can be applied not only to semiconductor integrated circuits but also to the design of printed circuit boards and the design of various electronic devices.

【0012】[0012]

【発明の効果】以上説明したように、本発明によれば、
レイアウト設計によって生じた配線抵抗や配線容量など
の寄生素子による遅延時間について、前段ゲートからそ
れに続く後段ゲートまでの配線においてノード毎に電圧
の立ち上がり、立ち下がり波形を計算して求め、それら
の波形が次段ゲートのスレショールド電圧を切るまでの
時間を遅延時間として前段ゲートから各ノードまでの
延時間を求めて、タイミング検証を行なうので、精度の
よい検証を行なうことができる。このようにすると、繰
り返しパターンが多いレイアウトについては計算時間を
大幅に短縮できる。さらに、各配線単位に個別に計算で
きるため、並列処理が容易に行なえ、より一層の時間短
縮が図れる。また、遅延時間情報は、機能シミュレータ
及び論理シミュレータの入力情報となるので、機能設計
や論理設計時にシミュレータに用いた設定をそのままタ
イミングシミュレーション時に使用でき、効率がよい。
さらに、結果の解析等が容易に行なえるという長所もあ
る。
As described above, according to the present invention,
The delay time due to parasitic elements such as wiring resistance and wiring capacitance caused by the layout design is calculated by calculating the rising and falling waveforms of the voltage for each node in the wiring from the preceding gate to the succeeding subsequent gate. seeking slow <br/> length of time from the preceding gate to the respective nodes as the delay time the time until off threshold voltage of the next stage gate, because the timing verification is possible to better verify accurate it can. In this way, the Repetitive <br/> Ri returns pattern often layout can significantly reduce the computation time. Further, since calculations can be performed individually for each wiring unit, parallel processing can be easily performed, and the time can be further reduced. Further, since the delay time information is input information of the function simulator and the logic simulator, the settings used for the simulator at the time of function design and logic design can be used as they are in the timing simulation, which is efficient.
Furthermore, there is an advantage that the analysis of the result can be easily performed.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 ICの設計の流れを示す図。FIG. 1 is a diagram showing a flow of IC design.

【図2】 従来のタイミングシミュレーションの方式を
示す図。
FIG. 2 is a diagram showing a conventional timing simulation method.

【図3】 本発明を実施した遅延時間の計算方法を示す
図。
FIG. 3 is a diagram showing a method for calculating a delay time according to the present invention.

【図4】 本発明を実施したタイミングシミュレーショ
ンの方式を示す図。
FIG. 4 is a diagram showing a timing simulation method embodying the present invention.

【符号の説明】[Explanation of symbols]

a 機能設計 b 論理設計 c 回路設計 d レイアウト設計 f 機能シミュレーション e 論理シミュレーション f 回路シミュレーション g レイアウト検証 INV1 トランジスタ INV2 トランジスタ INV3 トランジスタ R1 抵抗 R2 抵抗 C1 容量 C2 容量 C3 容量 N1 ノード N2 ノード N3 ノード PS 電源 a Functional design b Logical design c Circuit design d Layout design f Functional simulation e Logical simulation f Circuit simulation g Layout verification INV1 transistor INV2 transistor INV3 transistor R1 resistance R2 resistance C1 capacitance C2 capacitance C3 capacitance N1 node N2 node N3 node PS power supply

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 繰り返し部分の多い半導体集積回路を機
能設計、論理設計、及びレイアウト設計を用いて設計す
る際に寄生素子による遅延時間を考慮して設計の検証を
行なうタイミングシミュレーション方法であって、 半導体集積回路のレイアウト設計に伴なって生じる寄生
素子による遅延時間について、 前段ゲートからそれに続く後段ゲートまでの配線におい
てノード毎に電圧の立ち上がり、立ち下がり波形を計算
して求め、それらの波形が次段ゲートのスレショールド
電圧を切るまでの時間を遅延時間として前段ゲートから
各ノードまでの遅延時間を求めることを特徴とするタイ
ミングシミュレーション方法
1. A semiconductor integrated circuit having a large number of repetitive parts.
Design using functional design, logical design, and layout design.
Design delay in consideration of delay time due to parasitic elements
This is a timing simulation method that calculates the rising and falling waveforms of the voltage for each node in the wiring from the previous gate to the subsequent gate, for the delay time due to the parasitic element that occurs with the layout design of the semiconductor integrated circuit. From the previous gate as the delay time until those waveforms cross the threshold voltage of the next gate.
Timing simulation method characterized by determining a delay time to each node.
【請求項2】 前記のようにして求めた遅延時間を、機
能シミュレータあるいは論理シミュレータの入力情報と
してフィードバックすることを特徴とする請求項1に記
載のタイミングシミュレーション方法
2. The timing simulation method according to claim 1, wherein the delay time obtained as described above is fed back as input information of a function simulator or a logic simulator.
JP24439191A 1991-08-28 1991-08-28 Timing simulation method Expired - Fee Related JP3185892B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24439191A JP3185892B2 (en) 1991-08-28 1991-08-28 Timing simulation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP24439191A JP3185892B2 (en) 1991-08-28 1991-08-28 Timing simulation method

Publications (2)

Publication Number Publication Date
JPH0554097A JPH0554097A (en) 1993-03-05
JP3185892B2 true JP3185892B2 (en) 2001-07-11

Family

ID=17117978

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24439191A Expired - Fee Related JP3185892B2 (en) 1991-08-28 1991-08-28 Timing simulation method

Country Status (1)

Country Link
JP (1) JP3185892B2 (en)

Also Published As

Publication number Publication date
JPH0554097A (en) 1993-03-05

Similar Documents

Publication Publication Date Title
Saleh et al. Clock skew verification in the presence of IR-drop in the power distribution network
US7062737B2 (en) Method of automated repair of crosstalk violations and timing violations in an integrated circuit design
US8122404B2 (en) Performing a statistical timing abstraction for a hierarchical timing analysis of VLSI circuits
US7549134B1 (en) Method and system for performing crosstalk analysis
CN106326510B (en) Verifying clock tree delays
US6182269B1 (en) Method and device for fast and accurate parasitic extraction
US20050066297A1 (en) System and method for correlated process pessimism removal for static timing analysis
US20030145296A1 (en) Formal automated methodology for optimal signal integrity characterization of cell libraries
US6718523B2 (en) Reduced pessimism clock gating tests for a timing analysis tool
EP2529283A2 (en) Zone-based leakage power optimization
US6751744B1 (en) Method of integrated circuit design checking using progressive individual network analysis
JP2005158075A (en) Integrated circuit design adapted to interconnection
JPH10162040A (en) Manufacture of large-scale integrated circuit device, and large-scale integrated circuit device
US6550041B1 (en) Method and apparatus for evaluating the design quality of network nodes
US7747972B2 (en) Methods and apparatuses for timing analysis of electronic circuits
US6560571B1 (en) Method and apparatus for prioritizing the order in which checks are performed on a node in an integrated circuit
US11574101B2 (en) Techniques for providing optimizations based on categories of slack in timing paths
JP2004185374A (en) Crosstalk check method
US6389578B1 (en) Method and apparatus for determining the strengths and weaknesses of paths in an integrated circuit
US6138267A (en) Reliability verification device for detecting portion of design that may cause malfunction of semiconductor integrated circuit and its verifying method
US6449578B1 (en) Method and apparatus for determining the RC delays of a network of an integrated circuit
JP3185892B2 (en) Timing simulation method
US6195787B1 (en) Layout designing method for semiconductor integrated circuits
US20220327269A1 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
JP2004054522A (en) Method for evaluating simultaneous switching noise of semiconductor device

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees