JP2930770B2 - Design method of semiconductor integrated circuit - Google Patents

Design method of semiconductor integrated circuit

Info

Publication number
JP2930770B2
JP2930770B2 JP3136822A JP13682291A JP2930770B2 JP 2930770 B2 JP2930770 B2 JP 2930770B2 JP 3136822 A JP3136822 A JP 3136822A JP 13682291 A JP13682291 A JP 13682291A JP 2930770 B2 JP2930770 B2 JP 2930770B2
Authority
JP
Japan
Prior art keywords
wiring
net
cell
area
determined
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3136822A
Other languages
Japanese (ja)
Other versions
JPH04360555A (en
Inventor
睦典 五十嵐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP3136822A priority Critical patent/JP2930770B2/en
Publication of JPH04360555A publication Critical patent/JPH04360555A/en
Application granted granted Critical
Publication of JP2930770B2 publication Critical patent/JP2930770B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】この発明は、計算機を用いたLS
I設計に関し、特に回路の動作特性の最適化を行うこと
ができる半導体集積回路の設計方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an LS using a computer.
More particularly, the present invention relates to a method of designing a semiconductor integrated circuit capable of optimizing an operation characteristic of a circuit.

【0002】[0002]

【従来の技術】ゲートアレイ方式(マスタースライス方
式とも呼ばれる)は、半導体集積回路の設計手法として
従来より広く知られている。
2. Description of the Related Art A gate array system (also called a master slice system) has been widely known as a method of designing a semiconductor integrated circuit.

【0003】この方法は、マスターチップ上に一定数の
トランジスタからなるベーシックセルおよび入出力セル
を規則正しく並べ、ユーザの仕様に合うようにこれらゲ
ート間を適当に配線し、所望の機能を持つLSIを完成
するものである。
According to this method, basic cells and input / output cells consisting of a fixed number of transistors are regularly arranged on a master chip, these gates are appropriately wired to meet the specifications of a user, and an LSI having a desired function is formed. It is completed.

【0004】一般に、ベーシックセルが単に並べられて
いるだけの配線工程前のウェハをマスターウェハと呼
び、これに配線を敷設して個々のLSIとしての専用回
路化を行なうことをパーソナライズと呼んでいる。
In general, a wafer before the wiring process in which basic cells are simply arranged is called a master wafer, and laying wiring on the wafer and forming a dedicated circuit as an individual LSI is called personalization. .

【0005】このようなゲートアレイ式LSIにおいて
は、上述したベーシックセルを適当な数だけ選択してそ
れらを配線することにより、インバータ、NAND、フリッ
プフロップ等の論理セル(以下、セルと略す)として自
由に実現することができる。これら標準的に使用するセ
ルの配線パターン(これをマクロセルと呼ぶ)は全てラ
イブラリとして多数用意されており、それらを適当に選
択して使用することにより効率の良い設計を行うことが
可能となっている。
In such a gate array type LSI, by selecting an appropriate number of the above-described basic cells and arranging them, logic cells such as inverters, NANDs, and flip-flops (hereinafter abbreviated as cells) are formed. It can be realized freely. Many of these standard cell wiring patterns (referred to as macro cells) are all prepared as a library, and by selecting and using them appropriately, efficient design can be performed. I have.

【0006】図8は、マスターウェハを構成するチップ
の一例を示す説明図である。
FIG. 8 is an explanatory diagram showing an example of a chip constituting a master wafer.

【0007】同図に示されるように、チップ81の外周
縁部は入出力セル配置領域82となっており、またこの
入出力セル配置領域82で囲まれた内部はアレイ領域8
3となっている。入出力セル配置領域82には、図示し
ないが複数の入出力セルが配置される。
As shown in FIG. 1, the outer peripheral portion of the chip 81 is an input / output cell arrangement area 82, and the inside surrounded by the input / output cell arrangement area 82 is an array area 8
It is 3. Although not shown, a plurality of input / output cells are arranged in the input / output cell arrangement area 82.

【0008】ユーザは実現すべきLSIの回路に合わせ
て必要な種類のマクロセルを必要な数だけアレイ領域8
3上に配置し、回路接続情報に従ってセル上の端子間を
配線することにより、パーソナライズを行うことができ
るようになっている。
[0008] The user needs a required number of macrocells of the required number in the array area 8 according to the circuit of the LSI to be realized.
3 and wiring between terminals on the cell in accordance with the circuit connection information, thereby enabling personalization.

【0009】この時、ゲート敷き詰め型のゲートアレイ
式LSIでは、アレイ領域83内の全ての場所が配置領
域として利用することができ、任意の位置にセルを置く
ことが可能である。また、端子間の配線はセルが置かれ
ていない領域およびセル上の配線敷設可能な領域を利用
して行なわれる。
At this time, in the gate-layout type gate array type LSI, all locations in the array area 83 can be used as an arrangement area, and cells can be placed at arbitrary positions. The wiring between the terminals is performed by using the area where the cell is not placed and the area where the wiring can be laid on the cell.

【0010】ところで、高集積かつ大規模なゲートアレ
イの配置配線処理では人手による設計はもはや困難であ
り、通常、計算機を用いたレイアウト手法が採用されて
いる。
By the way, it is difficult to design by hand in the arrangement and wiring processing of a highly integrated and large scale gate array, and a layout method using a computer is usually employed.

【0011】このレイアウトでは、高い集積度の配置配
線結果を得ることを要求され、かつユーザの指定どおり
の動作を保証することが必要である。このうち、前者の
集積度向上のための方策は従来より多数の方法が提案さ
れており、実績のあるものも多い。しかし、高集積度に
加えて、回路の動作保証や高い動作周波数が要求される
ような場合については、従来より提案されている設計装
置または設計手法では、レイアウトの十分な最適化が達
成できていない。
In this layout, it is required to obtain a result of arrangement and wiring with a high degree of integration, and it is necessary to guarantee the operation as specified by the user. Among these, many methods have been proposed as the former for improving the degree of integration, and many of them have a proven track record. However, in cases where circuit operation assurance and a high operating frequency are required in addition to high integration, sufficient optimization of the layout can be achieved by the conventionally proposed design apparatus or design method. Absent.

【0012】一般に、回路の動作周波数を向上させるた
めには、最大遅延時間の要求仕様に対する遅延時間の余
裕度の小さい信号経路(以下、クリティカルパスとい
う)の動作性能を最適化させることが有効である。通常
このクリティカルパスの特性は、レイアウトの初期の段
階、すなわち、チップ上におけるROM/RAM等や機
能的にまとまった複数のセルからなるブロックの位置を
決定するフロアプランやセルの詳細な位置を決定する配
置処理といった段階でほぼ決定され、配線時に大きく変
化することは少ない。従って、回路の動作特性に関する
配慮を、セルの配置の段階において十分なされる必要が
ある。
In general, in order to improve the operating frequency of a circuit, it is effective to optimize the operation performance of a signal path (hereinafter referred to as a critical path) having a small delay time margin with respect to a required specification of a maximum delay time. is there. Usually, the characteristics of the critical path are determined at an early stage of the layout, that is, a floor plan for determining the position of a block composed of a plurality of functionally integrated cells such as ROM / RAM on a chip and a detailed position of the cell. This is almost determined at the stage of the placement process to be performed, and it is unlikely that it will change greatly during wiring. Therefore, it is necessary to give due consideration to the operation characteristics of the circuit at the stage of arranging the cells.

【0013】動作特性の最適化手法として、回路のタイ
ミング解析結果をもとに、動作上制約となるネット(セ
ル間の配線経路)やパスを抽出し、これらに対して重み
や処理上の優先度を付加してレイアウトを行う手法が提
案されている(A.E.Dunlop,et al,“Chip Layout Optim
ization Using Critical Path Weighting",Proc.21stDA
C ,pp.133-136,1984.)。
As a method of optimizing the operation characteristics, a net (a wiring route between cells) or a path which becomes an operation constraint is extracted based on the result of the timing analysis of the circuit, and weights and processing priority are given to these. A method of performing layout by adding degrees has been proposed (AEDunlop, et al, “Chip Layout Optim
ization Using Critical Path Weighting ", Proc. 21stDA
C, pp. 133-136, 1984.).

【0014】しかし、この手法では個々のネットに対し
て制約を付加するものであり、クリティカルパス全体を
通じての最適化が難しい。このため、他の配線を避けな
がらパス経路が決定されるので、パスの折れ曲がりや蛇
行を防止できず、パス経路が複雑となる。
However, in this method, a constraint is added to each net, and it is difficult to optimize the entire critical path. For this reason, since the path route is determined while avoiding other wiring, the bending and meandering of the path cannot be prevented, and the path route becomes complicated.

【0015】一方、カットラインによって配線領域を2
分割する、2分割改良を基本とした配置アルゴリズムの
中に、タイミング解析をもとにしてネットの配線長の制
約条件を決定し、このネット長制約から見積もられる許
容されるネットの広がりと分割対象となっている領域の
大きさとを比較して、両者の大小関係に応じた重みをネ
ット付加するといった手法が提案されている(Yasushi
Ogawa,et al,"Efficient Placement Algorithms Optimi
zing Delay for High-Speed ECL MastersliceLSI's",Pr
oc.23rd DAC,pp.404-410,1986.)。
On the other hand, a wiring area is defined by two cut lines.
In the placement algorithm based on the two-part improvement, the constraints on the wiring length of the net are determined based on the timing analysis. A method has been proposed in which a comparison is made between the size of a region and a weight corresponding to the magnitude relationship between the two is added to the net (Yasushi
Ogawa, et al, "Efficient Placement Algorithms Optimi
zing Delay for High-Speed ECL Masterslice LSI's ", Pr
oc. 23rd DAC, pp. 404-410, 1986.).

【0016】しかし、この手法ではクリティカルパスが
多くのネットで構成される場合は、制約の数が極端に多
くなるため、分割改良の性能を低下し、集積度を著しく
損なってしなう。また、これについても個々のネットに
関して制約条件を付加する形態であるため、相互に制約
となっているクリティカルパス全体の最適化が難しい。
However, in this method, when the critical path is composed of many nets, the number of constraints becomes extremely large, so that the performance of the division improvement is reduced and the degree of integration is not significantly impaired. Also, in this case, since the constraint condition is added to each net, it is difficult to optimize the entire critical path mutually restricted.

【0017】同様に、外部から与えられたクリティカル
ネットの配線長制約を、MIN-CUT 配置手法の中に取り入
れた例として、(Masayuki Terai,et al,"A New Min-Cu
t Placement Algorithm for Timing Assurance Layout
Design Meeting Net LengthConsttaint",Proc.DAC,pp.9
6-102,1990.)があるが、やはりパスを構成する複数の
ネットを別々に扱っているため、前述の問題がある。
Similarly, as an example in which the wiring length constraint of a critical net given from the outside is incorporated into the MIN-CUT placement method, (Masayuki Terai, et al, "A New Min-Cu
t Placement Algorithm for Timing Assurance Layout
Design Meeting Net LengthConsttaint ", Proc.DAC, pp.9
6-102, 1990.). However, since the multiple nets that compose the path are handled separately, there is the above-mentioned problem.

【0018】図9は、最初に述べたネットやパスに重み
や優先度を付加してレイアウトを行う手法を説明するた
めの図である。
FIG. 9 is a diagram for explaining a method of laying out by adding weights and priorities to the nets and paths described first.

【0019】同図には、2つのパスPa、Pbが描かれ
ていて、セルa2はその両方の制約条件を受けているも
のとする。菱形の領域Ra,Rbは、セルa1,b1か
ら時間的に等距離な領域である。この時、パスPbから
見ると、セルa2は領域Rb内に収まっていなければな
らず、パスPaから見ると領域Ra内に位置していなけ
ればならない。すなわち、2つのタイミング制約を同時
に満たすには、セルa2は領域R内に置かれなければな
らない。ところが、仮にネットb12とネットa12の重み
付けを等しく取った場合、力学的な平衡点(引力がつり
合う点)はGであり、領域Rには含まれていない。
In FIG. 1, two paths Pa and Pb are drawn, and it is assumed that the cell a2 is subject to both constraints. The rhombic regions Ra and Rb are regions equidistant in time from the cells a1 and b1. At this time, the cell a2 must be within the area Rb when viewed from the path Pb, and must be positioned within the area Ra when viewed from the path Pa. That is, the cell a2 must be located in the region R to simultaneously satisfy the two timing constraints. However, if the weights of the net b12 and the net a12 are set equal, the mechanical equilibrium point (point at which the attractive force is balanced) is G and is not included in the region R.

【0020】このように、従来の手法では重みを付加さ
れたネットの配線長を短縮することで、そのネットの配
線遅延を小さくしているが、要求された動作特性を確実
に満たすことはできない。
As described above, in the conventional method, the wiring delay of the net to which the weight is added is reduced by shortening the wiring length of the net, but the required operation characteristics cannot be satisfied reliably. .

【0021】さらに、従来の手法にあっては、常にチッ
プ内の全てのクリティカルパスを対象として動作特性の
改善を行っていたため、大規模回路を扱う場合には処理
時間がかかると共に、動作特性が保証できない。
Furthermore, in the conventional method, the operation characteristics are always improved for all the critical paths in the chip. Therefore, when a large-scale circuit is handled, it takes a long processing time, and the operation characteristics are reduced. We cannot guarantee.

【0022】[0022]

【発明が解決しようとする課題】上述のように、従来の
設計方法にあっては、ネットごとに最適化しており、ク
リティカルパス全体の最適化がなされていなかった。こ
のため、動作特性を改善するには不十分であった。
As described above, in the conventional design method, optimization is performed for each net, and optimization of the entire critical path is not performed. For this reason, it was insufficient to improve the operation characteristics.

【0023】そこで、この発明は、上述の問題点に鑑み
なされたものであり、その目的とするところは、各クリ
ティカルパス間相互の制約条件を考慮しながら、クリテ
ィカルパス全体を最適化させることにより、要求される
動作特性を確実に保証することができる半導体集積回路
の設計方法を提供することにある。
Therefore, the present invention has been made in view of the above-mentioned problems, and an object of the present invention is to optimize the entire critical path while considering mutual constraints between the critical paths. It is another object of the present invention to provide a method for designing a semiconductor integrated circuit that can reliably guarantee required operation characteristics.

【0024】[0024]

【課題を解決するための手段】上記目的を達成するた
め、この発明は、半導体のチップ上に複数の論理セルを
配置して各論理セル間を配線する際に、最大遅延時間の
要求仕様に対する遅延時間の余裕度の小さい信号経路を
抽出し、抽出された信号経路に許容される遅延時間の上
限値を、この信号経路を構成する各ネットに分配し、分
配された遅延時間の上限値をもとに、各ネットに許容さ
れる配線長の上限値を決定し、決定された配線長の上限
値をもとに、前記信号経路の入力側及び出力側のそれぞ
れを基準とする、各ネットを構成する論理セルの最適存
在領域を求め、この最適存在領域内に前記論理セルの配
置位置を決定し、前記最適存在領域の大きさをもとに、
この最適存在領域に配置された論理セルによって構成さ
れるネットの配線処理の優先度を決定し、決定された優
先度をもとに、各論理セル間の配線処理を行うことを特
徴としている。
SUMMARY OF THE INVENTION In order to achieve the above object, the present invention relates to a method for arranging a plurality of logic cells on a semiconductor chip and wiring between the logic cells. A signal path with a small delay time margin is extracted, the upper limit value of the delay time allowed for the extracted signal path is distributed to each net constituting the signal path, and the upper limit value of the distributed delay time is determined. The upper limit value of the wiring length allowed for each net is determined based on the upper limit value of the determined wiring length. Determine the optimal existence area of the logic cell that constitutes, determine the arrangement position of the logic cell in this optimal existence area, based on the size of the optimal existence area,
It is characterized in that the priority of the wiring processing of the net constituted by the logic cells arranged in the optimum existence area is determined, and the wiring processing between the logic cells is performed based on the determined priority.

【0025】[0025]

【作用】この発明は、まず集積回路内のクリティカルパ
スを全て抽出し、このパスに許容される遅延時間の上限
値を、各ネットに均等に分配する。分配された遅延時間
の上限値に基づき、各ネットに許容される配線長の上限
値を決定する。決定されたネットの配線長の上限値か
ら、このパスの入力側及び出力側のそれぞれからみた、
ネットを構成する論理セルの最適存在領域を求める。そ
して、この最適存在領域の重心に論理セルの配置位置を
決定する。さらに、最適存在領域に配置された論理セル
によって構成されるネットの配線処理の優先度を、最適
存在領域の小さい順に高くし、高い優先度が付加された
ネットから配線処理を行っている。
According to the present invention, first, all the critical paths in the integrated circuit are extracted, and the upper limit value of the delay time allowed for this path is evenly distributed to each net. The upper limit value of the wiring length allowed for each net is determined based on the upper limit value of the distributed delay time. From the determined upper limit of the wiring length of the net, as seen from each of the input side and output side of this path,
The optimum existence area of the logic cell constituting the net is obtained. Then, the arrangement position of the logic cell is determined at the center of gravity of the optimum existence area. Further, the priority of the wiring processing of the net constituted by the logic cells arranged in the optimal existence area is set to be higher in the order of the smallest optimal existence area, and the wiring processing is performed from the net to which the higher priority is added.

【0026】[0026]

【実施例】以下、図面を参照しながらこの発明の実施例
を説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0027】図1は、本発明の半導体集積回路の設計方
法を実現する、セル自動配置装置のハードウェア構成を
示すブロック図である。
FIG. 1 is a block diagram showing a hardware configuration of an automatic cell placement apparatus which realizes a method of designing a semiconductor integrated circuit according to the present invention.

【0028】同図に示されるように、この装置はマイク
ロプロセッサを中心として構成されたCPU100によ
り統括されている。このCPU100のシステムバス1
01には、ビデオRAM102、CRTコントローラ1
03、磁気記憶装置105、RAM106、キーボード
107およびポインティング装置108が接続されてい
る。
As shown in FIG. 1, this apparatus is controlled by a CPU 100 mainly composed of a microprocessor. The system bus 1 of the CPU 100
01, a video RAM 102, a CRT controller 1
03, a magnetic storage device 105, a RAM 106, a keyboard 107, and a pointing device 108 are connected.

【0029】ビデオRAM102は、CRT104に表
示させるデータの格納エリアとなるもので、このデータ
はCPU100の制御によりCRTコントローラ103
へと適宜転送され、最終的にCRT104の画面に表示
される。
The video RAM 102 serves as a storage area for data to be displayed on the CRT 104. The data is stored in the CRT controller 103 under the control of the CPU 100.
And is finally displayed on the screen of the CRT 104.

【0030】磁気記憶装置105は、ハードディスク、
フロッピーディスク等で構成され、マクロセルに関する
各種データを記述したライブラリ等が格納される。さら
に、磁気記憶装置105は、後述するRAM106へロ
ードすべきプログラムやデータの入力装置としても使用
される。
The magnetic storage device 105 includes a hard disk,
It is composed of a floppy disk or the like, and stores a library and the like in which various data on the macro cell are described. Further, the magnetic storage device 105 is also used as an input device for programs and data to be loaded into the RAM 106 described later.

【0031】RAM106は、アプリケーションプログ
ラムの格納エリア、ワークエリア等として使用されるも
のである。このRAM106へのプログラム、データロ
ードは前述したごとく、磁気記憶装置105を構成する
フロッピーディスク、ハードディスク等から行なわれ
る。
The RAM 106 is used as a storage area for application programs, a work area, and the like. As described above, the programs and data are loaded into the RAM 106 from a floppy disk, a hard disk, or the like that forms the magnetic storage device 105.

【0032】キーボード107は、オペレータによる各
種の入力操作に使用されるものであり、後述する一括配
置モードと部分配置修正モードとの切り換えは、このキ
ーボード107からの指令により行なわれる。
The keyboard 107 is used for various input operations by the operator. Switching between a batch arrangement mode and a partial arrangement correction mode, which will be described later, is performed by an instruction from the keyboard 107.

【0033】ポインティング装置108はマウス、トラ
ックボール、ライトペン、タブレット等で構成され、オ
ペレータが画面上の特定位置を指定するために使用され
るものである。
The pointing device 108 includes a mouse, a trackball, a light pen, a tablet, and the like, and is used by an operator to specify a specific position on the screen.

【0034】図2は、図1に示したセル自動配置装置で
行われる配線処理の手順を示すフローチャートである。
FIG. 2 is a flowchart showing a procedure of a wiring process performed by the automatic cell placement apparatus shown in FIG.

【0035】この発明による設計方法には、全てのクリ
ティカルパスについて行う一括処理モード(ステップf
7)と、1本のクリティカルパスあるいはパス中の1部
分のみ行う部分処理修正モード(ステップf8〜f1
6)の2種類の動作モードが設けられてある。オペレー
タはこれらのモードの1つをキーボード107によって
択一的に選択できるようになっている。
The design method according to the present invention includes a batch processing mode (step f) for all critical paths.
7) and a partial processing correction mode (steps f8 to f1) in which only one critical path or one part in the path is performed.
6) Two operation modes are provided. The operator can alternatively select one of these modes by using the keyboard 107.

【0036】また、図3は、配線処理の際にCRT10
4の画面にパスやセルが表示された様子を表す簡略図で
ある。
FIG. 3 shows a CRT 10 during the wiring process.
FIG. 11 is a simplified diagram illustrating a state where a path or a cell is displayed on the screen of FIG.

【0037】以下に、この発明による配線処理を図2及
び図3に基づいて説明する。
The wiring process according to the present invention will be described below with reference to FIGS.

【0038】処理が開始されると、イニシャル処理によ
ってRAM106内の各種レジスタ、フラグ等の初期設
定が行なわれる(ステップf1)。
When the process is started, various registers and flags in the RAM 106 are initialized by an initial process (step f1).

【0039】次いで、現在のレイアウト状態を確認する
ため、回路全体の動作特性の解析が行われ、回路の動作
上で制約となる全てのクリティカルパスが抽出される
(ステップf2)。
Next, in order to confirm the current layout state, the operation characteristics of the entire circuit are analyzed, and all the critical paths that restrict the operation of the circuit are extracted (step f2).

【0040】この時、配線処理済みのレイアウト結果で
あれば、セル固有の内部遅延と実配線長を用いて算出さ
れた配線遅延をもとにして回路の動作解析が行われる。
実配線長を用いた場合、該ネットのディレイ値は、各配
線層それぞれのディレイの総和である。
At this time, if the layout result has been subjected to the wiring processing, the operation of the circuit is analyzed based on the wiring delay calculated using the internal delay specific to the cell and the actual wiring length.
When the actual wiring length is used, the delay value of the net is the sum of the delay of each wiring layer.

【0041】しかし、セル未配置の状態であれば、セル
面積Ac、チップ上のセルのユーティリティ(ゲートの
使用率)Ut等をもとにして仮想配線長が算出される。
さらに、各配線方向毎のディレイ値が求められ、その和
でネットのディレイが定義される。次式(1)はその一
例である。tnがネットnのディレイ値で、twx、twy
は配線長−時間換算係数、αは定数である。
However, if no cells are arranged, the virtual wiring length is calculated based on the cell area Ac, the utility (gate use rate) Ut of the cells on the chip, and the like.
Further, a delay value for each wiring direction is obtained, and the sum thereof defines a net delay. The following equation (1) is an example. tn is the delay value of net n, twx, twy
Is a wiring length-time conversion coefficient, and α is a constant.

【0042】[0042]

【数1】 (Equation 1)

【0043】一方、配置配線終了後の結果であり、抽出
した全てのクリティカルパスのディレイが設計要求仕様
の範囲内であれば、処理は終了であるが、要求を満たさ
ないパスが存在する場合には、動作特性改善のための処
理が以下に行われる(ステップf3)。また、レイアウ
トが未実行の場合についても処理が行われる。
On the other hand, if the delay is the result after the placement and routing, and if the delays of all the extracted critical paths are within the range of the design requirement specification, the processing is completed, but there is a path that does not satisfy the requirement. The processing for improving the operation characteristics is performed as follows (step f3). The processing is also performed when the layout has not been executed.

【0044】図3で示すように、抽出されたクリティカ
ルパス9に接続されているセル(図中、斜線部)は、各
パス毎に認識できる様に色調が変えられ、チップ6のレ
イアウト済み画面5上に表示され、動作モード読み込み
の待機状態となる(ステップf4)。このとき、図示さ
れていないが、画面5上では複数のパスに共有されてい
るセルは、表示の輝度が高くされるか、セル外形の線幅
・線種が変えられるなどしてオペレータがレイアウト改
善必要箇所を容易に認識できるように表示される。
As shown in FIG. 3, the cells connected to the extracted critical path 9 (shaded portions in the figure) are changed in color so that each path can be recognized, and the layout completed screen of the chip 6 is displayed. 5 and is in a standby state for reading the operation mode (step f4). At this time, although not shown, the cells shared by a plurality of paths on the screen 5 are displayed by the display brightness or the line width and the line type of the cell outer shape are changed, so that the operator can improve the layout. It is displayed so that necessary parts can be easily recognized.

【0045】このような方法で表示されたチップ全体に
関するレイアウト情報をもとに、オペレータはキーボー
ド107の操作により動作モードを選択する(ステップ
f5)。選択された内容に応じて一括配置モードと部分
配置修正モードとの切り換えが行なわれる(ステップf
6)。
The operator selects an operation mode by operating the keyboard 107 based on the layout information on the entire chip displayed by such a method (step f5). Switching between the batch arrangement mode and the partial arrangement correction mode is performed according to the selected contents (step f).
6).

【0046】一括配置モードが選択されると、チップ内
の全てのクリティカルパスが処理の対象として本発明の
要部である動作特性最適化レイアウト処理が実行される
(ステップf7)。
When the batch arrangement mode is selected, the operation characteristic optimizing layout process, which is a main part of the present invention, is executed with all the critical paths in the chip being processed (step f7).

【0047】一方、部分配置修正モードが選択された場
合には、オペレータによってポインティング装置108
を使用してのエリア指定が行なわれるのを待機する(ス
テップf8,f9)。
On the other hand, when the partial arrangement correction mode is selected, the pointing device 108 is operated by the operator.
The process waits until an area is designated by using (steps f8 and f9).

【0048】信号遅延時間の改良を希望するエリアの左
下点LLPおよび右上点URPが指定されると(ステッ
プf9YES)、指定エリア7の拡大画面が表示される
(ステップf10)。これにより、クリティカルパス9
を構成する各セルのマクロセルタイプを知ることができ
る。
When the lower left point LLP and the upper right point URP of the area where the signal delay time is desired to be improved are designated (step f9 YES), an enlarged screen of the designated area 7 is displayed (step f10). Thereby, critical path 9
Can be known from the macro cell type of each cell constituting.

【0049】その後、セルの追加・変更の実行を待機す
る状態となる(ステップf11、f12、f13)。こ
の状態において、動作特性改善のための追加・変更セル
8が選択され、変更セルまたは挿入位置Pの指定が行な
われると、選択されたセル8は挿入位置Pに配置される
(ステップf14)。
After that, the system is in a state of waiting for execution of cell addition / change (steps f11, f12, f13). In this state, when the addition / change cell 8 for improving the operation characteristics is selected and the change cell or the insertion position P is designated, the selected cell 8 is arranged at the insertion position P (step f14).

【0050】以後、キーボード107から所定の追加・
変更作業の終了操作が行なわれるまで、以上の処理(ス
テップf11〜f14)が繰り返される。
Thereafter, a predetermined addition /
The above processing (steps f11 to f14) is repeated until the operation of ending the change operation is performed.

【0051】キーボード107から、所定の追加・変更
作業の終了操作が行なわれると(ステップf15YE
S)、ステップf7と同様な動作特性最適化レイアウト
処理が実行され、最終レイアウト状態が得られる(ステ
ップf16)。
When a predetermined addition / change operation end operation is performed from the keyboard 107 (step f15YE).
S), the same operation characteristic optimization layout processing as in step f7 is executed, and the final layout state is obtained (step f16).

【0052】次に、本発明の要部である、動作特性最適
化レイアウト処理(ステップf7,f16)の詳細なフ
ローチャートを図4に示す。
Next, FIG. 4 shows a detailed flowchart of the layout process for optimizing the operation characteristics (steps f7 and f16), which is a main part of the present invention.

【0053】このレイアウト処理がステップf7で行わ
れる場合には、指定領域はチップ全体となり、ステップ
f16で行われる場合には、指定エリア7となる。
When the layout processing is performed in step f7, the designated area is the entire chip, and when the layout processing is performed in step f16, it is the designated area 7.

【0054】命令が実行されると、指定領域の以降のレ
イアウト処理と指定領域以外のレイアウト結果との接続
関係がずれないように、指定領域の境界辺上に掛かるレ
イアウト情報が抽出され、必要な情報の変更または作成
が行われる(ステップp1)。具体的には、境界辺上の
セルの位置が一時的に固定され、境界辺上を通過する配
線に関しては、現在使用されている配線層に対応した仮
想的な端子を境界辺上に発生させる(ステップp2)。
その後、領域内におけるこの配線径路は、電源配線など
の特殊配線を除いて全て消去される。
When the instruction is executed, layout information on the boundary of the designated area is extracted so that the connection relationship between the subsequent layout processing of the designated area and the layout result of the area other than the designated area does not shift. The information is changed or created (step p1). Specifically, the position of the cell on the boundary side is temporarily fixed, and for the wiring passing on the boundary side, a virtual terminal corresponding to the currently used wiring layer is generated on the boundary side. (Step p2).
Thereafter, all of the wiring paths in the region are deleted except for special wiring such as power supply wiring.

【0055】指定領域は格子状に分割してなる小領域毎
の情報に分割され(ステップp3)、各領域毎に現在の
所属セル等のレイアウト情報が保持される。このように
情報を分割するのは、座標値で示されるセルの配置位置
等のレイアウト情報を信号径路の形状等の幾何学情報へ
の対応付けを容易にし、データのアクセスを高速化でき
るメリットがある。
The designated area is divided into pieces of information for each small area divided in a grid (step p3), and layout information such as the current cell belonging to each area is held. Dividing the information in this manner has the advantage that layout information such as the arrangement position of cells indicated by coordinate values can be easily associated with geometric information such as the shape of a signal path and that data access can be speeded up. is there.

【0056】次に、指定領域内のクリティカルパスが全
て抽出され(ステップp4)、パスのディレイが設計要
求仕様から計算される許容値以内に収まっていない場合
(ステップp5NO)、現在の配置状態の改善が行われ
る(ステップp6〜p8)。配置改良では、まず抽出さ
れた全てのパスに関係するネットが置かれるべき最適存
在領域が、領域制約条件として求められる(ステップp
6)。
Next, all the critical paths in the designated area are extracted (step p4), and if the delay of the path does not fall within the allowable value calculated from the design requirement specification (NO in step p5), the current arrangement state is determined. Improvement is performed (steps p6 to p8). In the placement improvement, first, the optimal existence area where the nets related to all the extracted paths should be placed is obtained as the area constraint condition (step p).
6).

【0057】複数の領域制約条件下にあるネットに関し
ては、全ての制約条件を重ね合わせた領域制約条件が決
定される(ステップp7)。
For a net under a plurality of region constraints, a region constraint condition in which all the constraint conditions are superimposed is determined (step p7).

【0058】そして、決定された領域制約条件をもと
に、各ネットを構成するセルを目標とする最適存在領域
の重心に配置するようにセル移動もしくはセルの交換が
行なわれる(ステップp8)。
Then, based on the determined region constraint conditions, cell movement or cell exchange is performed so that cells constituting each net are arranged at the center of gravity of the target optimal existence region (step p8).

【0059】上記ステップで配置状態の改善が行なわ
れ、指定領域内の全てのパスに関してそのディレイが許
容値以内に収めることができれば、配置改良は終了さ
れ、配線優先度が決定される(ステップp5YES及び
p9)。
The placement state is improved in the above steps, and if the delay of all the paths in the designated area can be kept within the allowable value, the placement improvement is terminated and the wiring priority is determined (step p5 YES). And p9).

【0060】さらに、決定された配線優先度をもとにし
て、その優先順位の高い順にネットが取り出され、指定
領域内での配線処理が行なわれる(ステップp10)。
このときの配線処理は、従来の迷路法を用いて行なうこ
とで、配線優先度の高いネットほど短い配線径路で結線
できるため、配置の段階で抽出したタイミング的に厳し
いネットほど少ない迂回度で配線することができる。
Further, based on the determined wiring priority, nets are taken out in descending order of the priority, and wiring is performed in the designated area (step p10).
By performing the wiring processing at this time using the conventional maze method, a net having a higher wiring priority can be connected with a shorter wiring path. can do.

【0061】このように決定されたレイアウト結果をも
とに、再び回路全体の動作特性の解析が行われ(ステッ
プf2)、回路全体の動作が設計要求仕様を満たしてい
るかがチェックされる(ステップf3)。回路動作が設
計仕様を満足していない場合は再び上記の手順が繰り返
され、満たしている場合には処理を終了する。
Based on the layout result determined in this way, the operation characteristics of the entire circuit are analyzed again (step f2), and it is checked whether the operation of the entire circuit satisfies the design requirements (step f2). f3). If the circuit operation does not satisfy the design specifications, the above procedure is repeated again, and if so, the process ends.

【0062】なお、全体の繰り返しは、レイアウト結果
が設計要求仕様を満たしているかあるいは規定回数実行
されるまで行われる。
The whole repetition is performed until the layout result satisfies the design requirement specification or until the layout is executed a specified number of times.

【0063】以下に、ステップp9で行われる優先度の
決定方法について説明する。
The method of determining the priority performed in step p9 will be described below.

【0064】与えられた設計仕様から決定される、それ
ぞれのパスに許容される遅延時間の上限値が、パスを構
成する個々のネットに均等に分配される。この分配され
た遅延時間は、個々のネットの許容される配線長の上限
値に換算される。例えばi層の配線層を使用しているな
らば、第i層の換算係数をtwi、第i層の配線長をwl
iとすれば、ネットnの遅延時間tnは、
The upper limit value of the delay time allowed for each path, which is determined from given design specifications, is evenly distributed to the individual nets constituting the path. The distributed delay time is converted into an upper limit of the allowable wiring length of each net. For example, if the i-th wiring layer is used, the conversion coefficient of the i-th layer is twi, and the wiring length of the i-th layer is wl.
If i, the delay time tn of net n is

【0065】[0065]

【数2】 (Equation 2)

【0066】である。従って、当該パスの遅延時間の上
限値をDp、パスを構成するネット数をcnとすれば、
ネットnの制約条件は、
Is as follows. Therefore, if the upper limit value of the delay time of the path is Dp and the number of nets constituting the path is cn,
The constraint of net n is

【0067】[0067]

【数3】 (Equation 3)

【0068】となる。この配線長の制約をパスの信号の
流れに沿って、順次個々のネットに関して、信号の入力
と出力の双方の側から決定していく。
Is obtained. This restriction on the wiring length is sequentially determined for each net from both the signal input and output sides in accordance with the flow of the signal of the path.

【0069】図5は、ステップp6での領域制約条件の
求め方、及びステップp8でのセルの配置位置の決定の
仕方の一例を、2層配線の場合に関して示した概略図で
ある。
FIG. 5 is a schematic diagram showing an example of a method of obtaining the area constraint condition in step p6 and a method of determining the cell arrangement position in step p8 in the case of two-layer wiring.

【0070】I1〜I4およびO1〜O4は、それぞれ
ネットa12〜a45の制約条件である。I1〜I4は入力
側(セルa1)からの制約であり、例えばネットa12を
構成するセルは全てI1よりも左側に位置していなけれ
ばならない。一方、O1〜O4は出力側(セルa5)か
らの制約であり、例えばネットa45を構成するセルは全
てO4よりも右側に位置していなければならない。
I1 to I4 and O1 to O4 are constraints on nets a12 to a45, respectively. I1 to I4 are restrictions from the input side (cell a1). For example, all cells constituting the net a12 must be located on the left side of I1. On the other hand, O1 to O4 are restrictions from the output side (cell a5). For example, all cells forming the net a45 must be located on the right side of O4.

【0071】この例では、セルa2はネットa12,a23
に関する入出力側双方からの領域制約条件I1,O1,
I2,O2が満たされており、矩形の最適存在領域R1
とR2の重なり合った領域に配置されている。同様に、
a3についてもネットa23,a34に関する領域制約条件
I2,O2,I3,O3が満たされている。しかし、セ
ルa4は、ネットa34に関する領域制約条件I3,O3
は満たしているが、ネットa45に関する制約条件O4を
満たしていない。従って、セルa4は制約を満足するそ
れぞれの矩形の最適存在領域R3とR4の重心に収まる
よう、I3とO4の重なった領域に位置の移動もしくは
他のセルとの交換が行われる。即ち、パスを直接構成す
るセルは自分につながる左右のネットの制約条件の交わ
った部分に存在するように位置の移動もしくは他のセル
との交換が行われる。
In this example, cell a2 is composed of nets a12 and a23.
Region constraints I1, O1,
I2 and O2 are satisfied, and the rectangular optimal existence region R1
And R2. Similarly,
The area constraint conditions I2, O2, I3, and O3 for the nets a23 and a34 are also satisfied for a3. However, the cell a4 contains the region constraints I3, O3 on the net a34.
Is satisfied, but does not satisfy the constraint condition O4 regarding the net a45. Accordingly, the position of the cell a4 is shifted to the area where I3 and O4 overlap or exchange with another cell is performed so that the cell a4 falls within the center of gravity of the rectangular optimal existence areas R3 and R4 satisfying the constraint. In other words, the position of the cell directly constituting the path is moved or exchanged with another cell so that the cell exists at the intersection of the constraint conditions of the left and right nets connected to the path.

【0072】図6は、ステップp7で行われる複数のパ
スに関して異なる制約を受けている場合の、領域制約条
件の決定方法を示す概略図である。
FIG. 6 is a schematic diagram showing a method of determining a region restriction condition when different restrictions are applied to a plurality of paths performed in step p7.

【0073】同図のセルa2は、パスPaとPbの2つ
の制約を同時に受けており、その領域制約条件はそれぞ
れIa1、Oa1(セルa1からの制約条件)とIb
1、Ob1(セルb1からの制約条件)である。この
時、セルa2の最適存在領域は、領域Ra1とRb1が
交わる矩形領域Rと決定される。
The cell a2 shown in the figure receives two constraints of paths Pa and Pb at the same time, and its area constraints are Ia1 and Oa1 (restrictions from the cell a1) and Ib, respectively.
1, Ob1 (restriction from cell b1). At this time, the optimal existence area of the cell a2 is determined as a rectangular area R where the areas Ra1 and Rb1 intersect.

【0074】同図では、セルa2は制約Ra1が満たさ
れているが、制約Rb1は満たされていない。従って、
セルa2は、パスPaとPbの2つの制約を同時に満た
す最適存在領域Rの重心へ移動される。ここで、a2は
当然ネットa23及びb23の領域制約条件も満たしていな
ければならない。
In the figure, the cell a2 satisfies the constraint Ra1, but does not satisfy the constraint Rb1. Therefore,
The cell a2 is moved to the center of gravity of the optimal existence region R that simultaneously satisfies the two constraints of the paths Pa and Pb. Here, a2 must of course also satisfy the region constraints of nets a23 and b23.

【0075】最後に、ステップp9で行われる配線優先
度の決め方を説明する。
Finally, how to determine the wiring priority performed in step p9 will be described.

【0076】本発明では、配置処理の段階において、各
ネットが収まるべき最適存在領域をチップ上に設定し、
それを制約条件として遵守するよう各セルの配置位置を
決定している。この制約条件を配線処理の段階において
守るように、各ネットの配線には処理の優先度が設けら
れる。配線の優先度は、配置の段階で設定した領域制約
条件が厳しいものほど重要視し、優先して配線すること
とする。つまり、制約となった最適存在領域サイズが小
さいネットから先に配線される。
In the present invention, at the stage of the placement processing, the optimal existence area to be accommodated by each net is set on the chip,
The arrangement position of each cell is determined so as to comply with this as a constraint. The priority of the processing is set to the wiring of each net so as to keep this constraint condition in the wiring processing stage. The priority of the wiring is determined such that the stricter the region constraint set in the arrangement stage, the higher the priority, and the higher the priority of the wiring. In other words, the wiring is performed first with the net having the smaller size of the optimum existing area as the constraint.

【0077】このように配線することにより、領域サイ
ズの小さいネットほど配線長が短くなり、大きいネット
ほど迂回しやすくなるので配線長が長くなる。
By arranging in this way, a net having a smaller area size has a shorter wiring length, and a larger net has a greater detour, so that the wiring length is longer.

【0078】図7は、それぞれのネットに付加された制
約領域サイズと、これから決定された配線優先度を示し
た表である。優先度1のネットが最も先に配線されるべ
きネットで、以下優先度の数字が大きくなるに従って、
配線処理の順番は後になっていく。この表に示された全
てのネットが配線された段階で、配線処理を終了する。
FIG. 7 is a table showing the restriction area size added to each net and the wiring priority determined from this. The priority 1 net is the net to be routed first, and as the priority number increases,
The order of the wiring processing is later. At the stage where all the nets shown in this table have been wired, the routing process is terminated.

【0079】[0079]

【発明の効果】以上、説明したように、この発明によれ
ば、全てのクリティカルパスについて、相互の関係を考
慮しつつそれらを構成する個々のネットの最適存在領域
を決定し、この領域の重心にセルを配置している。ま
た、決定された最適存在領域のサイズによって各ネット
の配線優先度を決定している。
As described above, according to the present invention, the optimum existence area of each net constituting each critical path is determined in consideration of the mutual relationship, and the center of gravity of this area is determined. Cells are placed in Also, the wiring priority of each net is determined based on the determined size of the optimal existence area.

【0080】これらにより、クリティカルパス全体を最
適化することができ、集積回路の動作特性を満足させる
ことができる。
As a result, the entire critical path can be optimized, and the operating characteristics of the integrated circuit can be satisfied.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の設計方法を実現するセル自動配置装置
の構成を示すブロック図である。
FIG. 1 is a block diagram showing a configuration of an automatic cell placement apparatus that realizes a design method of the present invention.

【図2】本発明の設計方法の処理手順を示すゼネラルフ
ローチャートである。
FIG. 2 is a general flowchart showing a processing procedure of a design method of the present invention.

【図3】図1で示したCRT104の画面の表示状態を
表す簡略図である。
FIG. 3 is a simplified diagram showing a display state of a screen of a CRT 104 shown in FIG.

【図4】図2で示したステップf7,f16の動作特性
最適化レイアウト処理の詳細を示すフローチャートであ
る。
FIG. 4 is a flowchart showing details of an operation characteristic optimization layout process in steps f7 and f16 shown in FIG. 2;

【図5】領域制約条件の求め方を説明するための概念図
である。
FIG. 5 is a conceptual diagram for explaining how to obtain a region constraint condition.

【図6】複数のパスに制約を受けている場合の領域制約
条件の求め方を説明するための概念図である。
FIG. 6 is a conceptual diagram for explaining how to obtain an area constraint condition when a plurality of paths are restricted.

【図7】配線優先度の決定方法を説明するための表であ
る。
FIG. 7 is a table for explaining a method of determining a wiring priority;

【図8】ゲート敷き詰め型ゲートアレイ式LSIのチッ
プ構成を示す平面図である。
FIG. 8 is a plan view showing a chip configuration of a gate-layout type gate array type LSI.

【図9】従来の設計方法による領域制約条件の求め方を
説明するための概念図である。
FIG. 9 is a conceptual diagram for explaining how to obtain a region constraint condition by a conventional design method.

【符号の説明】[Explanation of symbols]

100 CPU 101 システムバス 102 ビデオRAM 103 CRTコントローラ 104 CRT 105 磁気記憶装置 106 RAM 107 キーボード 108 ポインティング装置 a1〜a5 論理セル a12〜a45 ネット I1〜I3,Ia1,Ia2,Ib1,Ib2 入力側
制約条件 O1〜O3,Oa1,Oa2,Ob1,Ob2 出力側
制約条件 R,R1〜R3 最適存在領域 Ra1,Rb1 制約領域
Reference Signs List 100 CPU 101 System bus 102 Video RAM 103 CRT controller 104 CRT 105 Magnetic storage device 106 RAM 107 Keyboard 108 Pointing device a1 to a5 Logic cell a12 to a45 Net I1 to I3, Ia1, Ia2, Ib1, Ib2 Input side constraint conditions O1 to O3, Oa1, Oa2, Ob1, Ob2 Output side constraints R, R1 to R3 Optimal existence area Ra1, Rb1 Constraint area

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 半導体のチップ上に複数の論理セルを配
置して各論理セル間を配線する際に、最大遅延時間の要
求仕様に対する遅延時間の余裕度の小さい信号経路を抽
出し、抽出された信号経路に許容される遅延時間の上限
値を、この信号経路を構成する各ネットに分配し、分配
された遅延時間の上限値をもとに、各ネットに許容され
る配線長の上限値を決定し、決定された配線長の上限値
をもとに、前記信号経路の入力側及び出力側のそれぞれ
を基準とする、各ネットを構成する論理セルの最適存在
領域を求め、この最適存在領域内に前記論理セルの配置
位置を決定し、前記最適存在領域の大きさをもとに、こ
の最適存在領域に配置された論理セルによって構成され
るネットの配線処理の優先度を決定し、決定された優先
度をもとに、各論理セル間の配線処理を行うことを特徴
とする半導体集積回路の設計方法。
When arranging a plurality of logic cells on a semiconductor chip and wiring between the logic cells, a signal path having a small delay time margin with respect to a required specification of a maximum delay time is extracted and extracted. The upper limit value of the delay time allowed for the signal path is distributed to each net constituting the signal path, and the upper limit value of the wiring length allowed for each net based on the upper limit value of the distributed delay time. Is determined, and based on the determined upper limit value of the wiring length, the optimum existence area of the logic cell constituting each net is determined based on each of the input side and the output side of the signal path. Determining the arrangement position of the logic cell in the region, based on the size of the optimal existence region, determines the priority of wiring processing of a net constituted by the logic cells arranged in the optimal existence region, Each logic based on the determined priority A method for designing a semiconductor integrated circuit, comprising performing wiring processing between cells.
JP3136822A 1991-06-07 1991-06-07 Design method of semiconductor integrated circuit Expired - Fee Related JP2930770B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3136822A JP2930770B2 (en) 1991-06-07 1991-06-07 Design method of semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3136822A JP2930770B2 (en) 1991-06-07 1991-06-07 Design method of semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JPH04360555A JPH04360555A (en) 1992-12-14
JP2930770B2 true JP2930770B2 (en) 1999-08-03

Family

ID=15184322

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3136822A Expired - Fee Related JP2930770B2 (en) 1991-06-07 1991-06-07 Design method of semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2930770B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5380969B2 (en) * 2008-09-22 2014-01-08 富士通株式会社 Layout design method and apparatus
JP5743808B2 (en) * 2011-08-24 2015-07-01 株式会社東芝 Integrated circuit wiring method, integrated circuit wiring program, and storage medium storing the same
CN115017861B (en) * 2022-06-29 2024-01-16 苏州浪潮智能科技有限公司 Method, device and equipment for automatic wiring of PCB and readable medium

Also Published As

Publication number Publication date
JPH04360555A (en) 1992-12-14

Similar Documents

Publication Publication Date Title
US6430734B1 (en) Method for determining bus line routing for components of an integrated circuit
JP3076410B2 (en) Design method of semiconductor integrated circuit
Sechen VLSI placement and global routing using simulated annealing
US6961916B2 (en) Placement method for integrated circuit design using topo-clustering
US6370673B1 (en) Method and system for high speed detailed placement of cells within an integrated circuit design
US6378115B1 (en) LSI manufacturing method and recording medium for storing layout software
EP0078388A2 (en) Optimization of an organization of many discrete elements
US7966595B1 (en) Method and system for approximate placement in electronic designs
US7296251B2 (en) Method of physical planning voltage islands for ASICs and system-on-chip designs
JPH10116911A (en) Re-layout method for semiconductor integrated circuit and medium on which re-layout program for semiconductor integrated circuit is recorded
Chen et al. Simultaneous gate sizing and placement
JP2930770B2 (en) Design method of semiconductor integrated circuit
US20010049814A1 (en) Automatic logic design supporting method and apparatus
US8032855B1 (en) Method and apparatus for performing incremental placement on a structured application specific integrated circuit
JPH10308450A (en) Semiconductor integrated circuit and method for designing the same
Kling Optimization by Simulated Evolution and its Application to cell placement
US20030229874A1 (en) Floorplanning apparatus deciding floor plan using logic seeds associated with hierarchical blocks
US6434734B1 (en) Method for modifying placement of components of an integrated circuit by analyzing resources of adjacent components
US6687892B1 (en) Method for determining control line routing for components of an integrated circuit
US6606737B1 (en) Method for forming a structural similarity group from a netlist of an integrated circuit
US6584605B1 (en) Method for forming a relative placement of components of an integrated circuit using a structural similarity group
JP3433025B2 (en) Module placement method
Wang et al. A novel clock tree aware placement methodology for single flux quantum (SFQ) logic circuits
US11144700B1 (en) Grouping nets to facilitate repeater insertion
US20230237236A1 (en) Method of designing layout of semiconductor integrated circuit, method of designing and manufacturing semiconductor integrated circuit using the same, and design system performing same

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees