JP2828041B2 - Clock distribution method and clock distribution circuit - Google Patents

Clock distribution method and clock distribution circuit

Info

Publication number
JP2828041B2
JP2828041B2 JP8181183A JP18118396A JP2828041B2 JP 2828041 B2 JP2828041 B2 JP 2828041B2 JP 8181183 A JP8181183 A JP 8181183A JP 18118396 A JP18118396 A JP 18118396A JP 2828041 B2 JP2828041 B2 JP 2828041B2
Authority
JP
Japan
Prior art keywords
tree
clock
buffer
delay time
propagation delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP8181183A
Other languages
Japanese (ja)
Other versions
JPH1011494A (en
Inventor
慎一郎 大重
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP8181183A priority Critical patent/JP2828041B2/en
Publication of JPH1011494A publication Critical patent/JPH1011494A/en
Application granted granted Critical
Publication of JP2828041B2 publication Critical patent/JP2828041B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Manipulation Of Pulses (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、クロック分配技術
に関し、特に、半導体集積回路のレイアウト等において
複数の論理ブロックへクロック信号を分配配線するクロ
ック信号の分配方法及びクロック分配回路に関する。
The present invention relates to a clock distribution technique, and more particularly to a clock signal distribution method and a clock distribution circuit for distributing and wiring a clock signal to a plurality of logic blocks in a layout of a semiconductor integrated circuit or the like.

【0002】[0002]

【従来の技術】従来、半導体集積回路において、複数の
論理ブロックに対し同期クロック信号を同一タイミング
にて供給する場合、図4に示すように、クロック発生回
路101から各論理ブロック110〜113へのクロッ
クライン上に、単一タイプのバッファ103〜109を
ツリー状に配置し、クロック発生回路101と各バッフ
ァ間の配線を等配線長にすることで、各論理ブロック1
10〜103へのクロック信号の伝搬遅延差(クロック
スキュー)を少なくする方法(クロックツリーシンセシ
ス)が取られている。この方法は「ツリー状配線駆動方
式」とも呼ばれている。
2. Description of the Related Art Conventionally, in a semiconductor integrated circuit, when a synchronous clock signal is supplied to a plurality of logical blocks at the same timing, as shown in FIG. The single type buffers 103 to 109 are arranged in a tree shape on the clock line, and the wiring between the clock generation circuit 101 and each buffer is set to have the same wiring length.
A method (clock tree synthesis) for reducing the propagation delay difference (clock skew) of the clock signal from 10 to 103 is adopted. This method is also called a “tree-shaped wiring driving method”.

【0003】そして、クロックツリーにおけるクロック
スキューを低減するために、例えば特開平4−2254
76号公報には、全てのクロックツリーの遅延時間が全
ツリーの各レベルに及ぶディレイを等しくすることによ
って等しくされ、各レベルのディレイは、各レベルにお
いて各ネットのターミネータの容量を調整することによ
って、あるいは各レベルにおいて各バッファ(ドライ
バ)の駆動能力を調整することによって等しくされ、ネ
ットの容量が低すぎてバッファによって補正できない場
合には当該ネットに容量ターミネータを選択的に追加し
て、各ツリー間のクロック伝達遅延を等しくする方法が
提案されている。
In order to reduce clock skew in a clock tree, for example, Japanese Patent Application Laid-Open No.
No. 76 discloses that the delay times of all clock trees are equalized by equalizing the delays extending to each level of the entire tree, and the delay of each level is adjusted by adjusting the capacity of the terminator of each net at each level. Or by adjusting the driving capability of each buffer (driver) at each level, and if the capacity of the net is too low to be corrected by the buffer, then selectively add a capacitance terminator to the net and add A method has been proposed for equalizing clock transmission delays between clocks.

【0004】また、例えば特開平4−269860号公
報には、クロック発生回路と各バッファ間配線の配線容
量及び配線抵抗を考慮して、配線遅延時間が等しいとこ
ろでツリーの分岐を行い、各ツリー間のクロック伝達遅
延を等しくする方法が提案されている。
For example, Japanese Patent Laid-Open No. 4-269860 discloses that a tree is branched at an equal wiring delay time in consideration of a wiring capacity and a wiring resistance of a wiring between a clock generating circuit and each buffer. Have been proposed to make the clock transmission delays equal.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、上記公
報に提案される方法においても、微小なクロックライン
の配線ばらつきが生じ、クロックライン上の各バッファ
間の配線及び配線容量、配線抵抗は全く同一とはなら
ず、クロックスキューがゼロとなることはない。
However, even in the method proposed in the above publication, minute variations in the wiring of the clock line occur, and the wiring, the wiring capacitance, and the wiring resistance between the buffers on the clock line are completely the same. And the clock skew never goes to zero.

【0006】また、微細加工技術の進歩に伴い、配線の
微細化が進み、配線抵抗による配線遅延の影響が大きく
なり、このため、微小な配線ばらつきも無視できなくな
ってきた。
[0006] Further, with the advance of fine processing technology, finer wiring has progressed, and the influence of wiring delay due to wiring resistance has increased, so that fine wiring variations cannot be ignored.

【0007】このクロックスキューにより、特に、順序
回路に誤動作が生じるため、クロック周波数にはおのず
と上限が生じ、半導体集積回路の動作周波数向上の妨げ
となっている。
[0007] The clock skew causes a malfunction in the sequential circuit in particular, so that the clock frequency naturally has an upper limit, which hinders the improvement of the operating frequency of the semiconductor integrated circuit.

【0008】このように、上記従来のクロックツリーシ
ンセシスによるクロック分配方式では、微小なクロック
ラインの配線ばらつきが生じてしまうため、クロックス
キューの低減には限界があるという、問題点を有してい
る。
As described above, the above-described conventional clock distribution system based on clock tree synthesis has a problem in that there is a limit in reducing clock skew because minute clock line variations occur. .

【0009】また、クロックライン上のバッファの駆動
能力を高めると、バッファを構成するトランジタのゲー
ト幅が大きくなったり、トランジスタ数が増加するた
め、バッファ自身のサイズが大きくなり、半導体集積回
路の高密度化の妨げになるだけでなく、消費電力も増加
してしまうという、問題点も有している。
Further, when the driving capability of the buffer on the clock line is increased, the gate width of the transistor constituting the buffer is increased or the number of transistors is increased, so that the size of the buffer itself is increased and the height of the semiconductor integrated circuit is increased. Not only does this hinder density, but it also increases power consumption.

【0010】したがって、本発明は、上記事情に鑑みて
なされたものであって、その目的は、好ましくは半導体
基板上などに配置される複数の論理ブロックに供給され
るクロック信号の遅延時間を、いずれの論理ブロックに
対しても等しくして回路の動作周波数を向上させるクロ
ック分配方法及び回路を提供することにある。
Therefore, the present invention has been made in view of the above circumstances, and an object of the present invention is to reduce a delay time of a clock signal supplied to a plurality of logic blocks preferably arranged on a semiconductor substrate or the like. It is an object of the present invention to provide a clock distribution method and a circuit for improving the operation frequency of a circuit by making the same for any of the logic blocks.

【0011】[0011]

【課題を解決するための手段】前記目的を達成するた
め、本発明のクロック分配方法は、クロック発生回路か
ら同期クロック信号を複数の論理ブロックに対してツリ
ー状に配したバッファを介して同一のタイミングにて供
給するクロック分配方法において、前記クロック発生回
路から前記論理ブロック間へのツリー経路の遅延時間を
算出し、ツリー上のバッファを入力論理しきい値の異な
る複数のバッファから選択的に置換し、各ツリーの伝搬
遅延時間が同一となるように調整することを特徴とす
る。
In order to achieve the above object, a clock distribution method according to the present invention is directed to a method of synchronizing a synchronous clock signal from a clock generation circuit to a plurality of logic blocks via a buffer arranged in a tree-like manner. In the clock distribution method of supplying at a timing, a delay time of a tree path from the clock generation circuit to the logic block is calculated, and a buffer on the tree is selectively replaced with a plurality of buffers having different input logic thresholds. Then, the propagation delay time of each tree is adjusted to be the same.

【0012】また、本発明のクロック分配回路は、クロ
ック発生回路から同期クロック信号を複数の論理ブロッ
クに対してツリー状に配したバッファを介して同一のタ
イミングにて供給するクロック分配回路において、クロ
ックライン上に入力論理しきい値の異なるバッファが混
在し、各ツリーの伝搬遅延時間が同一とされてなること
を特徴とする。
Further, the clock distribution circuit according to the present invention is a clock distribution circuit which supplies a synchronous clock signal from a clock generation circuit to a plurality of logical blocks at the same timing via buffers arranged in a tree shape. Buffers having different input logic thresholds are mixed on a line, and the propagation delay time of each tree is the same.

【0013】[0013]

【発明の実施の形態】以下に、本発明の好ましい実施の
形態及び実施例を順に説明する。本発明は、その好まし
い実施の形態において、クロック発生回路から同期クロ
ック信号を複数の論理ブロックに対して標準バッファを
ツリー状に配してなるクロックツリーの回路接続情報
と、バッファの遅延情報とから、各論理ブロックに至る
各ツリーの伝搬遅延時間を算出し、算出された伝搬遅延
情報の中から最小の伝搬遅延時間のツリーを検出し、最
小の伝搬遅延時間のツリーに関与しない標準バッファに
ついて、該標準バッファと入力論理しきい値が異なる、
予め用意された複数のバッファの中から、該標準バッフ
ァを置換した後のツリーの伝搬遅延時間が短くなるよう
なバッファにて選択的に置換し、前記各ツリーの伝搬遅
延時間が同一となるように自動調整する。ここで、「標
準バッファ」とは、クロックツリーに用いられる入力論
理しきい値(バッファ出力の論理値が反転する入力端子
電圧)が電源電圧の1/2程度である標準的なバッファ
をいう。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Preferred embodiments and examples of the present invention will be described below in order. According to a preferred embodiment of the present invention, in a preferred embodiment, a synchronous clock signal from a clock generation circuit is obtained from circuit connection information of a clock tree in which standard buffers are arranged in a tree shape for a plurality of logical blocks and delay information of the buffer. Calculate the propagation delay time of each tree leading to each logical block, detect the tree of the minimum propagation delay time from the calculated propagation delay information, and for the standard buffer not involved in the tree of the minimum propagation delay time, The input logic threshold value is different from the standard buffer,
From among a plurality of buffers prepared in advance, the buffer is selectively replaced with a buffer that reduces the propagation delay time of the tree after replacing the standard buffer, so that the propagation delay time of each tree becomes the same. Automatically adjust to Here, the "standard buffer" refers to a standard buffer whose input logical threshold value (input terminal voltage at which the logical value of the buffer output is inverted) used for the clock tree is about 1/2 of the power supply voltage.

【0014】本発明においては、標準バッファとは入力
論理しきい値が異なるバッファを複数用意し、これらバ
ッファのドライブ能力(電流駆動能力)は、標準バッフ
ァと同等にする。そして、クロックツリー上の標準バッ
ファを、これら入力論理しきい値の異なるバッファから
選択的に置換しクロックツリーの各枝の遅延値を微調整
する。
In the present invention, a plurality of buffers having different input logic thresholds from the standard buffer are prepared, and the driving capability (current driving capability) of these buffers is made equal to that of the standard buffer. Then, the standard buffers on the clock tree are selectively replaced with buffers having different input logic thresholds, and the delay value of each branch of the clock tree is finely adjusted.

【0015】図1は、本発明の実施の形態における全体
の処理を説明するためのフローチャートである。
FIG. 1 is a flowchart for explaining the overall processing in the embodiment of the present invention.

【0016】まず、第1のステップにおいて、クロック
ツリーシンセシス手段11により、標準バッファを用い
てクロックツリーを作成し、半導体集積回路の配線容量
と配線抵抗を含んだネットリスト13を作成する。な
お、クロックツリーシンセシス手段11は従来方式のも
のが用いられる。
First, in a first step, a clock tree is created by the clock tree synthesis means 11 using a standard buffer, and a net list 13 including the wiring capacity and the wiring resistance of the semiconductor integrated circuit is created. The clock tree synthesis means 11 uses a conventional one.

【0017】次に、第2のステップにおいて、スキュー
算出手段14にて、クロックスキューを算出する。その
際、ネットリスト13と遅延ライブラリ12により、ク
ロックツリーの各枝(ブランチ)の遅延差(クロックス
キュー)を、回路シミュレータ等により計算する。な
お、遅延ライブラリ12には、クロックツリー中の標準
バッファの遅延、出力負荷容量による遅延の増加の情
報、出力負荷容量による入力電圧なまりの情報等が格納
されている。また、遅延ライブラリ12には、入力論理
しきい値の異なるバッファリスト15の情報も含まれて
いる。
Next, in a second step, the skew calculating means 14 calculates the clock skew. At this time, the delay difference (clock skew) of each branch of the clock tree is calculated by a circuit simulator or the like using the netlist 13 and the delay library 12. The delay library 12 stores the delay of the standard buffer in the clock tree, information on an increase in delay due to the output load capacity, information on input voltage rounding due to the output load capacity, and the like. The delay library 12 also includes information of the buffer list 15 having different input logic thresholds.

【0018】次に、第3のステップにおいて、第1のス
テップにて作成されたクロックツリーについて、最短ツ
リー経路遅延時間算出手段16が、クロックツリーの各
枝の中で伝搬遅延時間の最も短いツリー経路を検索し、
その伝搬遅延時間を抽出する。
Next, in a third step, for the clock tree created in the first step, the shortest tree path delay time calculating means 16 calculates a tree having the shortest propagation delay time among the branches of the clock tree. Get directions,
The propagation delay time is extracted.

【0019】次に、第4のステップにおいて、バッファ
置換手段17は、最も伝搬遅延時間の短いツリー経路の
伝搬遅延時間に合わせるように、他の全てのツリー経路
の標準バッファを、遅延ライブラリ12を参照して、標
準バッファと入力論理しきい値の異なるバッファをバッ
ファリスト15から選択し、どのバッファに置換するか
否かを決定する。
Next, in a fourth step, the buffer replacement means 17 replaces the standard buffers of all other tree paths with the delay library 12 so as to match the propagation delay time of the tree path with the shortest propagation delay time. Referring to the buffer list 15, a buffer having a different input logical threshold value from the standard buffer is selected from the buffer list 15, and a buffer to be replaced is determined.

【0020】その際、クロックツリーの根(ルート)側
を、ツリーレベルが高いものする(すなわちツリーの葉
(リーフ)側がツリーレベルが低い)と、バッファ置換
決定の評価はまず、ツリーレベルの低い方、即ちクロッ
ク発生回路から遠い方から行う。また、伝搬遅延時間が
最短のツリー経路に関わる標準バッファ、即ち、最も伝
搬遅延時間の短いツリーからクロック発生回路に近い方
にある標準バッファの置換は行われない。
At this time, if the root (root) side of the clock tree is set to a higher tree level (that is, the tree level is lower at the leaf side of the tree), the evaluation of the buffer replacement decision is first made at the lower tree level. , That is, from the side far from the clock generation circuit. Further, the standard buffer associated with the tree path having the shortest propagation delay time, that is, the standard buffer located closer to the clock generation circuit from the tree having the shortest propagation delay time is not replaced.

【0021】評価される標準バッファに入力されるクロ
ック信号の入力電圧なまりを遅延ライブラリ12を参照
して計算し、計算された入力信号波形のなまりにおい
て、標準バッファに比べて、バッファ置換後の、入出力
間の伝搬遅延時間が小さくなるように、置換すべきバッ
ファを選択する。
The input voltage rounding of the clock signal input to the standard buffer to be evaluated is calculated with reference to the delay library 12, and the calculated rounding of the input signal waveform after the buffer replacement is smaller than that of the standard buffer. The buffer to be replaced is selected so that the propagation delay time between input and output is reduced.

【0022】クロック供給先の論理ブロックのクロック
入力がハイアクティブとされている場合には、入力論理
しきい値の低いバッファに置換することにより、出力電
圧の立ち上がり速度を、標準バッファよりも早くする。
When the clock input of the logic block to which the clock is supplied is set to high active, the rising speed of the output voltage is made faster than that of the standard buffer by replacing with a buffer having a low input logic threshold value. .

【0023】逆に、クロック供給先の論理ブロックのク
ロック入力がロウアクティブとされている場合には、入
力論理しきい値の高いバッファに置換することにより、
出力電圧の立ち下がり速度を標準バッファよりも早くす
る。
Conversely, when the clock input of the logic block to which the clock is supplied is low active, the buffer is replaced with a buffer having a high input logic threshold value.
Make the output voltage fall speed faster than the standard buffer.

【0024】この処理を、順次ツリーレベルの高い方へ
向かって行い、バッファを置換をしたツリー経路の遅延
時間が、最も伝搬遅延時間の短いツリー経路の伝搬時間
に近づいた時に、バッファ置換の処理を終了する。
This processing is sequentially performed toward the higher tree level, and when the delay time of the tree path in which the buffer is replaced approaches the propagation time of the tree path having the shortest propagation delay time, the buffer replacement processing is performed. To end.

【0025】ここで、ツリーレベルの高い方のバッファ
を置換した方がツリーレベルの低い方のバッファを置換
した時よりも、該当ツリーにおける伝搬遅延時間が短縮
される場合には、ツリーレベルの低い方のバッファの置
換は行わず、ツリーレベルの高い方のバッファの置換を
行う。
Here, if the propagation delay time in the tree is reduced by replacing the buffer at the higher tree level with the buffer at the lower tree level, the buffer at the lower tree level is replaced. It does not replace the higher buffer, but replaces the higher buffer at the tree level.

【0026】図2は、本発明の実施例を説明するための
図である。クロックツリーシンセシスにより、クロック
発生回路22から、D型フリップフロップ30、31、
32、33へツリー状に標準バッファ23、24、2
5、26、27、28、29を介して同期クロック信号
が分配されている。各D型フリップフロップは、クロッ
クハイアクティブ、即ちクロック信号の立ち上がりでデ
ータ信号を取り込む。
FIG. 2 is a diagram for explaining an embodiment of the present invention. By clock tree synthesis, D-type flip-flops 30, 31,
32, 33, standard buffers 23, 24, 2
Synchronous clock signals are distributed via 5, 26, 27, 28 and 29. Each D-type flip-flop takes in the data signal at the clock high active, that is, at the rising edge of the clock signal.

【0027】図2において、P1はクロック発生回路2
2から標準バッファ23、24、26を介してD型フリ
ップフロップ30に到達するツリー経路を表し、P2は
クロック発生回路22から標準バッファ23、24、2
7を介してD型フリップフロップ31に到達するツリー
経路を表し、P3はクロック発生回路22から標準バッ
ファ23、25、28を介してD型フリップフロップ3
2に到達するツリー経路を表し、P4はクロック発生回
路23から標準バッファ23、25、29を介してD型
フリップフロップ33に到達するツリー経路を表してい
る。
In FIG. 2, P1 is a clock generation circuit 2
2 represents a tree path reaching the D-type flip-flop 30 via the standard buffers 23, 24, 26, and P2 represents a standard path 23, 24, 2 from the clock generation circuit 22.
7 represents a tree path reaching the D-type flip-flop 31 via the clock signal generator 7, and P3 represents the D-type flip-flop 3 from the clock generation circuit 22 via the standard buffers 23, 25 and 28.
2 and a tree path P4 from the clock generation circuit 23 to the D-type flip-flop 33 via the standard buffers 23, 25 and 29.

【0028】まず、各バッファの遅延ライブラリを参照
して回路シミュレータ等により、ツリー経路P1の伝搬
遅延時間TP1、ツリー経路P2の伝搬遅延時間TP
2、ツリー経路P3の伝搬遅延時間TP3、ツリー経路
P4の伝搬遅延時間TP4を測定する。
First, the propagation delay time TP1 of the tree path P1 and the propagation delay time TP of the tree path P2 are referred to by a circuit simulator or the like with reference to the delay library of each buffer.
2. The propagation delay time TP3 of the tree path P3 and the propagation delay time TP4 of the tree path P4 are measured.

【0029】次に、伝搬遅延時間TP1、TP2、TP
3、TP4をそれぞれ比較し、最短ツリー経路を特定す
る。ここで、TP1が最小であるものとし、最短ツリー
経路はP1とする。
Next, the propagation delay times TP1, TP2, TP
3 and TP4, respectively, to identify the shortest tree path. Here, it is assumed that TP1 is the minimum and the shortest tree path is P1.

【0030】従って、置換対象の標準バッファは、ツリ
ー経路P1に関係しない(最短ツリー経路P1上のバッ
ファ24、26でない)、標準バッファ25、27、2
8、29となる。
Therefore, the standard buffers to be replaced are not related to the tree path P1 (not the buffers 24, 26 on the shortest tree path P1), and the standard buffers 25, 27, 2
8, 29.

【0031】また、他のツリー経路P2、P3、P4
と、最短ツリー経路P1との伝搬遅延時間差は、それぞ
れ、 TP2−TP1=ΔT21、 TP3−TP1=ΔT31、 TP4−TP1=ΔTP41、 とする。
The other tree routes P2, P3, P4
And the propagation delay time difference between the shortest tree route P1 and TP2-TP1 = ΔT21, TP3-TP1 = ΔT31, and TP4-TP1 = ΔTP41, respectively.

【0032】ツリー経路P2について標準バッファの置
換を行う。D型フリップフロップ31はクロックハイア
クティブであるから、標準バッファ27を入力論理しき
い値の低いバッファに置換することにより、出力電圧を
置換前よりも早く立ち上げることを可能とし、遅延時間
差ΔT21を小さくする。その際、置換可能なバッファ
リストよりバッファを逐次選択する。すなわち、回路シ
ミュレータ等により、出力負荷量によるバッファ24の
出力電圧なまりを計算し、更にその出力電圧なまりが影
響する標準バッファ27を置換したバッファの入力立ち
上がりから出力立ち上がりまでの時間を計算し、ΔT2
1が最小になるバッファを選択する。
The standard buffer is replaced for the tree path P2. Since the D-type flip-flop 31 is clock high active, by replacing the standard buffer 27 with a buffer having a lower input logic threshold value, the output voltage can be raised earlier than before the replacement, and the delay time difference ΔT21 is reduced. Make it smaller. At this time, buffers are sequentially selected from a replaceable buffer list. That is, the output voltage rounding of the buffer 24 due to the output load amount is calculated by a circuit simulator or the like, and the time from the input rising to the output rising of the buffer replacing the standard buffer 27 affected by the output voltage rounding is calculated.
Select the buffer that minimizes 1.

【0033】同様にして、ツリー経路P3について標準
バッファ28の置換を行い、ツリー経路P4についても
標準バッファ29の置換を行う。これらツリー経路P
3、P4において、ツリーレベルの高い標準バッファ2
5のみを置換した方が、標準バッファ28、29を置換
したよりもΔT31、ΔT41が小さくなる場合には、
標準バッファ25のみを置換する。もちろん、標準バッ
ファ25、28、29全てを置換した方がΔT31、T
41が小さくなる場合には、これら全てを置換する。
Similarly, the standard buffer 28 is replaced for the tree path P3, and the standard buffer 29 is also replaced for the tree path P4. These tree paths P
3. In P4, standard buffer 2 with high tree level
If ΔT31 and ΔT41 are smaller by replacing only 5 than by replacing the standard buffers 28 and 29,
Only the standard buffer 25 is replaced. Of course, replacing all of the standard buffers 25, 28, and 29 results in ΔT31, T
When 41 becomes smaller, all of them are replaced.

【0034】なお、バッファ置換処理上の注意として以
下のようなことがある。例えば、クロックライン上の標
準バッファを複数直列に入力論理しきい値の低いバッフ
ァで置換した場合、クロック信号の立上りでは出力が標
準バッファに比べて早く立ち上がるが、クロック信号の
立ち下がりでは、出力が逆に標準バッファより遅く立ち
上がるため、クロック信号の立ち上がりと立ち下がりの
両方では、結局標準バッファと伝搬遅延は変わらず、入
力論理しきい値の異なるバッファ置換によるスキュー低
減の効果は低くなる。
Note that there are the following precautions in the buffer replacement process. For example, if a plurality of standard buffers on the clock line are replaced in series with buffers having a low input logic threshold, the output rises faster than the standard buffer at the rising edge of the clock signal, but the output rises at the falling edge of the clock signal. Conversely, since the signal rises later than the standard buffer, the propagation delay does not change after all in the rising and falling of the clock signal, and the effect of skew reduction by buffer replacement having different input logic thresholds is reduced.

【0035】このため、クロック信号を受ける論理ブロ
ックに最も近いところ、即ちクロック発生回路から最も
遠いところに、論理しきい値の異なるバッファを置換す
ると、クロックを受ける論理ブロックの動作に直接影響
するため、効果が高い。
For this reason, if a buffer having a different logic threshold value is replaced closest to the logic block receiving the clock signal, that is, farthest from the clock generation circuit, the operation of the logic block receiving the clock is directly affected. , High effect.

【0036】また、入力電圧の入力なまりの大きいとこ
ろでは、バッファの入力論理しきい値の変化が大きく出
力に作用するため、入力論理しきい値の異なるバッファ
で置換すると効果が高い。
Further, where the input rounding of the input voltage is large, the change in the input logic threshold value of the buffer greatly affects the output. Therefore, it is highly effective to replace the buffer with a buffer having a different input logic threshold value.

【0037】図3は、本発明を大規模回路に適用した実
施例を説明するための図である。図3に示す回路は、ク
ロック発生回路38、39からの2つの互いに独立な同
期クロック信号のクロックツリーを持つ。この場合、各
クロックツリー毎にバッファの置換を行い、クロックス
キューを低減する。
FIG. 3 is a diagram for explaining an embodiment in which the present invention is applied to a large-scale circuit. The circuit shown in FIG. 3 has a clock tree of two mutually independent synchronous clock signals from the clock generation circuits 38 and 39. In this case, the buffer is replaced for each clock tree to reduce clock skew.

【0038】上記各実施例の作用効果として、クロック
ツリーにおけるクロックライン上のバッファを異なる入
力論理しきい値を持つバッファで置換し、前段の出力な
まりの効果により、置換されたバッファの出力を、置換
前の標準バッファよりも早く遷移させることにより、ク
ロック信号の遅延時間をどの論理ブロックに対しても等
しくし、これにより、論理ブロックの誤動作を防止し、
回路の動作周波数を向上させることを可能としている。
As an operational effect of each of the above embodiments, the buffer on the clock line in the clock tree is replaced with a buffer having a different input logic threshold value, and the output of the replaced buffer is replaced by the output rounding effect of the preceding stage. By making the transition faster than the standard buffer before replacement, the delay time of the clock signal is made equal to any logic block, thereby preventing the malfunction of the logic block,
It is possible to improve the operating frequency of the circuit.

【0039】入力クロック信号がハイアクティブである
場合、バッファ置換後と置換前の出力遅延時間差Δtは
以下の式(1)で近似できる。
When the input clock signal is high active, the output delay time difference Δt between after buffer replacement and before buffer replacement can be approximated by the following equation (1).

【0040】[0040]

【数1】 (Equation 1)

【0041】例えば、クロック信号置換するバッファの
入力電圧なまりが1ボルトあたり1ナノ秒(ns)生
じ、標準バッファの入力論理しきい値が1.65
[V]、置換するバッファの入力論理しきい値が1.4
[V]の場合、バッファ置換前に比べ置換後のバッファ
の出力の立ち上がりは150ピコ秒(ps)早くなる。
For example, the input voltage rounding of the buffer for replacing the clock signal occurs at one nanosecond per volt (ns), and the input logic threshold of the standard buffer is 1.65.
[V], the input logic threshold of the buffer to be replaced is 1.4
In the case of [V], the rising of the output of the buffer after the replacement is 150 picoseconds (ps) earlier than before the buffer replacement.

【0042】従って、クロックスキューが数百ピコ秒生
じる場合、本実施例により数十ピコ秒以下まで低減させ
ることが可能となる。
Therefore, when the clock skew occurs several hundreds of picoseconds, this embodiment can reduce the clock skew to several tens of picoseconds or less.

【0043】また、上記実施例においては、置き換える
バッファのサイズを変えずに済むことから、例えば半導
体集積回路の集積度を変えずに済む。さらに、バッファ
のドライブ能力も変えずに済むことから、バッファの置
換により半導体集積回路の消費電力を増大させることは
ない。なお、上記実施例においては、入力論理しきい値
の異なるバッファに変えることから、雑音余裕度が低
く、雑音による電圧変動により入力論理しきい値を変え
たバッファが誤動作する可能性も考えられるため、当然
のことながら、雑音対策を十分配慮することが必要とさ
れる。
In the above embodiment, the size of the buffer to be replaced does not need to be changed, so that, for example, the degree of integration of the semiconductor integrated circuit does not need to be changed. Further, since the driving capability of the buffer does not need to be changed, the power consumption of the semiconductor integrated circuit does not increase by replacing the buffer. In the above embodiment, since the buffer is changed to a buffer having a different input logic threshold, the noise margin is low, and the buffer having the changed input logic threshold may malfunction due to a voltage change due to noise. Naturally, it is necessary to give sufficient consideration to noise suppression.

【0044】[0044]

【発明の効果】以上説明したように、本発明によれば、
クロックツリーにおけるクロックライン上のバッファを
異なる入力論理しきい値を持つバッファで置換し、前段
の出力なまりの効果により、置換されたバッファの出力
を、置換前の標準バッファよりも早く遷移させることに
より、各ツリー間の伝搬遅延差(クロックスキュー)を
低減することを可能としている。このため、本発明によ
れば、クロック信号の遅延時間をどの論理ブロックに対
しても等しくし、論理ブロックの誤動作を防止し、回路
の動作周波数を向上させることを可能とするという効果
を奏する。
As described above, according to the present invention,
By replacing the buffer on the clock line in the clock tree with a buffer having a different input logic threshold, and by the effect of the previous output rounding, the output of the replaced buffer is shifted earlier than the standard buffer before replacement. , It is possible to reduce the propagation delay difference (clock skew) between the trees. Therefore, according to the present invention, there is an effect that the delay time of the clock signal is made equal to any logic block, malfunction of the logic block is prevented, and the operating frequency of the circuit can be improved.

【0045】本発明の効果の一例を定量的に示すと、入
力クロック信号がハイアクティブの場合において、例え
ばクロック信号置換するバッファの入力電圧なまりが1
ボルトあたり1ナノ秒(ns)生じ、標準バッファの入
力論理しきい値が1.65[V]、置換するバッファの
入力論理しきい値が1.4[V]の場合、バッファ置換
前に比べ置換後のバッファの出力の立ち上がりは150
ピコ秒(ps)早くなり、クロックスキューが数百ピコ
秒生じる場合、本発明により数十ピコ秒以下まで低減さ
せることを可能としている。
One example of the effect of the present invention is quantitatively described. When the input clock signal is high active, for example, the input voltage rounding of the buffer for replacing the clock signal is one.
When 1 nanosecond (ns) occurs per volt, the input logic threshold of the standard buffer is 1.65 [V], and the input logic threshold of the buffer to be replaced is 1.4 [V], compared to before the buffer replacement. The rising edge of the buffer output after replacement is 150
When the clock skew is increased by several hundred picoseconds due to the picosecond (ps) being faster, the present invention can reduce the clock skew to several tens of picoseconds or less.

【0046】また、本発明によれば、置き換えるバッフ
ァの大きさを大きく変えずに済むことから半導体集積回
路の集積度を変えずに済む。
Further, according to the present invention, since the size of the buffer to be replaced does not need to be largely changed, the degree of integration of the semiconductor integrated circuit does not need to be changed.

【0047】さらに、本発明によれば、バッファのドラ
イブ能力も変えずに済むことから、半導体集積回路の消
費電力を増大させることもない。
Further, according to the present invention, since the drive capability of the buffer does not need to be changed, the power consumption of the semiconductor integrated circuit does not increase.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態における処理を説明するた
めの流れ図である。
FIG. 1 is a flowchart for explaining processing in an embodiment of the present invention.

【図2】本発明の実施例を説明するための図である。FIG. 2 is a diagram for explaining an embodiment of the present invention.

【図3】本発明を大規模回路へ適用した実施例を説明す
るための図である。
FIG. 3 is a diagram for explaining an embodiment in which the present invention is applied to a large-scale circuit.

【図4】クロックツリーを説明するための図である。FIG. 4 is a diagram for explaining a clock tree.

【符号の説明】[Explanation of symbols]

23〜29 バッファ 30〜33 D型フリップフロップ 34〜37 論理ブロック群 38、39 クロック発生回路 P1〜P4 ツリー経路 102〜109 バッファ 110〜113 論理ブロック 23-29 Buffer 30-33 D-type flip-flop 34-37 Logic block group 38, 39 Clock generation circuit P1-P4 Tree path 102-109 Buffer 110-113 Logic block

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】クロック発生回路から同期クロック信号を
複数の論理ブロックに対してツリー状に配したバッファ
を介して同一のタイミングにて供給するクロック分配方
法において、 前記クロック発生回路から前記論理ブロック間へのツリ
ー経路の遅延時間を算出し、 ツリー上のバッファを入力論理しきい値の異なる複数の
バッファから選択的に置換し、各ツリーの伝搬遅延時間
が同一となるように調整することを特徴とするクロック
分配方法。
1. A clock distribution method for supplying a synchronous clock signal from a clock generation circuit to a plurality of logic blocks at the same timing through buffers arranged in a tree pattern, wherein the clock generation circuit is connected to the logic blocks. The delay time of the tree path to the tree is calculated, and the buffer on the tree is selectively replaced with a plurality of buffers having different input logic threshold values, and the propagation delay time of each tree is adjusted to be the same. Clock distribution method.
【請求項2】クロック発生回路から同期クロック信号を
複数の論理ブロックに対して標準バッファをツリー状に
配してなるクロックツリーの回路接続情報と、バッファ
の遅延情報とから、前記各論理ブロックに至るツリーの
伝搬遅延時間を算出し、 算出された伝搬遅延情報の中から最小の伝搬遅延時間の
ツリーを検出し、 最小の伝搬遅延時間のツリーに関与しない標準バッファ
について、該標準バッファと入力論理しきい値が異な
る、予め用意された複数のバッファの中から、前記標準
バッファを置換した後のツリーの伝搬遅延時間が短くな
るようなバッファにて選択的に置換し、前記各ツリーの
伝搬遅延時間が同一となるように自動調整する、ことを
特徴とするクロック分配方法。
2. A synchronous clock signal from a clock generating circuit is transferred to each of the logical blocks from circuit connection information of a clock tree in which standard buffers are arranged in a tree-like manner for a plurality of logical blocks and delay information of the buffers. Calculate the propagation delay time of the leading tree, detect the tree of the minimum propagation delay time from the calculated propagation delay information, and, for a standard buffer that is not involved in the tree of the minimum propagation delay time, From among a plurality of buffers prepared in advance with different threshold values, the buffer is selectively replaced with a buffer that reduces the propagation delay time of the tree after replacing the standard buffer, and the propagation delay of each tree is reduced. A clock distribution method, wherein the clock is automatically adjusted so that the times are the same.
【請求項3】前記標準バッファと置換されるバッファの
駆動能力を前記標準バッファと同等としたことを特徴と
する請求項2記載のクロック分配方法。
3. The clock distribution method according to claim 2, wherein the driving capability of the buffer replaced with the standard buffer is made equal to that of the standard buffer.
【請求項4】クロック発生回路から同期クロック信号を
複数の論理ブロックに対してツリー状に配したバッファ
を介して同一のタイミングにて供給するクロック分配回
路において、 クロックライン上に入力論理しきい値の異なるバッファ
が混在し、各ツリーの伝搬遅延時間が同一とされてなる
ことを特徴とするクロック分配回路。
4. A clock distribution circuit for supplying a synchronous clock signal from a clock generation circuit to a plurality of logic blocks at the same timing via buffers arranged in a tree pattern, wherein an input logic threshold value is provided on a clock line. A clock distribution circuit, wherein buffers different from each other are mixed and the propagation delay time of each tree is the same.
JP8181183A 1996-06-21 1996-06-21 Clock distribution method and clock distribution circuit Expired - Lifetime JP2828041B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8181183A JP2828041B2 (en) 1996-06-21 1996-06-21 Clock distribution method and clock distribution circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8181183A JP2828041B2 (en) 1996-06-21 1996-06-21 Clock distribution method and clock distribution circuit

Publications (2)

Publication Number Publication Date
JPH1011494A JPH1011494A (en) 1998-01-16
JP2828041B2 true JP2828041B2 (en) 1998-11-25

Family

ID=16096324

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8181183A Expired - Lifetime JP2828041B2 (en) 1996-06-21 1996-06-21 Clock distribution method and clock distribution circuit

Country Status (1)

Country Link
JP (1) JP2828041B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6651224B1 (en) 1998-01-26 2003-11-18 Fujitsu Limited Method of optimizing signal lines within circuit, optimizing apparatus, recording medium having stored therein optimizing program, and method of designing circuit and recording medium having stored therein program for designing circuit
JP2001117967A (en) 1999-10-22 2001-04-27 Nec Corp Clock distribution designing method and buffer circuit for tree structure
JP2001332693A (en) 2000-05-23 2001-11-30 Nec Corp Buffer circuit block and design method for semiconductor integrated circuit device the same
JP2005353677A (en) * 2004-06-08 2005-12-22 Fujitsu Ltd Delay value adjustment method and integrated circuit

Also Published As

Publication number Publication date
JPH1011494A (en) 1998-01-16

Similar Documents

Publication Publication Date Title
US5140184A (en) Clock feeding circuit and clock wiring system
US8887110B1 (en) Methods for designing intergrated circuits with automatically synthesized clock distribution networks
EP2871550B1 (en) Clocking for pipelined routing
US7634749B1 (en) Skew insensitive clocking method and apparatus
US7904874B2 (en) Opposite-phase scheme for peak current reduction
US6313683B1 (en) Method of providing clock signals to load circuits in an ASIC device
JP2007087380A (en) Method and apparatus for managing clock skew
US20040168140A1 (en) Method for analyzing path delays in an IC clock tree
US8130019B1 (en) Clock signal propagation method for integrated circuits (ICs) and integrated circuit making use of same
JP2828041B2 (en) Clock distribution method and clock distribution circuit
US7490257B2 (en) Clock distributor for use in semiconductor logics for generating clock signals when enabled and a method therefor
JP2579237B2 (en) State element circuit having a flow-through latch circuit, VLSI circuit having the state element circuit, and method of operating a latch as a functional alternative to a master-slave flip-flop
US6711089B2 (en) Method and apparatus for performing signal synchronization
US6934872B2 (en) Method and apparatus for optimizing clock distribution to reduce the effect of power supply noise
CN110083196B (en) Clock distribution method and device based on segmented grid
US7212021B2 (en) Manufacturing integrated circuits and testing on-die power supplies using distributed programmable digital current sinks
JP4020836B2 (en) Clock tree circuit and semiconductor integrated circuit device
JP2001056721A (en) Clock distributing circuit
US20030222689A1 (en) Multi-phase edge rate control for scsi lvd
JP4425300B2 (en) Semiconductor integrated circuit device design program and recording medium
US20090248945A1 (en) Noise reducing methods and circuits
Dong et al. PGR: Period and glitch reduction via clock skew scheduling, delay padding and GlitchLess
JPH11108999A (en) Clock tree formation method of scan pass circuit
US6262612B1 (en) Using storage elements with multiple delay values to reduce supply current spikes in digital circuits
JPH05257565A (en) Data processing circuit arrangement

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19980818