JP2023530830A - 傾斜エピタキシ埋込みコンタクト - Google Patents

傾斜エピタキシ埋込みコンタクト Download PDF

Info

Publication number
JP2023530830A
JP2023530830A JP2022570225A JP2022570225A JP2023530830A JP 2023530830 A JP2023530830 A JP 2023530830A JP 2022570225 A JP2022570225 A JP 2022570225A JP 2022570225 A JP2022570225 A JP 2022570225A JP 2023530830 A JP2023530830 A JP 2023530830A
Authority
JP
Japan
Prior art keywords
source
drain
buried
contact
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022570225A
Other languages
English (en)
Inventor
リー、タオ
カン、ツン-シェン
シエ、ルイロン
レズニチェク、アレクサンダー
グルシェンコフ、オレグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023530830A publication Critical patent/JP2023530830A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
  • Semiconductor Lasers (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

傾斜エピタキシ埋込みコンタクトを伴う埋込みパワー・レールを有する半導体デバイス設計が提供される。一態様では、半導体FETデバイスは、基板上に配設されている少なくとも1つのゲートと、少なくとも1つのゲートの両側にあるソースおよびドレインであって、ソースおよびドレインのうちの少なくとも1つが傾斜表面を有する、ソースおよびドレインと、基板に埋設されている埋込みパワー・レールと、埋込みパワー・レールを少なくとも1つのソースおよびドレインの傾斜表面に接続している埋込みコンタクトと、を含む。側壁スペーサが埋込みパワー・レールを基板から分離している。少なくとも1つのソースおよびドレインの傾斜表面の頂部は埋込みコンタクトの頂面よりも上にある。半導体FETデバイスを形成する方法も提供される。

Description

本発明は、埋込みパワー・レールを有する半導体デバイス設計に関し、より詳細には、最適な接触抵抗および低い寄生容量のための傾斜エピタキシ埋込みコンタクト(sloped epitaxy buried contact)・アーキテクチャを備えた埋込みパワー・レールを有する半導体デバイス設計、ならびにその製作のための技術に関する。
半導体デバイス・アーキテクチャの絶え間ないスケーリングに伴い、半導体デバイスへの電力送配の面で、いくつかの無視できない困難が生じている。具体的には、半導体デバイスに電力を送配する相互接続線のサイズは、デバイス性能に悪影響を与えない程度にしか、縮小することができない。
デバイス設計の過密を解消する1つの手法は、半導体デバイスに電力をもたらすパワー・レールを、半導体デバイスの上に配置するのではなく、基板内に埋め込むことである。この様式で構成されたパワー・レールを本明細書では「埋込みパワー・レール」とも呼ぶ。
埋込みパワー・レール設計によってデバイスの上の上側空間がある程度解放されるが、従来のデバイス設計では依然として、埋込みパワー・レールをソースおよびドレインに接続するための比較的大きいコンタクト構造体が必要となる。これらの大きいコンタクト構造体は大きいコンタクト-ゲート寄生容量をもたらし、望ましくない。
したがって、埋込みパワー・レールを有するが寄生容量が最小限である改善された半導体デバイス設計が望ましいと考えられる。
本発明は、最適な接触抵抗および低い寄生容量のための傾斜エピタキシ埋込みコンタクト・アーキテクチャを備えた埋込みパワー・レールを有する半導体デバイス設計、ならびにその製作のための技術を提供する。本発明の一態様では、半導体電界効果トランジスタ(FET)デバイスが提供される。半導体FETは、基板上に配設されている少なくとも1つのゲートと、少なくとも1つのゲートの両側にあるソースおよびドレインであって、ソースおよびドレインのうちの少なくとも1つが傾斜表面を有する、ソースおよびドレインと、基板に埋設されている埋込みパワー・レールと、埋込みパワー・レールを少なくとも1つのソースおよびドレインの傾斜表面に接続している埋込みコンタクトと、を含む。
本発明の他の態様では、別の半導体FETデバイスが提供される。半導体FETは、基板上に配設されている少なくとも1つのゲートと、少なくとも1つのゲートの両側にあるソースおよびドレインであって、ソースおよびドレインのうちの少なくとも1つが傾斜表面を有する、ソースおよびドレインと、傾斜表面よりも下で基板に埋設されている埋込みパワー・レールと、埋込みパワー・レールを基板から分離している側壁スペーサと、埋込みパワー・レールを少なくとも1つのソースおよびドレインの傾斜表面に接続している埋込みコンタクトと、を含み、少なくとも1つのソースおよびドレインの傾斜表面の頂部は埋込みコンタクトの頂面よりも上にある。
本発明の更に別の態様では、半導体FETデバイスを形成する方法が提供される。方法は、基板上に配設されている少なくとも1つのゲート、少なくとも1つのゲートの両側にあるソースおよびドレイン、ならびに基板に埋設されている埋込みパワー・レールを有する、デバイス構造体を形成することと、ソースおよびドレインのうちの少なくとも1つをエッチングして少なくとも1つのソースおよびドレインの一部に沿った傾斜表面を生成することと、埋込みパワー・レールを少なくとも1つのソースおよびドレインの傾斜表面に接続する埋込みコンタクトを形成することと、を含み、少なくとも1つのソースおよびドレインの傾斜表面の頂部は埋込みコンタクトの頂面よりも上にある。
本発明のまた更に別の態様では、半導体FETデバイスを形成する別の方法が提供される。方法は、基板上に配設されている少なくとも1つのゲート、少なくとも1つのゲートの両側にあるソースおよびドレイン、少なくとも1つのゲートの周囲にある層間誘電体(ILD)、ならびに基板に埋設されている埋込みパワー・レールを有する、デバイス構造体を形成することと、ILDにコンタクト・トレンチをパターニングしてソースおよびドレインのうちの少なくとも1つを露出させることと、その少なくとも1つのソースおよびドレインをエッチングして、コンタクト・トレンチ内に露出した少なくとも1つのソースおよびドレインの一部に沿った傾斜表面を生成することと、エピタキシャル再成長を行って傾斜表面上に表面ドーパント-半導体合金コンタクト層を形成することと、コンタクト・トレンチ内に、埋込みパワー・レールを少なくとも1つのソースおよびドレインの傾斜表面に接続する埋込みコンタクトを形成することと、を含み、少なくとも1つのソースおよびドレインの傾斜表面の頂部は、埋込みコンタクトの頂面よりも上にある。
本発明ならびに本発明の更なる特徴および利点のより完全な理解は、以下の詳細な説明および図面を参照することによって得られるであろう。
本発明の実施形態に係る、デバイス・スタックおよびデバイス・スタックと直交するように方向付けられたゲートを有する本明細書で提示される全体的な半導体電界効果トランジスタ(FET)設計を、上から見下ろした図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、ハードマスクを使用した基板上でのデバイス・スタック(例えば、第1のデバイス・スタック、第2のデバイス・スタック、等)の形成を示す断面図であり、デバイス・スタックの各々は、基板上に堆積させた第1の犠牲層と、第1の犠牲層上に堆積させた交互となる第2の犠牲層および活性層と、を含む。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、基板がリセス化(recess)されてデバイス・スタックの基部において基板にトレンチが形成されており、デバイス・スタックがトレンチを充填しているシャロー・トレンチ・アイソレーション(STI)酸化物に埋め込まれているのを示す、断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、隣り合うデバイス・スタックの間のトレンチ内でSTI酸化物の部分的リセス化エッチ(partial recess etch)が行われたのを示す断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、デバイス・スタックの基部において隣り合うデバイス・スタックの間のトレンチの側壁に沿って側壁スペーサが形成されたのを示す断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、側壁スペーサ間のトレンチ内に埋込みパワー・レールが形成されたのを示す断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間のデバイス・スタックを通る断面視による、追加の誘電体が埋込みパワー・レールの上のトレンチ内に堆積されSTI酸化物と共にリセス化されたのを示す断面図である。 本発明の実施形態に係る、ゲートに対して垂直なデバイス・スタックのうちの1つの中心を通る断面視による、デバイス・スタック上に犠牲ゲートおよび犠牲ゲート・ハードマスクが形成されており、犠牲ゲート・ハードマスクおよび犠牲ゲートと並んで誘電体スペーサが形成されており、犠牲ゲートおよび誘電体スペーサが犠牲ゲート間でデバイス・スタックにトレンチをパターニングするためのマスクとして使用されているのを示す、断面図である。 本発明の実施形態に係る、ゲートに対して垂直なデバイス・スタックのうちの1つの中心を通る断面視による、第1の犠牲層が選択的に除去され底部誘電体絶縁層で置換されており、犠牲層と並んで内側スペーサが形成されており、活性層の両側にソースおよびドレインが形成されており、犠牲ゲートが層間誘電体(ILD)に包囲されているのを示す、断面図である。 本発明の実施形態に係る、ゲートに対して垂直なデバイス・スタックのうちの1つの中心を通る断面視による、犠牲ゲートが選択的に除去されてILDにゲート・トレンチを形成しており、犠牲層がゲート・トレンチを通して選択的に除去されて活性層間に間隙を形成しており、ゲート・トレンチおよび間隙の各々の中に共形のゲート誘電体を堆積させてそれらをライニングするのを示す、断面図である。 本発明の実施形態に係る、ゲートに対して垂直なデバイス・スタックのうちの1つの中心を通る断面視による、少なくとも1つの仕事関数設定金属をゲート・トレンチおよび間隙の中にゲート誘電体上に接して堆積させたのを示す断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、デバイス・スタック中の置換金属ゲートの一面へのソースおよびドレイン・エピタキシと、ソースおよびドレインを基板から分離する底部誘電体絶縁層と、を示す断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、追加の誘電体にコンタクト・トレンチがパターニングされており、ILDがソースおよびドレインのうちの少なくとも1つの少なくとも一部を露出しているのを示す、断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、エッチを利用して少なくとも1つのソースおよびドレインの露出した部分に沿った下向きの傾斜表面が生成されたのを示す、断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、コンタクト・トレンチ内の露出した傾斜表面上に任意選択的なトレンチ・エピタキシ層を成長させたのを示す、断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、少なくとも1つのソースおよびドレインの傾斜表面に沿った、少なくとも1つのソースおよびドレインの傾斜表面と埋込み金属コンタクトとの間の接触抵抗を低くするためのエピタキシ非晶質化注入および高速レーザ・アニーリングが行われたのを示す、断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、埋込みパワー・レールを少なくとも1つのソースおよびドレインの傾斜表面に接続する埋込みコンタクトがコンタクト・トレンチ内に形成されたのを示す、断面図である。 本発明の実施形態に係る、デバイスのソースおよびドレイン領域におけるゲートのうちの2つの間でデバイス・スタックを通る断面視による、金属化技術を用いてデバイス構造体を完成させたのを示す断面図である。
上で述べたように、埋込みパワー・レールの使用によって、半導体デバイス設計における上方での過密を解消することができる。埋込みパワー・レール設計では、半導体デバイスに電力を供給する相互接続部は、デバイスの下の基板に埋め込まれている。しかしながら従来の埋込みパワー・レール設計では依然として、接触抵抗を低くするために、ソースおよびドレインの上に比較的大きいコンタクト構造体が必要となる。その結果、かなりの量のコンタクト-ゲート寄生容量が依然として存在する。
有利には、本明細書では、スケーリング利益のための埋込みパワー・レールと、ソースおよびドレインに対する接触抵抗を最適化するための傾斜エピタキシ埋込みコンタクト・アーキテクチャと、を特徴とする半導体デバイス設計が提供される。傾斜設計によって接触抵抗が最小化されるため、本傾斜コンタクト設計の総専有面積は、コンタクト-ゲート寄生容量の減少をもたらすように望ましく最小化され得る。
本技術に従って半導体電界効果トランジスタ(FET)デバイスを形成するための例示的な方法を、以下に図1~図18を参照して記載する。以下の図の各々では、半導体FETデバイスの一部を通る断面図が示される。例えば、各図で描かれることになるデバイスを通る様々な切断の向きを示す、一般的な半導体FETデバイス設計を上から見下ろした図を示す図1を参照されたい。図1を参照すると、本半導体FETデバイスは犠牲層および活性層のデバイス・スタックを含み、半導体FETデバイスのゲートはデバイス・スタックと直交するように方向付けられている。図1には犠牲ゲートが示されている。具体的には、以下で更に詳細に記載するように、本明細書では置換金属ゲートまたはRMGプロセスが利用され、その場合これらの犠牲ゲートはソースおよびドレインの形成中にプレースホルダの役割を果たし、そして後から置換金属ゲートで置換される。ただし、デバイス・スタックに対するゲートの向きは、犠牲ゲートおよび置換金属ゲートの両方で同じである。
図1に示すように、断面Xは、デバイスのソースおよびドレイン領域における、ゲートのうちの2つの間でデバイス・スタックを通る切断の図を提供するものである。断面Yは、ゲートに対して垂直なデバイス・スタックの中心を通る切断の図を提供するものである。例えば、図2(断面図X)に示すように、プロセスは、基板202上での少なくとも1つのデバイス・スタック212a、b、等の形成から開始する。例示的な実施形態によれば、基板202は、バルク・ケイ素(Si)ウエハ、バルク・ゲルマニウム(Ge)ウエハ、バルク・シリコン・ゲルマニウム(SiGe)ウエハ、またはバルクIII-V族半導体ウエハ、あるいはそれらの組合せなどの、バルク半導体ウエハである。別法として、基板202は半導体オン・インシュレータ(SOI)ウエハであり得る。SOIウエハは、埋込み絶縁体によって下にある基板から分離されているSOI層を含む。埋込み絶縁体が酸化物である場合、本明細書ではこれを埋込み酸化物またはBOXと呼ぶ。SOI層は、Si、Ge、SiGe、またはIII-V族半導体、あるいはそれらの組合せなどの、任意の好適な半導体を含み得る。基板202は、例えばトランジスタ、ダイオード、コンデンサ、レジスタ、相互接続部、配線、等の、事前に構築された構造体(図示せず)を予め有してもよい。
例示的な実施形態によれば、デバイス・スタック212a、b、等の形成は、まず犠牲層および活性層を基板202上にスタックとして垂直に方向付けて互いの上に堆積させることと、次いで標準的なリソグラフィおよびエッチング技術を用いて個々のデバイス・スタック212a、b、等に犠牲/活性層をパターニングすることと、を含む。単なる例として、1つの例示的な実施形態では、犠牲層および活性層は、基板202上にスタックとして形成されるナノシートである。用語「ナノシート」は、本明細書で使用される場合一般に、ナノスケールの寸法を有するシートまたは層を指す。更に、用語「ナノシート」は、ナノワイヤなどの他のナノスケールの構造体を包含することが意図されている。例えば、用語「ナノシート」はより大きい幅を有するナノワイヤを指す場合がある、または、用語「ナノワイヤ」はより小さい幅を有するナノシートを指す場合がある、あるいはその両方であり、これらの逆も成り立つ。
標準的なリソグラフィおよびエッチング・プロセスでは、デバイス・スタック212a、b、等の各々のフットプリントおよび位置を有するハードマスク210をパターニングするために、リソグラフィ・スタック(図示せず)、例えば、フォトレジスト/有機平坦化層(OPL)/反射防止被覆(ARC)が使用される。好適なハードマスク材料としては、限定するものではないが、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、炭窒化ケイ素(SiCN)などの窒化物ハードマスク材料、または酸化ケイ素(SiOx)などの酸化物ハードマスク材料、あるいはその両方が挙げられる。別法として、ハードマスク210は、限定するものではないが、側壁イメージ転写(SIT)、自己整合ダブル・パターニング(SADP)、自己整合四重パターニング(SAQP)、および他の自己整合マルチ・パターニング(SAMP)を含む、他の好適な技術によって形成され得る。次いでエッチを用いて、ハードマスク210から下にある犠牲層および活性層のスタックへとパターンを転写する。スタック・エッチのために、反応性イオン・エッチング(RIE)などの方向性(異方性)エッチング・プロセスを利用することができる。
図2に示すように、本デバイス・スタック212a、b、等は具体的には、基板202上に堆積させた(第1の)犠牲層204a、b、等と、犠牲層204a、b、等上に堆積させた(第2の)犠牲層206a、b、等および活性層208a、b、等の、交互の層と、を含む。用語「犠牲」は、本明細書で使用される場合、半導体FETデバイスの製作中に全部または一部が除去される構造体を指す。対照的に、活性層208a、b、等は所定位置に残り、半導体FETデバイスのチャネルの役割を果たす。図に示す犠牲層206a、b、等および活性層208a、b、等の数は、本技術を説明するための単なる例として提供されていることに注意すべきである。例えば、本明細書では、示されているものよりもより多くのもしくはより少ない犠牲層206a、b、等、またはより多くのもしくはより少ない活性層208a、b、等、あるいはその両方が存在する実施形態が企図される。
例示的な実施形態によれば、犠牲層204a、b、等および犠牲層206a、b、等/活性層208a、b、等の各々は、エピタキシャル成長プロセスを用いて基板202上に堆積/形成される。1つの例示的な実施形態では、犠牲層204a、b、等および犠牲層206a、b、等/活性層208a、b、等は、約10ナノメートル(nm)~約25nmおよびこれらの間の範囲の厚さを各々有する。
上記したように、まず犠牲層および活性層を基板202上にブランケット堆積/形成し、次いで個々のデバイス・スタック212a、b、等へとパターニングする。この結果、このプロセスに基づき、犠牲層204a、b、等は、デバイス・スタック212a、b、等の各々において同じ組成および厚さを有することになり、犠牲層206a、b、等および活性層208a、b、等も同様である。犠牲層206a、b、等および活性層208a、b、等に採用される材料は、製作中に犠牲層206a、b、等を活性層208a、b、等に対して選択的に除去できるようなものとなっている。更に、以下で更に詳細に記載するように、犠牲層204a、b、等に採用される材料は、底部誘電体絶縁層を形成するために、製作中に犠牲層204a、b、等を犠牲層206a、b、等に対して選択的に除去できるようになっている必要がある。
例えば、例示的な実施形態によれば、犠牲層204a、b、等および犠牲層206a、b、等はSiGeから各々形成され、一方、活性層208a、b、等はSiから形成される。SiGe対Siのエッチングに関して、高温液相のSC1、気相の塩化水素(HCl)、気相の三フッ化塩素(ClF)などのエッチャント、および他の反応性洗浄プロセス(RCP)が選択性を有している。
更に、乾燥HClなどのエッチャントを使用して、高ゲルマニウム(Ge)含有量SiGeを低Ge含有量SiGeに対して選択的に除去することができる。この場合、例示的な実施形態によれば、犠牲層204a、b、等は、高いGe含有量を有するSiGeから形成される。例えば、1つの例示的な実施形態では、高Ge含有量SiGeは、約50%のGe~約100%のGe(すなわち純粋なGe)およびこれらの間の範囲を有するSiGeである。例えば、1つの非限定的な例では、犠牲層204a、b、等は、(約60%のGe含有量を有するSiGeである)SiGe60から形成される。よりGe含有量の高いSiGeの使用によって、底部誘電体絶縁層を形成するときに、犠牲層204a、b、等を犠牲層206a、b、等に対して選択的にエッチングすることが可能になる(下記を参照)。その場合、犠牲層206a、b、等は好ましくは、低Ge含有量SiGeから形成される。例えば、1つの例示的な実施形態では、低Ge含有量SiGeは、約20%のGe~約50%のGeおよびこれらの間の範囲を有するSiGeである。例えば、1つの非限定的な例では、犠牲層206a、b、等は、(約30%のGe含有量を有するSiGeである)SiGe30から形成される。
次いでデバイス・スタック212a、b、等を絶縁するために、シャロー・トレンチ・アイソレーション(STI)技術が利用される。STIは一般に、基板にトレンチをパターニングすることと、次いでトレンチを酸化物(本明細書では一般に「STI酸化物」と呼ぶ場合もある)などの誘電体で充填することと、を含む。図には明示的には示されていないが、STI酸化物の前に、トレンチ内にライナ(例えば、熱酸化物または窒化ケイ素(SiN))を堆積させてもよい。
STIプロセスを開始するために、まず基板202がリセス化され、デバイス・スタック212a、b、等の基部において基板202にトレンチ302a、b、c、等が形成される。図3(断面図X)を参照されたい。単なる例として、基板202のリセス化は、RIEなどの方向性(異方性)エッチング・プロセスを用いて実行することができる。ハードマスク210は、リセス化エッチ中にデバイス・スタック212a、b、等を保護する。次いでデバイス・スタック212a、b、等は、図3に示すようにトレンチ302a、b、c、等を充填するSTI酸化物304に埋め込まれる。好適なSTI酸化物304としては、限定するものではないが、酸化ケイ素(SiOx)などの酸化物低誘電率材料、または、例えば2.7未満の誘電率κを有する、酸化物超低誘電率層間誘電体(ULK-ILD)材料、あるいはその両方が挙げられる。比較として、二酸化ケイ素(SiO2)は3.9の誘電率κ値を有する。好適な超低誘電率誘電体材料としては、限定するものではないが、多孔質有機ケイ酸塩ガラス(pSiCOH)が挙げられる。STI酸化物304を堆積させるために、化学気相成長(CVD)、原子層成長(ALD)、または物理気相成長(PVD)などのプロセスを用いることができる。堆積に続いて、化学機械研磨(CMP)などのプロセスを用いて、STI酸化物304を平坦化することができる。図3に示すように、この時点でSTI酸化物304の頂面がハードマスク210の頂面と同一平面上にある。
この例では、隣り合うデバイス・スタック212a、b、等の間に埋込みパワー・レールが形成されることになる。これを行うために、隣り合うデバイス・スタック212a、b、等の間およびトレンチ302b内に存在するSTI酸化物304の、選択的リセス化が行われる。STI酸化物304の目的は、隣り合うデバイス・スタック212a、b、等の間およびトレンチ302bの中に存在するSTI酸化物304だけを、部分的にリセス化することである。言い換えれば、デバイス・スタック212a、b、等の反対側/外側ならびにトレンチ302aおよび302cの中のSTI酸化物304は、影響を受けないままであるべきである。したがってまず、デバイス・スタック212a、b、等の外側に、STI酸化物304を覆う/マスキングするパターニングされたブロック・マスク402が、STI酸化物304上に形成される。図4(断面図X)を参照されたい。ブロック・マスク402に好適な材料としては、限定するものではないが、有機平坦化層(OPL)材料が挙げられる。
次に、隣り合うデバイス・スタック212a、b、等の間およびトレンチ302b内のSTI酸化物304の、部分的リセス化エッチが行われる。単なる例として、STI酸化物304のリセス化は、RIEなどの方向性(異方性)エッチング・プロセスを用いて実行することができる。「部分的」とは、リセス化の後でトレンチ302bの底部の基板202上に、STI酸化物304の一部が残っていることを意味する。例えば図4を参照されたい。
次いで隣り合うデバイス・スタック212a、b、等の間におけるデバイス・スタック212a、b、等の基部に、トレンチ302bの側壁に沿って側壁スペーサ502が形成される。図5(断面図X)を参照されたい。例示的な実施形態によれば、まずデバイス・スタック212a、b、等の上に好適なスペーサ材料を堆積させること、および次いでRIEなどの方向性(異方性)エッチング・プロセスを用いてスペーサ材料をデバイス・スタック側壁に沿った個々のスペーサへとパターニングすることによって、側壁スペーサ502が形成される。側壁スペーサ502にとって好適なスペーサ材料としては、限定するものではないが、炭化ケイ素(SiC)またはオキシ炭化ケイ素(SiOC)あるいはその両方が挙げられる。自然な状態では、スペーサは露出されているデバイス・スタックの側壁、具体的にはトレンチ302bの上の隣り合うデバイス・スタック212a、b、等の間の側壁に沿ってしか形成され得ない。最初は、露出した側壁に沿って最大長さの側壁スペーサが形成される。しかし図5に示すように、その後側壁スペーサ502はリセス化される。
続く説明から明らかになるように、側壁スペーサ502は、埋込みパワー・レールを基板202から分離/絶縁する保護層の役割を果たすことになる。このため、リセス化した側壁スペーサ502は依然として、トレンチ302bの側壁に沿って基板202を覆っている必要がある。例示的な実施形態によれば、リセス化した側壁スペーサ502は、図5に示すように、トレンチ302bの側壁に沿って基板202を、ならびに、デバイス・スタック212a、b、等の側壁に沿って犠牲層204a、b、等(および任意選択的に最も下の犠牲層206a、b、等の一部)を覆う。
次いで側壁スペーサ502間のトレンチ302b内に、埋込みパワー・レール602が形成される。図6(断面図X)を参照されたい。例示的な実施形態によれば、埋込みパワー・レール602は、金属または金属の組合せをトレンチ302b内に堆積させること、および、次いでエッチを用いて側壁スペーサ502の頂面よりも下にある金属を選択的にリセス化することによって、形成される。埋込みパワー・レール602に好適な金属としては、限定するものではないが、銅(Cu)、コバルト(Co)、ルテニウム(Ru)、またはタングステン(W)、あるいはそれらの組合せが挙げられる。トレンチ302b内に金属を堆積させるために、スパッタリング、蒸着、または電気化学めっきなどのプロセスを利用することができる。埋込みパワー・レール602をリセス化するために、金属選択性エッチを利用することができる。例示的な実施形態によれば、埋込みパワー・レール602において、リセス化した埋込みパワー・レール602の頂面は、図6に示すように、デバイス・スタック212a、b、等の犠牲層204a、b、等よりも下にある。埋込みパワー・レール602の形成に続いて、残りの全てのブロック・マスク402が除去される。単なる例として、アッシング・プロセスを用いてOPLブロック・マスクを除去することができる。
ブロック・マスク402の除去に続いて、次に埋込みパワー・レール602を覆ってトレンチ302bの中を含めデバイス構造体上に追加の誘電体702が堆積され、これにCMPが続く。次いで誘電体702およびSTI酸化物304がリセス化され、残っているハードマスク210が除去される。図7(断面図X)を参照されたい。誘電体702に好適な材料としては、限定するものではないが、SiOxなどの酸化物低誘電率材料、またはpSiCOHなどの酸化物ULK-ILD材料、あるいはその両方が挙げられる。単なる例として、誘電体702およびSDI酸化物304のリセス化は、RIEなどの方向性(異方性)エッチング・プロセスを用いて実行することができる。このプロセスに基づいて、ここでデバイス・スタック212a、b、等の基部の基板202に、例えばデバイス・スタック212aとデバイス・スタック212bとの間において、埋込みパワー・レール602が埋設される。ただし、STI酸化物304および側壁スペーサ502が、埋込みパワー・レール602を基板202から分離している。
図7に示すように、誘電体702の頂面はこの時点で側壁スペーサ502の頂部よりも下にあり、誘電体702の頂面と実質的に同一平面上にあるSTI酸化物304の頂面は、デバイス・スタック212a、b、等の各々における犠牲層204a、b、等の頂面よりも下にある。以下で更に詳細に記載するように、デバイス・スタック212a、b、等の側壁に沿って犠牲層204a、b、等を露出することによって、犠牲層204a、b、等を底部誘電体絶縁層で置換することが可能になる。底部誘電体絶縁層は、基板202を介するソース-ドレイン漏れ電流を防止する。
上記したように、置換金属ゲートすなわちRMGプロセスが利用され、その場合ソースおよびドレインの形成を可能にするために、プロセスの初期に犠牲ゲートが設置される。犠牲ゲートは後から除去され、置換金属ゲートで置換される。置換金属ゲート・プロセスおよび関連するステップを説明するために、以下では、上で強調したようにゲートに対して垂直なデバイス・スタックのうちの1つの中心を通る切断の図を提供する、デバイス構造体の断面Yを参照する。
具体的には、図8(断面図Y)に示すように、次いで半導体FETのチャネル領域の上のデバイス・スタック212a、b、等上に、犠牲ゲート802が形成される。図8を参照されたい。図8はデバイス・スタック212aを通る切断の図を提供する。ただし、プロセス・フローはデバイス・スタック212bについて同じである。犠牲ゲート802を形成するために、まずデバイス・スタック212a、b、等の上に犠牲ゲート材料をブランケット堆積させる。好適な犠牲ゲート材料としては、限定するものではないが、ポリ・シリコン(poly-Si)または非晶質ケイ素(a-Si)あるいはその両方が挙げられる。デバイス・スタック212a、b、等の上に犠牲ゲート材料を堆積させるために、CVD、ALD、またはPVDなどのプロセスを利用することができる。
次いで犠牲ゲート材料上に、犠牲ゲート802の各々のフットプリントおよび配置をマーキングする、犠牲ゲート・ハードマスク801が形成される。犠牲ゲート・ハードマスク801に好適な材料としては、限定するものではないが、SiN、SiON、もしくは炭窒化ケイ素(SiCN)、またはそれらの組合せなどの窒化物ハードマスク材料、あるいはSiOxなどの酸化物ハードマスク材料、あるいはその両方が挙げられる。次いで犠牲ゲート・ハードマスク801を使用するエッチを用いて、犠牲ゲート材料を図8に示す個々の犠牲ゲート802へとパターニングする。犠牲ゲート・エッチのために、RIEなどの方向性(異方性)エッチング・プロセスを利用することができる。
図8に示すように、次いで犠牲ゲート・ハードマスク801および犠牲ゲート802と並んで、誘電体スペーサ804が形成される。誘電体スペーサ804に好適な材料としては、限定するものではないが、SiOx、SiC、またはSiCO、あるいはそれらの組合せが挙げられる。犠牲ゲート・ハードマスク801/犠牲ゲート802と並んで誘電体スペーサ804を堆積させるために、CVD、ALD、またはPVDなどのプロセスを利用することができる。
上で強調したように、犠牲ゲート802は、半導体FETデバイスの最終的なゲートのためのプレースホルダの役割を果たすことになる。具体的には、犠牲ゲート802はプロセスの後期に除去され、半導体FETデバイスの最終的なゲートの役割を果たすことになる金属ゲート・スタックで置換されることになる。この場合、半導体FETデバイスのこれらの最終的なゲートは、本明細書では「置換金属ゲート」または単に「RMG」とも呼ばれる。置換金属ゲート・プロセスの使用は有利であるが、その理由はこれによって、後続の処理ステップ中に金属ゲート・スタック材料が損傷を生じさせ得る状況に曝露されるのが防止されるからである。例えば、置換金属ゲート・スタックにおいて使用される高誘電率誘電体は、高い温度に曝露されることで損傷する可能性がある。このためこれらのゲート・スタック材料は、プロセスの終了近くになって初めて設置される。
次いで犠牲ゲート802および誘電体スペーサ804が、犠牲ゲート802間でデバイス・スタック212aにトレンチ806をパターニングするためのマスクとして使用される。トレンチ806のエッチのために、RIEなどの方向性(異方性)エッチング・プロセスを利用することができる。図8に示すように、トレンチ806は犠牲層206aおよび活性層208aの各々を貫通して延び、犠牲層204a上で終止する。図には示されていないが、同じプロセスが、犠牲ゲート、誘電体スペーサ、およびデバイス・スタック212bのトレンチを形成するために利用される。
図8に示すように、このエッチによってデバイス・スタック212aが、複数の個々のFETスタック212a’、212a’’、および212a’’’へとパターニングされる。犠牲層206aおよび活性層208aのパターニングされた部分は、この時点でそれぞれ206a’、206a’’、および206a’’’、ならびに208a’、208a’’、および208a’’’として指定されている。
次いで犠牲層204aが選択的に除去され、底部誘電体絶縁層902で置換される。図9(断面図Y)を参照されたい。例えば上で述べたように、犠牲層204aは、高Ge含有量SiGe(例えば、約50%のGe~約100%のGe(すなわち純粋なGe)、およびSiGe60などのこれらの間の範囲を有するSiGe)から形成され得る。その場合、乾燥HClなどのエッチャントを使用して犠牲層204aを除去し、デバイス・スタック212aの底部にキャビティ901(点線で示されている)を形成することができる。次いでキャビティ901内に誘電体材料を堆積させて、図9に示す底部誘電体絶縁層902を形成する。底部誘電体絶縁層902に好適な誘電体材料としては、限定するものではないが、SiOxまたはSiNあるいはその両方が挙げられる。キャビティ901内に誘電体材料を堆積させるために、CVD、ALD、またはPVDなどのプロセスを利用することができる。上で述べたように、底部誘電体絶縁層902は、基板202を介したソース-ドレイン漏れ電流を防止するために使用される。図には示されていないが、犠牲層204aを除去し底部誘電体絶縁層902と置換するために、同じプロセスが利用される。
次いで、犠牲層206a’、206a’’、および206a’’’ならびに活性層208a’、208a’’、および208a’’’の両側のトレンチ806内に、ソースおよびドレインが形成される。ただし最初に、犠牲層206a’、206a’’、および206a’’’と並んで、内側スペーサ904が形成される。これを行うために、FETスタック212a’、212a’’、および212a’’’の側壁に沿って露出された犠牲層206a’、206a’’、および206a’’’を横方向にリセス化するための、選択的エッチが行われる。図9を参照されたい。このリセス化エッチによってFETスタック212a’、212a’’、および212a’’’の側壁に沿ってポケットが形成され、これらがスペーサ材料で充填されて、ポケット内に内側スペーサ904が形成される。これらの内側スペーサ904によって、置換金属ゲートがソースおよびドレインからずらされることになる(下記を参照)。上で述べたように、例示的な実施形態によれば、犠牲層206a’、206a’’、および206a’’’はSiGeから形成される。その場合、リセス化エッチのために、SiGe選択性の非方向性(等方性)エッチング・プロセスを用いることができる。内側スペーサ904に好適なスペーサ材料としては、限定するものではないが、窒化ケイ素(SiN)、SiOx、SiC、またはSiCO、あるいはそれらの組合せが挙げられる。ポケット内にスペーサ材料を堆積させるために、CVD、ALD、またはPVDなどのプロセスを利用することができる。RIEなどの方向性(異方性)エッチング・プロセスを用いて、トレンチ806から余分なスペーサ材料が除去される。
次いで、犠牲層206a’、206a’’、および206a’’’、ならびに活性層208a’、208a’’、および208a’’’の両側のトレンチ806内に、ソースおよびドレイン906が形成される。例示的な実施形態によれば、ソースおよびドレイン906は、例えばエピタキシャルSi、エピタキシャルSiGe、等の、イン・サイチュ・ドープした(すなわち成長中の)またはエクス・サイチュ・ドープした(例えばイオン注入を介した)エピタキシャル材料から形成される。好適なn型ドーパントとしては、限定するものではないが、リン(P)またはヒ素(As)あるいはその両方が挙げられる。好適なp型ドーパントとしては、限定するものではないが、ホウ素(B)、アルミニウム(Al)、またはガリウム(Ga)、あるいはそれらの組合せが挙げられる。内側スペーサ904がFETスタック212a’、212a’’、および212a’’’の側壁に沿った所定位置にある状態では、ソースおよびドレイン906のエピタキシャル成長は、活性層208a’、208a’’、および208a’’’の(露出された)端部を型として行われる。
ソースおよびドレイン906の形成に続いて、犠牲ゲート802が選択的に除去される。これを行うために、犠牲ゲート802はまず層間誘電体(ILD)908に包囲される。好適なILD材料としては、限定するものではないが、SiOxなどの酸化物低誘電率材料、またはpSiCOHなどの酸化物ULK-ILD材料、あるいはその両方が挙げられる。犠牲ゲート802の周囲にILD908を堆積させるために、CVD、ALD、またはPVDなどのプロセスを利用することができる。堆積に続いて、化学機械研磨(CMP)などのプロセスを用いて、ILD908を平坦化することができる。
次いで犠牲ゲート802が選択的に除去されて、FETスタック212a’、212a’’、および212a’’’の上にILD908にゲート・トレンチ1002が形成される。図10(断面図Y)を参照されたい。犠牲層206a’、206a’’、および206a’’’はこの時点でゲート・トレンチ1002を通して到達可能であって、次いで選択的に除去される。犠牲層206a’、206a’’、および206a’’’の除去によって、活性層208a’、208a’’、および208a’’’が、デバイスのチャネル領域においてFETスタック212a’、212a’’、および212a’’’から解放される。具体的には、この時点でFETスタック212a’、212a’’、および212a’’’には、デバイスのチャネル領域において活性層208a’、208a’’、および208a’’’の間に間隙が存在する。FETデバイスのチャネルを形成するために、活性層208a’、208a’’、および208a’’’が使用されることになる。ゲート・トレンチ1002ならびにFETスタック212a’、212a’’、および212a’’’における間隙によって、活性層208a’、208a’’、および208a’’’の各々の一部をゲート-オール-アラウンド構成で完全に包囲する置換金属ゲート、つまりゲート誘電体と少なくとも1つの仕事関数設定金属とを含むもの、を形成することが可能になる。
例えば、まず共形のゲート誘電体1004をゲート・トレンチ1002および間隙の各々の中に堆積させてそれらをライニングし、その結果この時点で、FETデバイスのチャネル領域内の活性層208a’、208a’’、および208a’’’上には、共形のゲート誘電体1004が存在している。図10を参照されたい。例示的な実施形態によれば、ゲート誘電体1004は高誘電率材料である。用語「高誘電率」は、本明細書で使用される場合、二酸化ケイ素の比誘電率よりも遥かに高い比誘電率κを有する材料を指す(例えば、SiOの4とは異なり、酸化ハフニウム(HfO)では誘電率κ=25)。好適な高誘電率ゲート誘電体としては、限定するものではないが、HfOまたは酸化ランタン(La)あるいはその両方が挙げられる。ゲート誘電体1004を堆積させるために、CVD、ALD、またはPVDなどのプロセスを利用することができる。例示的な実施形態によれば、ゲート誘電体1004は、約1ナノメートル(nm)~約5nmおよびこれらの間の範囲の厚さを有する。ゲート誘電体1004の堆積に続いて信頼性アニール(reliability anneal)を行うことができる。1つの例示的な実施形態では、信頼性アニールは、約500℃~約1200℃およびこれらの間の範囲の温度で、約1ナノ秒~約30秒およびこれらの間の範囲の継続時間の間行われる。好ましくは、信頼性アニールは、窒素含有雰囲気などの不活性ガスの存在下で行われる。
次いで、ゲート・トレンチ1002および間隙の中にゲート誘電体1004に接して少なくとも1つの仕事関数設定金属1102を堆積させる。図11(断面図Y)を参照されたい。好適なn型仕事関数設定金属としては、限定するものではないが、窒化チタン(TiN)、窒化タンタル(TaN)、あるいは、チタン・アルミナイド(TiAl)、チタン・アルミニウム・ニトライド(TiAlN)、チタン・アルミニウム・カーバイド(TiAlC)、タンタル・アルミナイド(TaAl)、タンタル・アルミニウム・ニトライド(TaAlN)、もしくはタンタル・アルミニウム・カーバイド(TaAlC)、またはそれらの組合せなどの、アルミニウム(Al)含有合金、あるいはそれらの組合せが挙げられる。好適なp型仕事関数設定金属としては、限定するものではないが、TiN、TaN、またはタングステン(W)、あるいはそれらの組合せが挙げられる。TiNおよびTaNは、p型仕事関数設定金属として使用する場合、比較的厚い(例えば、約2nmよりも厚い)。ただし、ゲート漏れ電流などの電気的特性を改善するために、n型仕事関数設定スタックとなったAl含有合金の下で、非常に薄いTiNまたはTaNの層(例えば、約2nm未満)を使用してもよい。このように、上に示した例示的なn型およびp型仕事関数設定金属には、ある程度の重なり合いが存在する。仕事関数設定金属1102を堆積させるために、CVD、ALDまたはPVDなどのプロセスを利用することができる。堆積に続いて、CMPなどのプロセスを用いて金属表層を除去することができる。
この時点で、少なくとも1つのゲート(すなわち、置換金属ゲート-ゲート誘電性1004および仕事関数設定金属1102)を含むデバイス構造体ができており、この少なくとも1つのゲートの両側にはソースおよびドレイン906がある。チャネル(すなわち、活性層208a’、208a’’、および208a’’’)は、ソースおよびドレイン906を相互接続する。側壁スペーサ502間で基板202に埋込みパワー・レール602が埋設されている。上で強調したようにゲートのうちの2つの間でデバイス・スタックを通る切断の図を提供する、デバイス構造体の断面Xを再び参照すると、図12には、デバイス・スタック212aおよび212bのそれぞれにおけるソースおよびドレイン906エピタキシを通り置換金属ゲート(この描写では見えていない)の一面に至る図が描かれている。図12に示すように、底部誘電体絶縁層902は、ソースおよびドレイン906を基板202から分離している。底部誘電体絶縁層902は、基板202を介したソース-ドレイン漏れ電流を防止する。
次のタスクは、埋込みパワー・レール602およびソースおよびドレイン906に至る埋込みコンタクトを形成することである。上で述べたように、埋込みコンタクトは、ソースおよびドレイン906に対する接触抵抗を最適化するための傾斜アーキテクチャを有することになる。
埋込みコンタクトを形成するために、まず誘電体702およびILD908にコンタクト・トレンチ1304がパターニングされる。図13(断面図X)を参照されたい。これを行うために、パターニングされたブロック・マスク1302がILD908上に形成され、コンタクト・トレンチ1304のフットプリントおよび位置がマークされる。ブロック・マスク1302に好適な材料としては、限定するものではないが、OPL材料が挙げられる。次いで、図13に示すコンタクト・トレンチ1304を形成するためのブロック・マスク1302を使用して、エッチが行われる。コンタクト・トレンチのエッチのために、RIEなどの方向性(異方性)エッチング・プロセスを利用することができる。使用されるエッチの化学的性質は、エッチング誘電体702および側壁スペーサ502の上のILD908に対して選択性を有するのが好ましい。そのようにして、コンタクト・トレンチ・エッチが側壁スペーサ502に自己整合し、埋込みコンタクトと基板202の短絡が防止される。単なる例として、上で述べたように、誘電体702およびILD908は、SiOxなどの酸化物低誘電率材料、またはSiCOHなどの酸化物ULK-ILD材料、あるいはその両方とすることができ、一方、側壁スペーサ502は、SiCまたはSiOCあるいはその両方から形成され得る。その場合、誘電体702およびILD908を側壁スペーサ502に対して選択的にパターニングするために、RIEエッチなどの方向性エッチング・プロセスを利用することができる。単なる例として、SF6/O2ベースのRIEから、必要なエッチ選択性を得ることができる。例えば、参照によりその内容があたかも完全に記載されているかのように本明細書に組み込まれる、Odaら、「Optimizing the SiC Plasma Etching Process For Manufacturing Power Devices」、CS MANTECH Conference、125~128頁(2015年5月)、を参照されたい。図13に示すように、埋込みパワー・レール602の少なくとも一部は、この時点でコンタクト・トレンチ1304の底部において露出されている。
図13に示すように、コンタクト・トレンチ1304内で、ソースおよびドレインのうちの少なくとも1つの少なくとも一部(以降では参照符号906’を与える)も露出される。次いでエッチを利用して、ソースおよびドレイン906’の露出した部分に沿って下向きの傾斜表面1402を生成する。図14(断面図X)を参照されたい。例示的な実施形態によれば、表面1402は、約95°~約150°およびこれらの間の範囲の角度θで傾斜している。単なる例として、ソースおよびドレイン906’に沿った傾斜表面1402は、ソースおよびドレイン906’のエッチに関する結晶学的性質に依存する方向性エッチ・プロセスを用いて生成される。例えば、参照によりその内容があたかも完全に記載されているかのように本明細書に組み込まれる、Srinivasanらに発行された「Techniques for Forming Angled Structures for Reduced Defects in Heteroepitaxy of Semiconductor Films」と題する米国特許第9,287,123号を参照されたい。別法として、ソースおよびドレイン906’に沿って傾斜表面1402を生成するための別の方法は、RIEなどのエッチング・プロセスを用いて、テーパした側壁(図示せず)を有するブロック・マスク1302をパターニングすることである。これらのテーパした側壁は、ILD908が開放されている間にILD908に転写されることになる。次いでRIEなどのエッチング・プロセスを用いて、テーパした側壁に基づいて傾斜することになるソースおよびドレイン906’のエッチを行うことができる。以下で更に詳細に記載するように、ソースおよびドレイン906’の表面をこのようにコンタクト・トレンチ1304内で再成形することによって、有利には、半導体と埋込みコンタクトの間で傾斜表面1402に沿って接触抵抗を低くするための、非晶質化注入、および、低熱予算アニーリング、例えばレーザ・アニーリング(LA)によって誘起されるエピタキシャル再成長の使用が可能になる。
RIEなどのエッチング・プロセスは傾斜表面1402に損傷をもたらす可能性があり、傾斜表面1402上に汚染残渣を残す可能性がある。このため、例示的な実施形態によれば、コンタクト・トレンチ1304内の露出した傾斜表面1402上に、薄いトレンチ・エピタキシ層1502を任意選択的に成長させる。図15(断面図X)を参照されたい。そのようにすることで、ソースおよびドレイン906’の傾斜表面1402に沿ったエピタキシャル材料の品質が改善され、所望であればこのことを更に、傾斜表面1402に沿ったソースおよびドレイン906’のエピタキシャル材料の材料組成を調節するためにも使用できる。ソースおよびドレイン906/906’の場合、層1502は、イン・サイチュ・ドープまたはエクス・サイチュ・ドープしたエピタキシャル材料、例えばエピタキシャルSi、エピタキシャルSiGe、等から形成され得る。述べたように、好適なn型ドーパントとしては、限定するものではないが、PまたはAsあるいはその両方が挙げられ、好適なp型ドーパントとしては、限定するものではないが、B、Al、またはGa、あるいはそれらの組合せが挙げられる。ドーパントの選択はホスト半導体材料に依存し、高い固体溶解度を有するドーパントが選択されるのが好ましい。トレンチ・エピタキシ層1502は、n-チャネルFET(nFET)デバイスとp-チャネルFET(pFET)デバイスとで異なり得る。異なる(例えば、nFETおよびpFET)デバイスに異なるトレンチ・エピタキシ層1502が使用される場合、標準的なブロッキングおよびパターニング技術を利用して、デバイスの第1のセットをブロックするとともにデバイスの第2のセットは開放し、トレンチ・エピタキシ層1502をデバイスの第2のセットの傾斜表面1402上に選択的に成長させ、次いでこのシークエンスを、デバイスの第1のセットの傾斜表面1402上にトレンチ・エピタキシ層1502を選択的に成長させるために繰り返す。1つの例示的な実施形態によれば、nFETデバイスの場合、トレンチ・エピタキシ層1502は、1×1021原子/cm超(2原子パーセント(at.%)超と等価)のリン(P)をドープしたSiであり、またpFETデバイスの場合、トレンチ・エピタキシ層1502は、ソースおよびドレイン906/906’よりも高い60原子パーセント(at.%)超のGe含有量を有する、1×1021原子/cm超(2at.%超と等価)のガリウム(Ga)をドープしたSiGeである。例示的な実施形態によれば、トレンチ・エピタキシ層1502は、約1ナノメートル(nm)~約7nmおよびこれらの間の範囲の厚さを有する。
いずれの場合も、任意選択的なトレンチ・エピタキシ層1502が利用されてもされなくても、次いで、高濃度の活性化ドーパントを有する表面ドーパント-半導体合金層、すなわちコンタクト層1602を形成するための、非晶質化表面層のエピタキシャル再成長が行われる。図16(断面図X)を参照されたい。活性化されたドーパントは自由な電気キャリア(電子および正孔)をもたらし、ソースおよびドレイン906’の傾斜表面1402と傾斜表面1402に隣り合って形成されることになる埋込みコンタクト1702(以下に記載する図17を参照)の金属材料との間のこれらに沿った接触抵抗率を小さくする。一般に、注入が行われている材料の秩序化された結晶構造を意図的に破壊するために非晶質化注入が用いられる。非晶質化注入はまた、例えば、ドーパント・クラスター内の結合を壊し、ホスト半導体とドーパント原子の標的濃度または比率のより均質な混合物を形成することによって、ドーパント間の結合構造も破壊する。非晶質化注入は、傾斜表面1402または(任意選択的な)トレンチ・エピタキシ層1502あるいはその両方のドーパント濃度レベルに応じて、非晶質化コンタクト層1602にドーパント濃度が標的レベルになるようドーパントを追加するように選択され得る。非晶質化注入は、ドーパントのイオンまたは電気的に中性の元素(例えば、SiのイオンまたはGeのイオンあるいはその両方などの、基礎となるホスト半導体の構成要素のイオン)を利用し得る。非晶質化した傾斜表面1402/(任意選択的な)トレンチ・エピタキシ層1502内への注入プロセス中のドーパントの配置は本来的に無作為的であるため、得られるドープされた非晶質材料は通常、ドーパントの分布が中性原子/イオンによって非晶質化されたドープ材料よりも均質である。次いで非晶質化材料を再結晶化するためにレーザ・アニーリングが行われる。
再結晶化プロセスでは、ドーパント原子を成長する結晶格子内に組み込むことによってドーパントが活性化される。レーザ・アニーリングの継続時間は、活性化されたドーパントを維持するべく短くなるように(下記を参照)、ただし非晶質材料の完全な再結晶化を可能にするのに十分であるように選択される。短いアニーリング継続時間によって、半導体結晶格子内にドーパント原子を閉じ込めるための急速な温度低下が可能になる。また更に、アニーリングの温度および継続時間、または等価なものとしてのアニール熱予算は、ゲート誘電体1004および仕事関数設定金属1102がそのことで影響を受けない程度に十分に小さくなるように選択される、例えば、ゲート誘電体1004の等価電気厚さ(EOT: equivalent electrical thickness)がこの高速アニーリングに起因して0.25オングストローム(Å)を超えて大きくなることはない。好適なミリ秒またはナノ秒アニーリング・プロセスは、これらの熱予算要件を満足すること、ならびに半導体再結晶化および活性化ドーパントを閉じ込めるための短時間での温度低下を可能にすることができる。単なる例として、ミリ秒アニーリングは、フラッシュ・ランプまたはレーザ・アニーリングの形態であり得、ナノ秒アニーリングはレーザまたは電子線アニーリングの形態であり得る。これら高速アニールの特徴的な継続時間は、約30ミリ秒~約10ナノ秒の範囲、およびこれらの間の範囲である。1つの例示的な実施形態では、高速レーザ・アニーリング(LA)は本明細書では「高速LA」とも呼ばれ、約10ミリ秒~約10ナノ秒およびこれらの間の範囲である広範囲のレーザ・アニール継続時間を指す。結果的な表面半導体-ドーパント合金、すなわちコンタクト層1602は準安定であり、活性ドーパント濃度が熱力学的に許容できる最大値(すなわち熱力学的限界)を上回っている。活性ドーパントの熱力学的限界は、ホスト材料中のドーパントの最大固体溶解度と関連している。活性ドーパントの熱力学的限界は通常、その最大固体溶解度4分の1である。高固体溶解度のドーパントの場合、活性ドーパントの熱力学的限界は、約2×1020cm-3~約4×1020cm-3およびこれらの間の範囲である。表面非晶質化および高速再結晶化シークエンスによって、コンタクト層1602において、約2at.%以上と等価である約2×1021cm-3以上の活性ドーパント含有量の達成が可能になる。有利には、高い活性ドーパント含有量を有するコンタクト層1602を形成する結果、以下で説明するように、ソースおよびドレイン906’のコンタクト層1602と隣り合う埋込みコンタクト1702の金属材料との間で、低い接触抵抗率(ρ)が得られることになる。
非晶質化注入はソースおよびドレイン906’の傾斜表面1402によって促進される。具体的には、垂直面内への非晶質化注入を行うのは難しい。別の見方をすれば、コンタクト・トレンチ1304内のソースおよびドレインの再成形を取り止めて、代わりに水平面および垂直面の両方を有するソースおよびドレイン・エピタキシに対して表面非晶質化および高速再結晶化のシークエンスを行おうとした場合には、適切な処理を受けるのは水平面だけとなり、この結果コンタクト層1602が水平面上にだけに形成されて、接触抵抗Rの増大をもたらすことになる可能性が高い。
別の例示的な実施形態によれば、傾斜表面1402または(任意選択的な)トレンチ・エピタキシ層1502あるいはその両方の組成は、nFETデバイスの場合は約3×1021原子/cmのリン(P)をドープしたケイ素であり、pFETデバイスの場合は約60%よりも大きいGe含有量を有する、約1×1021原子/cmのホウ素(B)をドープしたSiGeである。アルミニウム(Al)およびガリウム(Ga)は、約60%よりも大きいGe含有量を有するSiGeに適した固体溶解度の高いドーパントであり、この例では、pFET傾斜表面1402/(任意選択的な)トレンチ・エピタキシ層1502には存在しない。したがってGaイオンは、pFETデバイス用の非晶質化注入イオンとして好適である。FETデバイスをブロックしpFETデバイスを露出させる好適な標準的なブロック・マスクが配設およびパターニングされ、露出したpFETソース/ドレイン傾斜表面1402/(任意選択的な)トレンチ・エピタキシ層1502内へとゼロ度の傾斜でGaイオン注入が行われる。1つの例示的な実施形態では、Ga注入エネルギーは約1キロ電子ボルト(keV)~約8keVおよびこれらの間の範囲、例えば約4keVであり、Gaイオン注入線量は約1×1015原子/cm~約5×1015原子/cmおよびこれらの間の範囲、例えば、約2.5×1015原子/cmである。非晶質化プロセスを促進するために、室温未満の温度で(例えば、マイナス100℃のウエハ温度で)低温の非晶質化注入を行うことができる。pFETソース/ドレイン傾斜表面上の結果的な非晶質層厚さは約3nm~約12nmおよびこれらの間の範囲であり、例えば最適に近い約7nmである。1つの例示的な実施形態では、非晶質化SiGe層中のGaの結果的な濃度は、約2×1021原子/cm~約5×1021原子/cm、例えば最適に近い3×1021原子/cmである。この例示的な実施形態では、代わりに同様のアルミニウム・イオン非晶質化注入を用いることができる。
次いでブロック・マスクが、pFETソース/ドレインに既に存在している非晶質表面層に何ら影響を与えることなく、nFETデバイスから剥離される。リンはケイ素用の固体溶解度の高いドーパントであり、nFET傾斜表面1402/(任意選択的な)トレンチ・エピタキシ層1502中に十分な量が存在しており、したがってnFETデバイス用の非晶質化注入イオンとしてはGeイオンが選択される。GeはSiおよびSiGeのドーピングに関して電気的に中性なので追加のブロック・マスクが必要なく、このためコストが低減され、Geイオン注入は、露出したnFETおよびpFETソース/ドレイン傾斜表面1402/トレンチ・エピタキシ層1502内へと、ゼロ度の傾斜で行われる。例示的な実施形態によれば、Ge注入エネルギーは約1keV~約8keVおよびこれらの間の範囲、例えば約4keVであり、Ge注入線量は約1×1014原子/cm~5×1014原子/cmおよびこれらの間の範囲、例えば、約2×1014原子/cmである。非晶質化プロセスを促進するために、室温未満の温度で(例えば、マイナス100℃のウエハ温度で)低温の非晶質化注入を行うことができる。1つの例示的な実施形態では、nFETソース/ドレイン傾斜表面上の結果的な非晶質層厚さは約3nm~約12nmおよびこれらの間の範囲であり、例えば最適に近い約7nmである。pFETソース/ドレイン傾斜表面上に存在する非晶質層厚さはほぼ最適なままである。注入されるGeの線量が低いことに起因して、nFETおよびpFETの両方の傾斜表面1402/トレンチ・エピタキシ層1502の化学組成は、認識できる程には変化しない。非晶質化Si層中のPの結果的な濃度は、約1×1021原子/cmのままである。nFETおよびpFETのそれぞれのソース/ドレイン傾斜表面1402/トレンチ・エピタキシ層1502に沿って表面非晶質層を形成した後で、材料を再結晶化するための、および、高濃度の活性ドーパントを有する準安定半導体-ドーパント合金コンタクト層1602を作り出すための、高速LAが行われる。
1つの例示的な実施形態によれば、高速レーザ・アニーリング(LA)によって、固相エピタキシ(SPE)または固体エピタキシャル再成長が誘起される。そのようなレーザ・アニーリング・プロセスは、約800℃~約950℃およびこれらの間の範囲の温度で、約50マイクロ秒~約3ミリ秒およびこれらの間の範囲の継続時間の間行われる。この目的のために、三角型温度-時間レーザ・スパイク・アニーリング(triangular temperature-time laser spike annealing)・プロセスを使用することができる。レーザ・スパイク・アニーリング・プロセスの好ましいピーク温度は約800℃~約950℃およびこれらの間の範囲であり、ピーク温度よりも50度低い温度での好ましい継続時間は約50マイクロ秒~約250マイクロ秒およびこれらの間の範囲である。このことはミリ秒レーザ・アニール装置を使用して行われることができる。別の例示的な実施形態によれば、高速レーザ・アニールによって、液相エピタキシ(LPE)または液体エピタキシャル再成長が誘起される。そのようなレーザ・アニーリング・プロセスは、約1200℃~約1300℃およびこれらの間の範囲の温度で、約10ナノ秒~約1000ナノ秒およびこれらの間の範囲の継続時間の間行われる。このことはナノ秒溶融レーザ・アニール装置を使用して行われる。有利には、ナノ秒溶融レーザ・アニール装置は、ミリ秒レーザ・アニーリングおよびナノ秒レーザ・アニーリングの組合せを含み得る。単なる例として、LPE誘起レーザ・アニーリングは、傾斜表面1402/トレンチ・エピタキシ層1502中のソースおよびドレイン非晶質化材料の融点を超えるところまでのナノ秒温度スパイクの重ね合わせによる、約600℃~約750℃およびこれらの間の範囲の中間温度へのミリ秒スケールの温度ランピングで行うことができる。レーザ誘起SPEおよびLPEのいずれも、約1021cm-3以上の高い活性ドーパント含有量を有するコンタクト層1602の形成をもたらし、このことは以下で説明するように、ソースおよびドレイン906’のコンタクト層1602と隣り合う埋込みコンタクト1702の金属材料との間の低い接触抵抗率(ρ)につながる。
次いでコンタクト・トレンチ1304内の傾斜コンタクト層1602上に金属または金属の組合せが堆積され、次いで埋込みパワー・レール602をソースおよびドレイン906’の傾斜コンタクト層1602に接続する埋込みコンタクト1702を形成するためにリセス化される。図17(断面図X)を参照されたい。
金属材料とドープした半導体との間の接触抵抗は、接触面積の小さい小型化された半導体デバイスにおいてボトルネックである。そのため、ソース/ドレインに直接隣接する金属化合物は通常、ソース/ドレインのドープした半導体材料に対して低い接触抵抗率を形成するように選択される。半導体-金属界面の接触抵抗率は材料特性であり、隣り合う半導体におけるドーパントの活性濃度、および隣り合う金属化合物と半導体との間のショットキー・バリア高さの、両方に依存する。活性ドーパントの濃度が高いほど接触抵抗率が低くなり、ショットキー・バリア高さが低くなるほど抵抗率が低くなる。nFETソース/ドレインとpFETソース/ドレインとで異なるケイ化物またはゲルマノシリサイド界面金属化合物を形成することを含め、ショットキー・バリア高さを小さくするための様々な手法を用いることができる。例えば、ニッケルおよびプラチナ・ゲルマノシリサイドはpFETデバイスに関するショットキー・バリア高さを小さくし、一方ケイ化チタンはnFETデバイスに関するショットキー・バリア高さを小さくする。この手法は、埋込みコンタクト1702用にpFETデバイスとnFETデバイスとで異なる金属スタックを形成するための、複雑な加工スキームを必要とする。ケイ化物/ゲルマノシリサイドとドープした半導体との間の従来技術の接触抵抗率は、約2×10-9Ωcmである。高い活性ドーパント含有量を有するコンタクト層1602の存在によって、ほとんどのケイ化物/ゲルマノシリサイドとの接触抵抗率を約1×10-9Ωcm以下にできるのが有利である。このことにより埋込みコンタクトの構造および製作プロセスが大きく単純化され、その結果、nドープおよびpドープ半導体について超低接触抵抗率の達成を可能にしながら、単一の金属スタックをあらゆるところで使用できるようになる。
1つの例示的な実施形態によれば、コンタクト層1602の表面は、コンタクト・トレンチ1304内に金属を堆積させる直前にいかなる自然酸化物も除去すべく洗浄され、洗浄したコンタクト層1602表面上に、これを酸化環境に曝露することなく薄いTi/TiNスタック(図示せず)が堆積される。コンタクト・トレンチ1304内にTi/TiNスタックを堆積させるために、スパッタリング、蒸着、化学気相成長、原子層成長、またはこれらの組合せなどのプロセスを用いることができる。Tiの目的は界面ケイ化チタン/ゲルマノシリサイド1701を形成することであり、TiNの目的は酸化および他の化学的侵食からTiを保護することである。1つの例示的な実施形態では、Tiの厚さは約5nm未満であり、TiNの厚さも約5nm未満である。
次いでコンタクト層1602の準安定半導体-ドーパント合金を維持する高速熱アニールを構造体に施すことによって、界面のケイ化チタンまたはゲルマノシリサイド1701あるいはその両方が形成される。例示的なシリサイド化アニールは、約800℃の温度で約50マイクロ秒~約3ミリ秒およびそれらの間の範囲の継続時間の間行われるミリ秒アニールであり、上記したSPE誘起レーザ・アニールと似ている。シリサイド化アニールは、Ti/TiNスタックを堆積させた直後に、またはプロセス・シークエンスの後期に行うことができる。次いで金属埋込みコンタクト1702の直列電気抵抗を小さくするために、トレンチ1304の残りの部分が元素金属で充填される。埋込みコンタクト1702に好適な元素金属としては、限定するものではないが、Cu、Co、Ru、またはW、あるいはそれらの組合せが挙げられる。コンタクト・トレンチ1304内に元素金属を堆積させるために、スパッタリング、蒸着、電気化学めっき、化学気相成長、原子層成長、またはこれらの組合せなどのプロセスを用いることができ、その後、化学機械研磨および金属選択性リセス化エッチが行われる。
金属-金属界面は約1×10-10Ωcm未満の低い接触抵抗率を有し、それらの抵抗は半導体-金属界面の抵抗と比べれば通常は無視することができるが、半導体-金属抵抗率が1×10-9Ωcm未満である場合は、最大3つの金属-金属界面(シリサイド/Ti/TiN/元素金属)の存在は問題となる場合がある。更に、複数の金属層の存在によって、狭いトレンチ1304に関して埋込みコンタクト1702の直列抵抗が大きくなる。高い活性ドーパント含有量を有する傾斜コンタクト層1602の存在によって、埋込みコンタクト構造体をより一層単純化できるのが有利である。nドープおよびpドープの両方の半導体について1×10-9Ωcm以下の半導体接触抵抗率を達成する、ならびに埋込みコンタクト1702の低い直列抵抗を可能にする、好適な界面ケイ化物/ゲルマノシリサイドを形成するように、単一の元素金属が選択される。この例示的な実施形態では、埋込みコンタクト1702に好適な単一の元素金属としては、限定するものではないが、それぞれコバルト、ルテニウム、またはタングステンのケイ化物およびゲルマノシリサイドを形成する、Co、Ru、またはW、あるいはそれらの組合せが挙げられる。図17に示すように、埋込みコンタクト1702は埋込みパワー・レール602と直接接触する。埋込みコンタクト1702は、ソースおよびドレイン906’の傾斜表面1402に沿った界面のケイ化物またはゲルマノシリサイド化合物1701を通して層1602に接触する。接触抵抗率ρが小さくなり接触面積が大きくなる場合、半導体ソースおよびドレイン906/906’と埋込み金属コンタクト1702との間の接触抵抗Rは小さくなる。nドープおよびpドープのいずれの半導体でも、傾斜したコンタクト層1602の全体に沿って約1×10-9Ωcm以下の超低接触抵抗率が達成される。コンタクト層1602の傾斜表面は純粋に水平なコンタクト表面よりも大きい接触面積を有し、このため接触抵抗Rのより大きい低減が可能になる。
埋込みパワー・レール602および埋込みコンタクト1702と並んだ側壁スペーサ502が、基板202から埋込みパワー・レール602および埋込みコンタクト1702を分離する。同じく図17に示すように、ソースおよびドレイン906’の傾斜表面1402の頂部は好ましくは、埋込みコンタクト1702の頂面よりも高い(すなわち上にある)。このようにすることによって、埋込みコンタクト1702と隣り合うソースおよびドレイン・コンタクトとの間の間隔が最適化されることになる(下記を参照)。
次いでミドル-オブ-ライン(MOL)金属化技術を利用して半導体FETデバイス構造体を完成させる。例えば、図18(断面図X)に示すように、(第1の)ILD908上に(第2の)ILD1801が堆積されて、埋込みコンタクト1702の上のコンタクト・トレンチ1304が充填される。上で述べたように、好適なILD材料としては、限定するものではないが、SiOxなどの酸化物低誘電率材料、またはpSiCOHなどの酸化物ULK-ILD材料、あるいはその両方が挙げられる。ILD1801を堆積させるために、CVD、ALD、またはPVDなどのプロセスを利用することができる。堆積に続いて、CMPなどのプロセスを用いてILD1801を平坦化することができる。
次いでILD908またはILD1801あるいはその両方に相互接続部を形成するために、いわゆるダマシンまたはデュアル・ダマシン・プロセスが利用される。ダマシンまたはデュアル・ダマシン・プロセスでは、まずリソグラフィおよびエッチング技術を利用して誘電体にフィーチャ(例えば、ビアまたはトレンチあるいはその両方)がパターニングされ、その後それらのフィーチャがコンタクト金属で充填されて、相互接続部(例えば、導電ビアまたは金属線あるいはその両方)が形成される。デュアル・ダマシン・プロセスで、ビアがトレンチよりも前にパターニングされる場合、これは本明細書では「ビア-ファーストのプロセス」とも呼ばれる。逆にトレンチがビアよりも前にパターニングされる場合、これは本明細書では「トレンチ-ファーストのプロセス」と呼ばれる。
好適なコンタクト金属としては、限定するものではないが、銅(Cu)、タングステン(W)、ルテニウム(Ru)、コバルト(Co)、ニッケル(Ni)、またはプラチナ(Pt)、あるいはそれらの組合せが挙げられる。コンタクト金属は、蒸着、スパッタリング、または電気化学めっきなどのプロセスを用いてフィーチャ内に堆積させることができる。堆積に続いて、CMPなどのプロセスを用いて表層を除去することができる。フィーチャ内にコンタクト金属を堆積させる前に、共形のバリア層(図示せず)をフィーチャ内に堆積させて、それらをライニングすることができる。そのようなバリア層の使用は、周囲の誘電体内へのコンタクト金属の拡散の防止を助ける。好適なバリア層材料としては、限定するものではないが、ルテニウム(Ru)、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)、または窒化チタン(TiN)、あるいはそれらの組合せが挙げられる。更に、コンタクト金属の堆積前に、シード層(図示せず)をフィーチャ内に堆積させて、それらをライニングすることができる。シード層によってフィーチャ内へのコンタクト金属のめっきが促進される。
図18に示すように、ソースおよびドレイン906’への接触は埋込みコンタクト1702および埋込みパワー・レール602を介して行われ、ソースおよびドレイン906への接触はソースおよびドレイン・コンタクト1802、ソースおよびドレイン・コンタクト1804、ならびに金属線1806を介して行われる。とりわけ、埋込みコンタクト1702とソースおよびドレイン・コンタクト1802との間の間隔は、埋込みコンタクト1702の頂面をソースおよびドレイン906’の傾斜表面1402の頂部よりも下でリセス化することによって最適化される。別の見方をすれば、埋込みコンタクト1702の高さを大きくすれば、埋込みコンタクト1702とソースおよびドレイン・コンタクト1802との間の間隔が不本意に小さくなる、すなわち、S1からS2(ここでS2<S1)になるであろう。
本願発明の例示的な実施形態を本明細書で説明したが、本発明はこれらの厳密な実施形態に限定されないこと、ならびに、当業者は本発明の範囲から逸脱することなく様々な他の変更および修正を行い得ることを理解されたい。

Claims (17)

  1. 半導体電界効果トランジスタ(FET)デバイスであって、
    基板上に配設されている少なくとも1つのゲートと、
    前記少なくとも1つのゲートの両側にあるソースおよびドレインであって、前記ソースおよびドレインのうちの少なくとも1つが傾斜表面を有する、前記ソースおよびドレインと、
    前記基板に埋設されている埋込みパワー・レールと、
    前記埋込みパワー・レールを前記少なくとも1つのソースおよびドレインの前記傾斜表面に接続している埋込みコンタクトと、
    を備える、半導体FETデバイス。
  2. 前記基板から前記埋込みパワー・レールを分離する、前記埋込みパワー・レールと並んだ側壁スペーサを更に備え、前記側壁スペーサはまた前記基板から前記埋込みコンタクトも分離する、
    請求項1に記載の半導体FETデバイス。
  3. 前記側壁スペーサは、炭化ケイ素(SiC)、オキシ炭化ケイ素(SiOC)、およびこれらの組合せから成る群から選択される材料を含む、請求項2に記載の半導体FETデバイス。
  4. 前記少なくとも1つのソースおよびドレインの前記傾斜表面の頂部は前記埋込みコンタクトの頂面よりも上にある、請求項1に記載の半導体FETデバイス。
  5. 前記埋込みパワー・レールは、銅(Cu)、コバルト(Co)、ルテニウム(Ru)、タングステン(W)、およびこれらの組合せから成る群から選択される金属を含む、請求項1に記載の半導体FETデバイス。
  6. 前記少なくとも1つのソースおよびドレインの前記傾斜表面は95°~150°およびこれらの間の範囲である角度θで傾斜している、請求項1に記載の半導体FETデバイス。
  7. 前記傾斜表面上に配設されているトレンチ・エピタキシャル層を更に備える、請求項1に記載の半導体FETデバイス。
  8. 前記埋込みコンタクトは、Cu、Co、Ru、W、およびこれらの組合せから成る群から選択される金属を含む、請求項1に記載の半導体FETデバイス。
  9. 前記ソースおよびドレインを前記基板から分離している底部誘電体層を更に備える、請求項1に記載の半導体FETデバイス。
  10. 前記ソースおよびドレインを相互接続している少なくとも1つの活性層を更に備える、請求項1に記載の半導体FETデバイス。
  11. 前記傾斜表面は表面ドーパント-半導体合金コンタクト層を更に備える、請求項1に記載の半導体FETデバイス。
  12. 前記埋込みパワー・レールを前記基板から分離している側壁スペーサを備え、前記埋込みパワー・レールは前記傾斜表面よりも下で前記基板に埋設されており、前記少なくとも1つのソースおよびドレインの前記傾斜表面の頂部は前記埋込みコンタクトの頂面よりも上にある、請求項1に記載の半導体FETデバイス。
  13. 半導体FETデバイスを形成する方法であって、前記方法は、
    基板上に配設されている少なくとも1つのゲート、前記少なくとも1つのゲートの両側にあるソースおよびドレイン、ならびに前記基板に埋設されている埋込みパワー・レールを備える、デバイス構造体を形成するステップと、
    前記ソースおよびドレインのうちの少なくとも1つをエッチングして前記少なくとも1つのソースおよびドレインの一部に沿った傾斜表面を生成するステップと、
    前記埋込みパワー・レールを前記少なくとも1つのソースおよびドレインの前記傾斜表面に接続する埋込みコンタクトを形成するステップと、
    を含み、前記少なくとも1つのソースおよびドレインの前記傾斜表面の頂部は、前記埋込みコンタクトの頂面よりも上にある、方法。
  14. 前記少なくとも1つのソースおよびドレインの前記傾斜表面上にトレンチ・エピタキシ層を成長させるステップを更に含む、請求項13に記載の方法。
  15. エピタキシャル再成長を行って前記傾斜表面上に表面ドーパント-半導体合金コンタクト層を形成するステップを更に含む、請求項13に記載の方法。
  16. 基板上に配設されている少なくとも1つのゲート、前記少なくとも1つのゲートの両側にあるソースおよびドレイン、前記少なくとも1つのゲートの周囲にある層間誘電体(ILD)、ならびに前記基板に埋設されている埋込みパワー・レールを備える、デバイス構造体を形成するステップと、
    前記ILDにコンタクト・トレンチをパターニングして前記ソースおよびドレインのうちの少なくとも1つを露出させるステップと、
    エピタキシャル再成長を行って前記傾斜表面上に表面ドーパント-半導体合金コンタクト層を形成するステップと、
    を更に含む、請求項13に記載の方法。
  17. 前記少なくとも1つのソースおよびドレインの前記傾斜表面上にトレンチ・エピタキシ層を成長させるステップを更に含む、請求項16に記載の方法。
JP2022570225A 2020-06-18 2021-06-04 傾斜エピタキシ埋込みコンタクト Pending JP2023530830A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/905,626 2020-06-18
US16/905,626 US11227922B2 (en) 2020-06-18 2020-06-18 Sloped epitaxy buried contact
PCT/IB2021/054905 WO2021255570A1 (en) 2020-06-18 2021-06-04 Sloped epitaxy buried contact

Publications (1)

Publication Number Publication Date
JP2023530830A true JP2023530830A (ja) 2023-07-20

Family

ID=79022052

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022570225A Pending JP2023530830A (ja) 2020-06-18 2021-06-04 傾斜エピタキシ埋込みコンタクト

Country Status (10)

Country Link
US (1) US11227922B2 (ja)
EP (1) EP4169070A4 (ja)
JP (1) JP2023530830A (ja)
KR (1) KR20230006887A (ja)
CN (1) CN115668498A (ja)
AU (1) AU2021291163B2 (ja)
BR (1) BR112022020601A2 (ja)
CA (1) CA3180862A1 (ja)
IL (1) IL298029A (ja)
WO (1) WO2021255570A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11742247B2 (en) 2020-07-17 2023-08-29 Synopsys, Inc. Epitaxial growth of source and drain materials in a complementary field effect transistor (CFET)
US11915984B2 (en) * 2020-07-17 2024-02-27 Synopsys, Inc. Forming a wrap-around contact to connect a source or drain epitaxial growth of a complimentary field effect transistor (CFET) to a buried power rail (BPR) of the CFET
US20220130904A1 (en) * 2020-10-23 2022-04-28 Stmicroelectronics (Rousset) Sas Buried track
US20230207466A1 (en) * 2021-12-24 2023-06-29 Leonard P. GULER Recessed and self-aligned buried power rail
US20230299000A1 (en) * 2022-03-17 2023-09-21 International Business Machines Corporation Method and structure for forming landing for backside power distribution network

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7002187B1 (en) 2003-06-09 2006-02-21 Micrel, Inc. Integrated schottky diode using buried power buss structure and method for making same
US8530978B1 (en) * 2011-12-06 2013-09-10 Hrl Laboratories, Llc High current high voltage GaN field effect transistors and method of fabricating same
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9570395B1 (en) 2015-11-17 2017-02-14 Samsung Electronics Co., Ltd. Semiconductor device having buried power rail
US9653547B1 (en) * 2016-03-17 2017-05-16 International Business Machines Corporation Integrated etch stop for capped gate and method for manufacturing the same
EP3229270A1 (en) * 2016-04-06 2017-10-11 IMEC vzw Integrated circuit power distribution network
US10170413B2 (en) * 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having buried metal line and fabrication method of the same
KR102325870B1 (ko) 2017-08-16 2021-11-11 도쿄엘렉트론가부시키가이샤 단일 확산 단절부를 fet 소자의 나노채널 구조물 내에 통합하기 위한 방법 및 소자
US10192867B1 (en) 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
US11031286B2 (en) * 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
TWI800626B (zh) * 2018-03-19 2023-05-01 日商東京威力科創股份有限公司 三維元件及其形成方法
US10566246B1 (en) * 2018-08-17 2020-02-18 International Business Machines Corporation Shared contact trench comprising dual silicide layers and dual epitaxial layers for source/drain layers of NFET and PFET devices
KR102576212B1 (ko) * 2018-09-21 2023-09-07 삼성전자주식회사 반도체 장치
US10998238B2 (en) * 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
EP3671825A1 (en) * 2018-12-20 2020-06-24 IMEC vzw Method for connecting a buried interconnect rail and a semiconductor fin in an integrated circuit chip
US11101217B2 (en) * 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices

Also Published As

Publication number Publication date
AU2021291163B2 (en) 2024-05-02
US11227922B2 (en) 2022-01-18
IL298029A (en) 2023-01-01
CA3180862A1 (en) 2021-12-23
BR112022020601A2 (pt) 2022-12-27
WO2021255570A1 (en) 2021-12-23
EP4169070A1 (en) 2023-04-26
EP4169070A4 (en) 2023-11-08
KR20230006887A (ko) 2023-01-11
AU2021291163A1 (en) 2022-11-24
CN115668498A (zh) 2023-01-31
US20210399098A1 (en) 2021-12-23

Similar Documents

Publication Publication Date Title
US9972682B2 (en) Low resistance source drain contact formation
AU2021291163B2 (en) Sloped epitaxy buried contact
US10833204B2 (en) Multiple width nanosheet devices
US9379012B2 (en) Oxide mediated epitaxial nickel disilicide alloy contact formation
US10903337B2 (en) Air gap spacer with wrap-around etch stop layer under gate spacer
US10651042B2 (en) Salicide bottom contacts
US10262904B2 (en) Vertical transistor top epitaxy source/drain and contact structure
US9698241B1 (en) Integrated circuits with replacement metal gates and methods for fabricating the same
US9472406B2 (en) Metal semiconductor alloy contact resistance improvement
US10818557B2 (en) Integrated circuit structure to reduce soft-fail incidence and method of forming same
US10461174B1 (en) Vertical field effect transistors with self aligned gate and source/drain contacts
US11004751B2 (en) Vertical transistor having reduced edge fin variation
US20190393098A1 (en) Simple contact over gate on active area
US10892164B2 (en) Dual hard mask replacement gate
US20240153990A1 (en) Field effect transistor with backside source/drain contact
US20240112985A1 (en) Field effect transistor with backside source/drain
US20240113176A1 (en) Field effect transistor with backside source/drain
US20240096751A1 (en) Self-aligned backside contact with deep trench last flow
US20230261069A1 (en) Transistor Source/Drain Contacts and Methods of Forming the Same
US20240105590A1 (en) Stacked fet contact formation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231114