JP2023520226A - Cyclic oligosiloxanes with organoamino functional groups for the deposition of silicon-containing thin films - Google Patents

Cyclic oligosiloxanes with organoamino functional groups for the deposition of silicon-containing thin films Download PDF

Info

Publication number
JP2023520226A
JP2023520226A JP2022560058A JP2022560058A JP2023520226A JP 2023520226 A JP2023520226 A JP 2023520226A JP 2022560058 A JP2022560058 A JP 2022560058A JP 2022560058 A JP2022560058 A JP 2022560058A JP 2023520226 A JP2023520226 A JP 2023520226A
Authority
JP
Japan
Prior art keywords
bis
tetramethylcyclotetrasiloxane
dimethylamino
groups
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022560058A
Other languages
Japanese (ja)
Inventor
アール.マクドナルド マシュー
ジェイ.レーマン ジョン
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/838,997 external-priority patent/US20200317702A1/en
Priority claimed from US17/030,187 external-priority patent/US20210017198A1/en
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2023520226A publication Critical patent/JP2023520226A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

少なくとも3個のケイ素原子及び3個の酸素原子並びに少なくとも1つの有機アミノ基を有するアミノ官能基を有する環式オリゴシロキサン並びに該オリゴシロキサンの製造方法を開示する。有機アミノ官能基を有する環式オリゴシロキサンを用いたケイ素及び酸素含有薄膜の成膜方法も開示する。【選択図】図1Disclosed are cyclic oligosiloxanes having amino functional groups having at least 3 silicon atoms and 3 oxygen atoms and at least one organic amino group, and methods of making the oligosiloxanes. A method for depositing silicon- and oxygen-containing thin films using cyclic oligosiloxanes with organoamino functional groups is also disclosed. [Selection drawing] Fig. 1

Description

関連技術
この一部継続出願は、2020年4月2日に出願された米国特許仮出願第16/838,997号及び2020年9月23日に出願された米国特許仮出願第17/030,187号の利益を主張する。出願第16/838,997号及び第17/030,187号の開示は、参照により本明細書に援用される。
Related Art This continuation-in-part application is based on U.S. Provisional Application No. 16/838,997, filed Apr. 2, 2020 and U.S. Provisional Application No. 17/030, filed Sep. 23, 2020. Claim 187 benefits. The disclosures of Application Nos. 16/838,997 and 17/030,187 are incorporated herein by reference.

本発明は、ケイ素及び酸素含有薄膜(例えば、ケイ素及び酸素含有薄膜の中でもとりわけ、酸化ケイ素、オキシ炭窒化ケイ素、オキシ炭化ケイ素、炭素ドープ酸化ケイ素)を堆積するために使用することができる有機シリコン化合物、酸化ケイ素含有薄膜を堆積するための化合物の使用方法並びに前記化合物及び方法から得られた薄膜に関する。 The present invention is an organosilicon that can be used to deposit silicon and oxygen containing thin films (e.g., silicon oxides, silicon oxycarbonitrides, silicon oxycarbides, carbon-doped silicon oxides, among other silicon and oxygen containing films). The present invention relates to compounds, methods of using compounds to deposit silicon oxide-containing thin films, and thin films resulting from said compounds and methods.

新規な、有機アミノ官能基を有する環式オリゴシロキサン前駆体化合物並びに、熱原子層堆積(ALD)若しくはプラズマ促進原子層堆積(PEALD)法、又はこれらの組合せによる、これらに限定されないが、酸化ケイ素、オキシ窒化ケイ素、オキシ炭窒化ケイ素、又は炭素ドープ酸化ケイ素などのケイ素含有薄膜を堆積するための組成物及び方法を本明細書において記載する。より詳細には、例えば、約25℃~約300℃を含む約600℃以下の1つ以上の堆積温度において化学量論的又は非化学量論的ケイ素含有薄膜又は物質を形成するための組成物及び方法を本明細書において記載する。 Novel cyclic oligosiloxane precursor compounds with organoamino functional groups and silicon oxides, including but not limited to, by thermal atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) methods, or combinations thereof Described herein are compositions and methods for depositing silicon-containing thin films such as silicon oxynitride, silicon oxycarbonitride, or carbon-doped silicon oxide. More specifically, compositions for forming stoichiometric or non-stoichiometric silicon-containing films or materials at one or more deposition temperatures of about 600°C or less, including, for example, about 25°C to about 300°C. and methods are described herein.

原子層堆積(ALD)及びプラズマ促進原子層堆積(PEALD)は、低温(<500℃)において、例えば、酸化ケイ素コンフォーマル薄膜を堆積するために使用される方法である。ALD法及びPEALD法の両方では、前駆体及び反応性ガス(酸素又はオゾンなど)は、各サイクルにおいて酸化ケイ素の単層を形成する特定回数のサイクルにおいて個別にパルスされる。しかしながら、これらの方法を用いて低温で堆積された酸化ケイ素は、これらに限定されないが、炭素(C)又は水素(H)などの特定レベルの不純物を含む可能性があり、これは、特定の半導体応用において有害であり得る。これを改善するため、1つの可能性のある解決法は、堆積温度を500℃以上に上昇させることである。しかしながら、これらに高温においては、半導体産業により使用される従来の前駆体は、自己反応、熱分解し、ALDモードよりむしろ化学気相成長(CVD)モードで堆積する傾向がある。CVDモード堆積は、特に、多くの半導体応用で必要とされる高アスペクト比構造のため、ALD堆積と比較してコンフォーマル性が低下する。加えて、CVDモード堆積は、ALDモード堆積より薄膜又は物質厚さを上手く制御できない。 Atomic layer deposition (ALD) and plasma enhanced atomic layer deposition (PEALD) are methods used to deposit, for example, silicon oxide conformal thin films at low temperatures (<500° C.). In both ALD and PEALD processes, the precursor and reactive gas (such as oxygen or ozone) are individually pulsed in a specified number of cycles to form a monolayer of silicon oxide in each cycle. However, silicon oxides deposited at low temperatures using these methods may contain certain levels of impurities such as, but not limited to, carbon (C) or hydrogen (H), which may result in certain It can be harmful in semiconductor applications. To remedy this, one possible solution is to increase the deposition temperature above 500°C. However, at these high temperatures, conventional precursors used by the semiconductor industry tend to self-react, thermally decompose, and deposit in chemical vapor deposition (CVD) mode rather than ALD mode. CVD mode deposition is less conformal compared to ALD deposition, especially for the high aspect ratio structures required in many semiconductor applications. In addition, CVD mode deposition does not provide better control of thin film or material thickness than ALD mode deposition.

比較的低温(<300℃)において、及び比較的高いサイクル当たりの堆積量(GPC>1.5Å/サイクル)で原子層堆積(ALD)法及びプラズマ促進原子層堆積(PEALD)法によりケイ素含有薄膜を堆積するために使用することができる有機アミノシラン及びクロロシラン前駆体は、当技術分野において公知である。 Silicon-containing thin films by atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) methods at relatively low temperatures (<300° C.) and at relatively high deposition rates per cycle (GPC>1.5 Å/cycle) Organoaminosilane and chlorosilane precursors that can be used to deposit are known in the art.

公知の前駆体及び方法の例は、次の出版物、特許、及び特許出願に開示されている。 Examples of known precursors and methods are disclosed in the following publications, patents and patent applications.

米国特許第7,084,076(B2)号明細書は、塩基触媒ALD法で使用する酸化ケイ素薄膜を堆積するためのハロゲン置換又はNCO置換ジシロキサン前駆体の使用を記載している。 US Pat. No. 7,084,076 (B2) describes the use of halogen- or NCO-substituted disiloxane precursors for depositing silicon oxide thin films for use in base-catalyzed ALD processes.

米国特許出願公開第2015/087139(AA)号明細書は、熱ALD法又はPEALD法によりケイ素含有薄膜を堆積するためのアミノ官能基を有するカルボシランの使用を記載している。 US Patent Application Publication No. 2015/087139 AA describes the use of carbosilanes with amino functional groups for depositing silicon-containing thin films by thermal ALD or PEALD methods.

米国特許第9,337,018(B2)号明細書は、熱ALD法又はPEALD法によりケイ素含有薄膜を堆積するための有機アミノジシランの使用を記載している。 US Pat. No. 9,337,018 (B2) describes the use of organoaminodisilanes for depositing silicon-containing thin films by thermal ALD or PEALD methods.

米国特許第8,940,648(B2)号明細書及び米国特許第8,912,353(B2)号明細書は、熱ALD法又はPEALD法によりケイ素含有薄膜を堆積するための有機アミノシランの使用を記載している。 US Pat. Nos. 8,940,648(B2) and 8,912,353(B2) describe the use of organoaminosilanes for depositing silicon-containing thin films by thermal ALD or PEALD methods. is described.

米国特許出願公開第2015/275355(AA)号明細書は、熱ALD法又はPEALD法によりケイ素含有薄膜を堆積するためのモノ-及びビス(有機アミノ)アルキルシランの使用を記載している。 US Patent Application Publication No. 2015/275355 (AA) describes the use of mono- and bis(organoamino)alkylsilanes for depositing silicon-containing thin films by thermal ALD or PEALD methods.

米国特許出願公開第2015/376211(A)号明細書は、熱ALD法又はPEALD法によりケイ素含有薄膜を堆積するためのモノ(有機アミノ)置換、ハロゲン置換、及びシュードハライド置換トリシリルアミンの使用を記載している。 U.S. Patent Application Publication No. 2015/376211A describes the use of mono(organoamino)-substituted, halogen-substituted, and pseudohalide-substituted trisilylamines for depositing silicon-containing thin films by thermal ALD or PEALD methods. is described.

国際公開第15/105337号パンフレット及び米国特許第9,245,740(B2)号明細書は、熱ALD法又はPEALD法によりケイ素含有薄膜を堆積するためのアルキル化トリシリルアミンの使用を記載している。 WO 15/105337 and US Pat. No. 9,245,740 B2 describe the use of alkylated trisilylamines for depositing silicon-containing thin films by thermal ALD or PEALD methods. ing.

国際公開第15/105350号パンフレットは、熱ALD法又はPEALD法によりケイ素含有薄膜を堆積するための少なくとも1つのS-H結合を有する4員環シクロジシラザンの使用を記載している。 WO 15/105350 describes the use of four-membered ring cyclodisilazanes with at least one SH bond for depositing silicon-containing thin films by thermal ALD or PEALD methods.

米国特許第7,084,076(B2)号明細書は、塩基触媒ALD法で使用する酸化ケイ素薄膜を堆積するためのハロゲン置換又はNCO置換ジシロキサン前駆体の使用を記載している。 US Pat. No. 7,084,076 (B2) describes the use of halogen- or NCO-substituted disiloxane precursors for depositing silicon oxide thin films for use in base-catalyzed ALD processes.

米国特許出願公開第2018/223047(A)号明細書は、ケイ素及び酸素含有薄膜を堆積するための少なくとも2個のケイ素原子及び2個の酸素原子並びに有機アミノ基を有するアミノ官能基を有する直鎖及び環式オリゴシロキサンを開示している。 U.S. Patent Application Publication No. 2018/223047(A) discloses a direct amino functional group having at least two silicon atoms and two oxygen atoms and an organic amino group for depositing silicon and oxygen containing thin films. Chain and cyclic oligosiloxanes are disclosed.

前述の特許及び特許出願の開示は、参照により本明細書に援用される。 The disclosures of the aforementioned patents and patent applications are incorporated herein by reference.

上記開発にもかかわらず、半導体製造施設におけるスループットを最大にするために高いサイクル当たりの成長(GPC)で酸化ケイ素含有薄膜を堆積のための前駆体及び方法に対する当技術分野におけるニーズがある。特定の前駆体は>2.0Å/サイクルのGPCで堆積することができるが、これらの前駆体は、とりわけ、低品質薄膜(元素不純物、低密度、電気特性不良、高い湿式エッチング速度)、高プロセス温度などの欠点を有し、触媒を要し、費用がかかり、低コンフォーマル性薄膜を製造する。 Despite the above developments, there is a need in the art for precursors and methods for depositing silicon oxide-containing thin films at high growth per cycle (GPC) to maximize throughput in semiconductor fabrication facilities. Although certain precursors can be deposited with GPC of >2.0 Å/cycle, these precursors are associated with, among other things, low quality films (elemental impurities, low density, poor electrical properties, high wet etch rates), high It has drawbacks such as process temperature, requires a catalyst, is expensive, and produces low conformal thin films.

本開発は、ケイ素及び酸素含有前駆体、特に有機アミノ官能基を有する環式オリゴシロキサンであって、少なくとも3個のケイ素原子及び3個の酸素原子並びにケイ素及び酸素含有薄膜の堆積方法の一部として基材表面に環式オリゴシロキサン化合物を固着させる働きをする少なくとも1種の有機アミノ基を有する、ケイ素及び酸素含有前駆体、特に有機アミノ官能基を有する環式オリゴシロキサンを提供することにより従来の前駆体及び方法に関連する問題を解決する。本発明で開示されているマルチシリコン前駆体は、上記背景技術の項目に記載されているものと比較して新規な構造を有し、したがって、前駆体合成の費用若しくは簡便さ、熱安定性、反応性、若しくは揮発性を含む前駆体の物理特性、ケイ素含有薄膜の堆積方法、又は堆積されたケイ素含有薄膜の特性のいずれかに関する1つ以上の態様における利点を提供し得る。 The present development relates to silicon- and oxygen-containing precursors, in particular cyclic oligosiloxanes with organoamino functional groups, containing at least three silicon atoms and three oxygen atoms and part of a method for depositing silicon- and oxygen-containing thin films. By providing a silicon- and oxygen-containing precursor, particularly a cyclic oligosiloxane having an organic amino functional group, which has at least one organic amino group that serves to anchor the cyclic oligosiloxane compound to the substrate surface as a to solve the problems associated with precursors and methods of The multi-silicon precursors disclosed in the present invention have a novel structure compared to those described in the background section above, thus reducing the cost or simplicity of precursor synthesis, thermal stability, It may provide advantages in one or more aspects of either the physical properties of the precursor, including reactivity or volatility, the method of depositing the silicon-containing thin film, or the properties of the deposited silicon-containing thin film.

少なくとも1種の有機アミノ官能基を有する環式オリゴシロキサン化合物を含む組成物であって、前記化合物は、式A~D:

Figure 2023520226000002
から成る群から選択される化合物であって、 A composition comprising a cyclic oligosiloxane compound having at least one organoamino functional group, said compound having formulas AD:
Figure 2023520226000002
A compound selected from the group consisting of

前記式A~D中、Rは、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され;Rは、水素、C~C10直鎖アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され、R及びRは、結合して環式環構造を形成するか又は結合しないで環式環構造を形成しないかのいずれかであり;R3~11は、水素、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10アルケニル基、C~C10アルキニル基、C~C10アリール基、及び有機アミノ基、NR、R及びRは上記に定義されている、から成る群から各々独立して選択され;n=1、2、又は3、及びm=2又は3である、
化合物を含む組成物を本明細書において開示する。
In Formulas A to D above, R 1 is a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group. , C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups; R 2 is hydrogen, C 1 -C 10 straight chain alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 3 -C 10 heterocyclic groups, C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 are selected from the group consisting of aryl groups, wherein R 1 and R 2 are either joined to form a cyclic ring structure or are not joined to form a cyclic ring structure; R 3-11 are , hydrogen, straight chain C 1 -C 10 alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 2 -C 10 alkenyl groups, C 2 -C 10 alkynyl groups, C 4 -C 10 aryl groups, and organic amino groups, NR 1 R 2 , R 1 and R 2 are each independently selected from the group consisting of as defined above; n=1, 2, or 3, and m = 2 or 3,
Compositions comprising the compounds are disclosed herein.

プラズマ促進ALD(PEALD)、プラズマ促進サイクリック化学気相成長(PECCVD)、流動性化学蒸着(FCVD)、プラズマ促進流動性化学蒸着(PEFCVD)、プラズマ促進ALD様法、又は酸素含有反応原料、窒素含有反応原料、若しくはこれらの組合せを用いたALD法において、比較的低温、例えば、600℃以下の1つ以上の温度で、これらに限定されないが、酸化ケイ素、炭素ドープ酸化ケイ素、オキシ窒化ケイ素薄膜、又は炭素ドープオキシ窒化ケイ素薄膜などの化学量論的若しくは非化学量論的なケイ素及び酸素含有物質又は薄膜の堆積方法を本明細書において記載する。 Plasma Enhanced ALD (PEALD), Plasma Enhanced Cyclic Chemical Vapor Deposition (PECCVD), Fluid Chemical Vapor Deposition (FCVD), Plasma Enhanced Fluid Chemical Vapor Deposition (PEFCVD), Plasma Enhanced ALD-like Process, or Oxygen-Containing Reactant, Nitrogen In an ALD process using the contained reactants, or combinations thereof, at relatively low temperatures, such as one or more temperatures below 600° C., including but not limited to silicon oxide, carbon-doped silicon oxide, silicon oxynitride thin films , or carbon-doped silicon oxynitride thin films, are described herein.

1つの態様では、基材上へのケイ素及び酸素を含む薄膜の堆積方法であって、前記方法は;(a)反応器内に基材を準備する工程と;(b)反応器内に、式A~D:

Figure 2023520226000003
から成る群から選択される少なくとも1種のシリコン前駆体化合物であって、 In one aspect, a method of depositing a thin film comprising silicon and oxygen on a substrate, the method comprising: (a) providing a substrate in a reactor; (b) in the reactor; Formulas AD:
Figure 2023520226000003
at least one silicon precursor compound selected from the group consisting of

前記式A~D中、Rは、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され;Rは、水素、C~C10直鎖アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され、R及びRは、結合して環式環構造を形成するか又は結合しないで環式環構造を形成しないかのいずれかであり;R3~11は、水素、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10アルケニル基、C~C10アルキニル基、C~C10アリール基、及び有機アミノ基、NR、R及びRは上記に定義されている、から成る群から各々独立して選択され;n=1、2、又は3、及びm=2又は3である、
化合物を導入する工程と;(c)反応器をパージガスでパージする工程と;(d)反応器内に酸素含有原料及び窒素含有原料のうち少なくとも1つを導入する工程と;並びに(d)反応器を前記パージガスでパージする工程と;薄膜の所望の厚さが堆積されるまで工程bから工程eを反復し;前記方法を、約25℃~600℃の範囲の1つ以上の温度で行う、工程と、
を含む、方法を本明細書において開示する。
In Formulas A to D above, R 1 is a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group. , C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups; R 2 is hydrogen, C 1 -C 10 straight chain alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 3 -C 10 heterocyclic groups, C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 are selected from the group consisting of aryl groups, wherein R 1 and R 2 are either joined to form a cyclic ring structure or are not joined to form a cyclic ring structure; R 3-11 are , hydrogen, straight chain C 1 -C 10 alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 2 -C 10 alkenyl groups, C 2 -C 10 alkynyl groups, C 4 -C 10 aryl groups, and organic amino groups, NR 1 R 2 , R 1 and R 2 are each independently selected from the group consisting of as defined above; n=1, 2, or 3, and m = 2 or 3,
(c) purging the reactor with a purge gas; (d) introducing at least one of an oxygen-containing source and a nitrogen-containing source into the reactor; and (d) the reaction. purging the vessel with said purge gas; repeating steps b through e until the desired thickness of the film has been deposited; and conducting said method at one or more temperatures ranging from about 25°C to 600°C. , process and
A method is disclosed herein comprising:

上記化合物の製造方法も本明細書において開示する。本発明の実施形態を、単独又は互いに組み合わせて使用することができる。 Also disclosed herein are methods of making the above compounds. Embodiments of the invention can be used singly or in combination with each other.

図1は、本発明に記載のビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン並びに従来技術のBDEASを用いた前駆体パルス時間に対するGPCの飽和曲線のグラフである。FIG. 1 shows bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane according to the invention and 1 is a graph of a GPC saturation curve versus precursor pulse time using a prior art BDEAS; 図2は、本発明による300℃堆積におけるビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを用いたOプラズマ出力に対する薄膜GPC及びWERを示す。FIG. 2 shows thin film GPC and WER versus O 2 plasma power with bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane at 300° C. deposition according to the present invention. 図3は、本発明による100℃堆積におけるビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを用いたOプラズマ出力に対する薄膜GPC及びWERを示す。FIG. 3 shows thin film GPC and WER versus O 2 plasma power with bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane at 100° C. deposition according to the present invention. 図4は、本発明による300℃堆積におけるビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを用いたOプラズマ時間に対する薄膜GPC及びWERを示す。FIG. 4 shows thin film GPC and WER versus O 2 plasma time using bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane at 300° C. deposition according to the present invention. 図5は、本発明による100℃堆積におけるビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを用いたOプラズマ時間に対する薄膜GPC及びWERを示す。FIG. 5 shows thin film GPC and WER versus O 2 plasma time using bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane at 100° C. deposition according to the present invention.

本発明の記載に関連して(特に次のクレームとの関連で)用語「a」及び「an」並びに「the」及び同様の指示対象は、本明細書において特に指示されない限り又は文脈上明白に矛盾しない限り、単数と複数の両方を包含すると解釈されるべきである。用語「含む(comprising)」、「有する(having)」、「含む(including)」、及び「含む(containing)」は、特に断りのない限り、オープンエンドな用語(すなわち、「含むが、これに限定されない」を意味する)と解釈されるべきである。本明細書中の値の範囲を記述することは、本明細書において特に指示されない限り、範囲内の各個別の値をひとつひとつ言及する簡便な方法として役立つことを単に目的としており、各別々の値は、あたかも本明細書にひとつひとつ記述されるかのように本明細書に組み込まれる。本明細書に記載されている全ての方法を、本明細書において特に指示されない限り、その他何であろうと文脈上明白に矛盾がない限り、いずれもの適切な順序で行うことができる。本明細書で提供されているいずれか及び全ての例、又は好ましい言語(例えば、「など(such as)」)の使用は、本発明を単によりよく例証することを目的としており、別段にクレームされない限り、本発明の範囲を制限することを提起しない。本明細書中のいかなる言い回しも、請求項に記載されていない要素を本発明の実施に不可欠である示すものと解釈されるべきでない。 In the context of the description of the present invention (especially in the context of the claims that follow), the terms "a" and "an" and "the" and similar referents are used unless otherwise indicated herein or by context. Unless contradicted, it should be construed to include both the singular and the plural. Unless otherwise specified, the terms “comprising,” “having,” “including,” and “containing” are open-ended terms (i.e., “including but not limited to (meaning "without limitation"). Recitation of ranges of values herein is merely intended to serve as a shorthand method of referring to each individual value within the range, unless otherwise indicated herein, and each individual value are incorporated herein as if set forth individually herein. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or preferred language (e.g., "such as") provided herein is intended merely to better illustrate the invention, and may not otherwise appear in the claims. It is not proposed to limit the scope of the invention unless otherwise stated. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the invention.

約600℃以下、若しくは約25℃~約600℃の1つ以上の温度で、いくつかの実施形態では、25℃~約300℃において、これらに限定されないが、酸化ケイ素、炭素ドープ酸化ケイ素薄膜、オキシ窒化ケイ素、又は炭素ドープオキシ窒化ケイ素薄膜又はこれらの組合せなどの化学量論的若しくは非化学量論的薄膜又は、ケイ素及び酸素を含む物質の形成に関連する組成物及び方法を本明細書において記載する。 本明細書に記載されている薄膜を、これらに限定されないが、プラズマ促進ALD(PEALD)又はプラズマ促進サイクリック化学気相成長(PECCVD)、流動性化学蒸着(FCVD)、又はプラズマ促進流動性化学蒸着(PEFCVD)などの原子層堆積(ALD)又はALD様方法などの堆積方法で堆積する。本明細書に記載されている低温堆積(例えば、約環境温度~600℃の範囲の1つ以上の堆積温度)方法は、次の利点:約2.1g/cc以上の密度、低化学不純物、熱原子層堆積、プラズマ促進原子層堆積(ALD)法又はプラズマ促進ALD様方法における高コンフォーマル性、得られた薄膜中の炭素含有率の調整能力を提供し;及び/又は薄膜は、0.5質量%希釈HF中で測定された場合に5オングストローム/秒(Å/秒)以下のエッチング速度を有する。炭素ドープ酸化ケイ素薄膜のため、これらに限定されないが、約1.8g/cc以上又は約2.0g/cc以上の密度など他の特徴に加えて、0.5質量%希釈HF中2Å/秒未満の値にエッチング速度を調整するために、1%より大きい炭素が望ましい。 at one or more temperatures of about 600° C. or less, or from about 25° C. to about 600° C., in embodiments from 25° C. to about 300° C., including but not limited to silicon oxide, carbon-doped silicon oxide thin films , silicon oxynitride, or carbon-doped silicon oxynitride thin films or combinations thereof, or compositions and methods related to the formation of materials containing silicon and oxygen. Describe. The thin films described herein may be deposited by, but not limited to, plasma-enhanced ALD (PEALD) or plasma-enhanced cyclic chemical vapor deposition (PECCVD), flow-enhanced chemical vapor deposition (FCVD), or plasma-enhanced flow-chemical deposition. It is deposited by a deposition method such as atomic layer deposition (ALD) or ALD-like methods such as vapor deposition (PEFCVD). Low temperature deposition (eg, one or more deposition temperatures ranging from about ambient temperature to 600° C.) methods described herein provide the following advantages: density of about 2.1 g/cc or greater, low chemical impurities, provide high conformality in thermal atomic layer deposition, plasma-enhanced atomic layer deposition (ALD) or plasma-enhanced ALD-like processes, the ability to tune the carbon content in the resulting thin film; It has an etch rate of 5 angstroms per second (Å/sec) or less when measured in 5 wt% diluted HF. 2 Å/sec in 0.5 wt % diluted HF, in addition to other characteristics such as, but not limited to, a density of about 1.8 g/cc or greater, or about 2.0 g/cc or greater, for carbon-doped silicon oxide thin films Greater than 1% carbon is desirable in order to tune the etch rate to values below.

本明細書に開示されている方法を、当技術分野において公知の装置を用いて実施することができる。例えば、方法は、半導体製造分野において通常使用される反応器を使用することができる。 The methods disclosed herein can be performed using equipment known in the art. For example, the method can use reactors commonly used in the semiconductor manufacturing field.

いかなる理論や説明にも束縛されることを望まないが、本明細書に開示されている前駆体組成物の有効性は、ケイ素原子、特にケイ素原子結合の数の関数として変わり得る。本明細書に開示されている前駆体は、典型的には、3~8個のケイ素原子、及び6~16のケイ素-酸素結合を有する。 Without wishing to be bound by any theory or explanation, the effectiveness of the precursor compositions disclosed herein can vary as a function of the number of silicon atoms, particularly silicon atom bonds. The precursors disclosed herein typically have 3-8 silicon atoms and 6-16 silicon-oxygen bonds.

本明細書に開示されている前駆体は、当技術分野において公知の異なる構造を有し、したがって、従来のケイ素含有前駆体より良好に実施することができ、比較的高GPCを提供し、より高品質薄膜を得、好ましい湿式エッチング速度を有し、又は元素混入がより少ない。 The precursors disclosed herein have different structures known in the art and thus can perform better than conventional silicon-containing precursors, provide relatively high GPCs, and High quality films are obtained, have favorable wet etch rates, or contain less elemental contamination.

気相成長法を用いて酸化ケイ酸、炭素ドープ酸化ケイ素、又はカルボキシ窒化ケイ素薄膜から選択される薄膜を堆積するための組成物であって、前記組成物は、式A~D:

Figure 2023520226000004
を有する化合物であって、
前記式A~D中、Rは、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され;Rは、水素、C~C10直鎖アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され、R及びRは、結合して環式環構造を形成するか又は結合しないで環式環構造を形成しないかのいずれかであり;R3~11は、水素、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10アルケニル基、C~C10アルキニル基、C~C10アリール基、及び有機アミノ基、NR、R及びRは上記に定義されている、から成る群から各々独立して選択され;n=1、2、又は3、及びm=2又は3である、
化合物を含む、組成物を本明細書において開示する。 A composition for depositing a thin film selected from silicic acid, carbon-doped silicon oxide, or silicon carboxynitride thin films using vapor deposition, said composition comprising formulas A-D:
Figure 2023520226000004
A compound having
In Formulas A to D above, R 1 is a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group. , C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups; R 2 is hydrogen, C 1 -C 10 straight chain alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 3 -C 10 heterocyclic groups, C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 are selected from the group consisting of aryl groups, wherein R 1 and R 2 are either joined to form a cyclic ring structure or are not joined to form a cyclic ring structure; R 3-11 are , hydrogen, straight chain C 1 -C 10 alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 2 -C 10 alkenyl groups, C 2 -C 10 alkynyl groups, C 4 -C 10 aryl groups, and organic amino groups, NR 1 R 2 , R 1 and R 2 are each independently selected from the group consisting of as defined above; n=1, 2, or 3, and m = 2 or 3,
Compositions comprising compounds are disclosed herein.

好ましい実施形態では、R1~9の少なくとも1つは、C~Cアルキル基である。好ましい実施形態は、式A~Dの化合物を含み、R1~9の各々は、水素又はC~Cアルキル基のいずれかである。 In preferred embodiments, at least one of R 1-9 is a C 1 -C 4 alkyl group. Preferred embodiments include compounds of formulas AD, wherein each of R 1-9 is either hydrogen or a C 1 -C 4 alkyl group.

上式中及び本明細書を通して、用語「オリゴシロキサン」は、少なくとも2つの反復-Si-O-シロキサン単位、好ましくは少なくとも3つの反復-Si-O-シロキサン単位、を含む化合物を示し、環式又は直鎖構造であってよく、好ましくは環式構造である。 In the above formula and throughout this specification, the term "oligosiloxane" denotes a compound containing at least two repeating -Si-O-siloxane units, preferably at least three repeating -Si-O-siloxane units, and a cyclic Alternatively, it may have a linear structure, preferably a cyclic structure.

上式中及び本明細書を通して、用語「アルキル」は、1~10個の炭素原子を有する直鎖又は分岐鎖官能基を示す。好ましい直鎖アルキル基としては、メチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル基が挙げられるが、これらに限定されない。好ましい分岐鎖アルキル基としては、iso-プロピル、iso-ブチル、sec-ブチル、tert-ブチル、iso-ペンチル、tert-ペンチル、iso-ヘキシル、及びneo-ヘキシルが挙げられるが、これらに限定されない。特定の実施形態では、アルキル基は、これらに限定されないが、これに結合されたアルコキシ基、ジアルキルアミノ基又はこれらの組合せなど、これに結合された1つ以上の官能基を有してよい。他の実施形態では、アルキル基は、これに結合された1つ以上の官能基を有しない。アルキル基は、飽和であってもよく、あるいは非飽和であってもよい。 In the above formulas and throughout this specification, the term "alkyl" denotes a straight or branched chain functional group having 1-10 carbon atoms. Preferred straight chain alkyl groups include, but are not limited to, methyl, ethyl, propyl, butyl, pentyl, and hexyl groups. Preferred branched chain alkyl groups include, but are not limited to, iso-propyl, iso-butyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, iso-hexyl, and neo-hexyl. In certain embodiments, an alkyl group may have one or more functional groups attached thereto such as, but not limited to, alkoxy groups, dialkylamino groups, or combinations thereof attached thereto. In other embodiments, an alkyl group does not have one or more functional groups attached to it. Alkyl groups can be saturated or unsaturated.

上式中及び本明細書を通して、用語「環式アルキル」は、3~10個の炭素原子を有する環式官能基を示す。好ましい環式アルキル基としては、シクロブチル、シクロペンチル、シクロヘキシル、及びシクロオクチル基が挙げられるが、これらに限定されない。 In the above formulas and throughout the specification, the term "cyclic alkyl" denotes a cyclic functional group having 3-10 carbon atoms. Preferred cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.

上式中及び本明細書を通して、用語「アルケニル基」は、1つ以上の炭素-炭素二重結合を有し、2~10個又は2~6個の炭素原子を有する基を示す。 In the above formulas and throughout this specification, the term "alkenyl group" denotes a group having one or more carbon-carbon double bonds and having 2 to 10 or 2 to 6 carbon atoms.

上式中及び本明細書を通して、用語「ジアルキルアミノ」基、「アルキルアミノ」基、又は「有機アミノ」基は、RN-基を示し、前記式中、Rは、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され;Rは、水素、C~C10直鎖アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択される。場合によっては、R及びRは結合して環式環構造を形成し、別の場合では、R及びRは結合せず環式環構造を形成しない。R及びRは結合して環式環構造を形成する好ましい有機アミノ基としては、R=プロピル及びR=Meであるピロリジノ、R=プロピル及びR=Etである1,2-ピペリジノ、R=iso-プロピル及びR=sec-ブチルである2,6-ジメチルピペリジノ、並びにR=R=iso-プロピルである2,5-ジメチルピロリジノが挙げられるが、これらに限定されない。 In the above formulas and throughout the specification, the terms "dialkylamino", "alkylamino", or "organoamino" groups refer to R 1 R 2 N- groups, wherein R 1 is a linear C 1 -C 10 alkyl, branched C 3 -C 10 alkyl, C 3 -C 10 cyclic alkyl, C 3 -C 10 heterocyclic, C 3 -C 10 alkenyl, C 3 -C 10 10 alkynyl groups, and C 4 -C 10 aryl groups; R 2 is hydrogen, C 1 -C 10 straight chain alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 is selected from the group consisting of cyclic alkyl groups, C 3 -C 10 heterocyclic groups, C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups. In some cases R 1 and R 2 are joined to form a cyclic ring structure, and in other cases R 1 and R 2 are not joined to form a cyclic ring structure. Preferred organic amino groups in which R 1 and R 2 combine to form a cyclic ring structure include pyrrolidino where R 1 =propyl and R 2 =Me, 1,2 where R 1 =propyl and R 2 =Et. -piperidino, 2,6-dimethylpiperidino where R 1 =iso-propyl and R 2 =sec-butyl, and 2,5-dimethylpyrrolidino where R 1 =R 2 =iso-propyl , but not limited to.

上式中及び本明細書を通して、用語「アリール」は、4~10個の炭素原子、5~10個の炭素原子、又は6~10個の炭素原子を有する芳香族環式官能基を示す。好ましいアリール基としては、フェニル、ベンジル、クロロベンジル、トリル、o-キシリル、1,2,3-トリアゾリル、ピロリル、及びフラニルが挙げられるが、これらに限定されない。 In the above formulas and throughout this specification, the term "aryl" denotes an aromatic cyclic functional group having 4-10 carbon atoms, 5-10 carbon atoms, or 6-10 carbon atoms. Preferred aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, o-xylyl, 1,2,3-triazolyl, pyrrolyl, and furanyl.

本明細書を通して、用語「アルキル炭化水素」は、直鎖又は分岐鎖C~C20炭化水素、環式C~C20炭化水素を表す。好ましい炭化水素としては、ヘプタン、オクタン、ノナン、デカン、ドデカン、シクロオクタン、シクロノナン、及びシクロデカンが挙げられるが、これらに限定されない。 Throughout this specification, the term "alkyl hydrocarbon" refers to straight or branched chain C1 - C20 hydrocarbons, cyclic C6 - C20 hydrocarbons. Preferred hydrocarbons include, but are not limited to, heptane, octane, nonane, decane, dodecane, cyclooctane, cyclononane, and cyclodecane.

本明細書を通して、用語「アルコキシ」は、式中Rが上記定義の通りであるC~C10-OR基を表す。好ましいアルコキシ基としては、メトキシ、エトキシ、iso-プロポキシ、n-プロポキシ、n-ブトキシ、sec-ブトキシ、tert-ブトキシ、及びフェノキシドが挙げられるが、これらに限定されない。 Throughout this specification the term "alkoxy" represents a C 1 -C 10 -OR 1 group, wherein R 1 is as defined above. Preferred alkoxy groups include, but are not limited to, methoxy, ethoxy, iso-propoxy, n-propoxy, n-butoxy, sec-butoxy, tert-butoxy, and phenoxide.

本明細書を通して、用語「カルボキシレート」は、式中Rが上記定義の通りであるC~C12-OC(=O)R基を表す。好ましいカルボキシレート基としては、アセテート(-OC(=O)Me)、エチルカルボキシレート(-OC(=O)Et)、iso-プロピルカルボキシレート(-OC(=O)iPr)、及びベンゾエート(-OC(=O)Ph)が挙げられるが、これらに限定されない。 Throughout this specification, the term "carboxylate" refers to a C2 - C12 -OC(=O) R1 group, wherein R1 is as defined above. Preferred carboxylate groups include acetate (-OC(=O)Me), ethyl carboxylate (-OC(=O)Et), iso-propylcarboxylate (-OC(=O)iPr), and benzoate (- OC(=O)Ph), but not limited to;

本明細書を通して、用語「芳香族炭化水素」は、C~C20芳香族炭化水素を表す。好ましい芳香族炭化水素としては、トルエン、及びメシチレンが挙げられるが、これらに限定されない。 Throughout this specification, the term "aromatic hydrocarbon" refers to C6 - C20 aromatic hydrocarbons. Preferred aromatic hydrocarbons include, but are not limited to toluene and mesitylene.

上式中及び本明細書を通して、用語「複素環式」は、環構造中の原子の1つ以上は炭素以外の元素、例えば、窒素、酸素又は硫黄である、約3~約10環原子、好ましくは約5~約10環原子の非芳香族飽和単環式又は多環式環構造を意味する。好ましい複素環は、約5~約6環原子を含む。複素環の前の接頭語アザ(aza)、オキソ(oxo)又はチオ(thio)は、それぞれ、少なくとも窒素、酸素又は硫黄原子が環原子として存在することを意味する。複素環式基は置換されていてもよい。 In the above formulas and throughout this specification, the term "heterocyclic" means from about 3 to about 10 ring atoms, wherein one or more of the atoms in the ring structure is an element other than carbon, such as nitrogen, oxygen or sulfur; Preferably, it refers to a non-aromatic saturated monocyclic or polycyclic ring structure of about 5 to about 10 ring atoms. Preferred heterocycles contain about 5 to about 6 ring atoms. The prefix aza, oxo or thio before heterocycle means that at least a nitrogen, oxygen or sulfur atom respectively is present as a ring atom. Heterocyclic groups may be optionally substituted.

式A~Dを有する好ましい有機アミノ官能基を有する環式オリゴシロキサンを、表1にリストする:

Figure 2023520226000005
Figure 2023520226000006
Figure 2023520226000007
Figure 2023520226000008
Figure 2023520226000009
Figure 2023520226000010
Preferred organoamino functional cyclic oligosiloxanes having formulas AD are listed in Table 1:
Figure 2023520226000005
Figure 2023520226000006
Figure 2023520226000007
Figure 2023520226000008
Figure 2023520226000009
Figure 2023520226000010

式A~Dを有する化合物を、例えば、少なくとも1つのSi-H結合を有する環式オリゴシロキサンを有機アミンと触媒デヒドロカップリング反応(例えば、シクロテトラシロキサンについては式1及びシクロペンタシロキサンなどのより大きい環式オリゴシロキサンについては式2)することによって、又は塩素化環式オリゴシロキサンと有機アミン若しくは有機アミンの金属塩との反応(例えば、シクロテトラシロキサンについては式2)、又は有機アミノシラン及び有機アミノジシランの合成に関する米国特許第9,758,534(B2)号明細書に記載されているように環式オリゴシロキサンによりイミンを触媒ヒドロシリル化し、それにより環式オリゴシロキサンをシラン若しくはジシランの代わりに使用することによって合成することができる。

Figure 2023520226000011
Compounds having formulas A-D, for example, are subjected to catalytic dehydrocoupling reactions of cyclic oligosiloxanes having at least one Si—H bond with organic amines (e.g., formula 1 for cyclotetrasiloxane and others such as cyclopentasiloxane). 2) for large cyclic oligosiloxanes, or the reaction of chlorinated cyclic oligosiloxanes with organic amines or metal salts of organic amines (e.g., eq. 2 for cyclotetrasiloxanes), or organic aminosilanes and organic Catalytic hydrosilylation of imines with cyclic oligosiloxanes as described in U.S. Pat. No. 9,758,534 B2 for the synthesis of aminodisilanes, thereby replacing cyclic oligosiloxanes with silanes or disilanes. Can be synthesized by using
Figure 2023520226000011

好ましくは、反応混合物中の有機アミンに対する環式オリゴシロキサンのモル比は、約4~1、3~1、2~1、1.5~1、1~1.0、1~1.5、1~2、1~3、1~4、1~8又は1~10である。 Preferably, the molar ratio of cyclic oligosiloxane to organic amine in the reaction mixture is about 4-1, 3-1, 2-1, 1.5-1, 1-1.0, 1-1.5, 1-2, 1-3, 1-4, 1-8 or 1-10.

式1及び3中の本発明の方法で使用される触媒は、ケイ素-窒素結合の形成を促進するものである。本明細書に記載されている方法を用いて使用することができる好ましい触媒としては、次のもの:アルカリ土類金属触媒;ハロゲン化物フリー主族、遷移金属、ランタニド、及びアクチニド触媒;ハロゲン化物含有主族、遷移金属、ランタニド、及びアクチニド触媒が挙げられるが、これらに限定されない。 The catalysts used in the process of the invention in Formulas 1 and 3 promote the formation of silicon-nitrogen bonds. Preferred catalysts that can be used with the methods described herein include: alkaline earth metal catalysts; halide-free main group, transition metal, lanthanide, and actinide catalysts; halide-containing Main group, transition metal, lanthanide, and actinide catalysts include, but are not limited to.

好ましいアルカリ土類金属触媒としては、次のもの:Mg[N(SiMe、ToMMgMe[ToM=トリス(4,4-ジメチル-2-オキサゾリニル)フェニルボレート]、ToMMg-H、ToMMg-NR(R=H、アルキル、アリール)Ca[N(SiMe、[(dipp-nacnac)CaX(THF)](dipp-nacnac=CH[(CMe)(2,6-iPr-CN)];X=H、アルキル、カルボシリル、有機アミノ)、Ca(CHPh)、Ca(C、Ca(α-MeSi-2-(MeN)-ベンジル)(THF)、Ca(9-(MeSi)-フルオレニル)(α-MeSi-2-(MeN)-ベンジル)(THF)、[(MeTACD)3Ca3(μ3-H)]+(MeTACD=Me[12]アンN)、Ca(η2-PhCNPh)(hmpa)(hmpa=ヘキサメチルホスホラミド)、Sr[N(SiMe、ジアルキルマグネシウム及び他のM2+アルカリ土類金属-アミド、-イミン、-アルキル、-ハライド、及び-カルボシリル錯体(M=Ca、Mg、Sr、Ba)が挙げられるが、これらに限定されない。 Preferred alkaline earth metal catalysts include: Mg[N(SiMe 3 ) 2 ] 2 , ToMMgMe[ToM=tris(4,4-dimethyl-2-oxazolinyl)phenylborate], ToMMg-H, ToMMg- NR 2 (R═H, alkyl, aryl) Ca[N(SiMe 3 ) 2 ] 2 , [(dipp-nacnac)CaX(THF)] 2 (dipp-nacnac=CH[(CMe)(2,6-iPr 2 -C 6 H 3 N)] 2 ; X═H, alkyl, carbosilyl, organic amino), Ca(CH 2 Ph) 2 , Ca(C 3 H 5 ) 2 , Ca(α-Me 3 Si-2- (Me 2 N)-benzyl) 2 (THF) 2 , Ca(9-(Me 3 Si)-fluorenyl)(α-Me 3 Si-2-(Me 2 N)-benzyl)(THF), [(Me 3TACD )3Ca3(μ3-H) 2 ]+( Me3TACD = Me3 [12] anN4 ), Ca(η2- Ph2CNPh )(hmpa) 3 (hmpa=hexamethylphosphoramide), Sr[ N(SiMe 3 ) 2 ] 2 , dialkylmagnesium and other M 2+ alkaline earth metal-amides, -imines, -alkyls, -halides, and -carbosilyl complexes (M=Ca, Mg, Sr, Ba) but not limited to these.

好ましいハロゲン化物フリー、主族、遷移金属、ランタニド、及びアクチニド触媒としては、次のもの:1,3-ジ-iso-プロピル-4,5-ジメチルイミダゾ-2-イリデン、2,2’-ビピリジル、フェナントロリン、B(C、BR(R=直鎖、分岐鎖、又は環式C~C10アルキル基、C~C10アリール基、又はC~C10アルコキシ基)、AlR(R=直鎖、分岐鎖、又は環式C~C10アルキル基、C~C10アリール基、又はC~C10アルコキシ基)、(CTiR(R=アルキル、H、アルコキシ、有機アミノ、カルボシリル)、(CTi(OAr)[Ar=(2,6-(iPr))]、(CTi(SiHRR’)PMe(式中、R、R’はH、Me、Phから各々独立して選択される)、TiMe2(dmpe)(dmpe=1,2-ビス(ジメチルホスフィノ)エタン)、ビス(ベンゼン)クロミウム(0)、Cr(CO)、Mn(CO)12、Fe(CO)、Fe(CO)12、(C)Fe(CO)Me、Co(CO)、酢酸Ni(II)、ニッケル(II)アセチルアセトネート、Ni(シクロオクタジエン)、[(dippe)Ni(μ-H)](dippe=1,2-ビス(ジ-iso-プロピルホスフィノ)エタン)、(R-インデニル)Ni(PR’)Me(R=1-iPr、1-SiMe、1,3-(SiMe;R’=Me,Ph)、[{Ni(η-CH:CHSiMeO}{μ-(η-CH:CHSiMeO}]、酢酸Cu(I)、CuH、[トリス(4,4-ジメチル-2-オキサゾリニル)フェニルボレート]ZnH、(CZrR(R=アルキル、H、アルコキシ、有機アミノ、カルボシリル)、Ru(CO)12、[(EtP)Ru(2,6-ジメシチルチオフェノレート)][B[3,5-(CF]、[(CMe)Ru(RP)x(NCMe)-x](式中、Rは直鎖、分岐鎖、又は環式C~C10アルキル基及びC~C10アリール基から選択される;x=0、1、2、3)、Rh(CO)16、トリス(トリフェニルホスフィン)ロジウム(I)カルボニル水素化物、Rh(CO)(dppm)(dppm=ビス(ジフェニルホスフィノ)メタン、Rh(μ-SiRH)(CO)(dppm)(R=Ph、Et、C13)、Pd/C、トリス(ジベンジリデンアセトン)ジパラジウム(0)、テトラキス(トリフェニルホスフィン)パラジウム(0)、酢酸Pd(II)、(CSmH、(CMeSmH、(THF)Yb[N(SiMe、(NHC)Yb(N(SiMe[NHC=1,3-ビス(2,4,6-トリメチルフェニル)イミダゾール-2-イリデン)]、Yb(η2-PhCNPh)(hmpa)(hmpa=ヘキサメチルホスホラミド)、W(CO)、Re(CO)10、Os(CO)12、Ir(CO)1、(アセチルアセトナト)ジカルボニルイリジウム(I)、Ir(Me)(CMe)L(L=PMe、PPh)、[Ir(シクロオクタジエン)OMe]、PtO(アダムス触媒)、白金炭素(Pt/C)、ルテニウム炭素(Ru/C)、ルテニウム-アルミナ、パラジウム炭素、ニッケル炭素、オスミウム炭素、白金(0)-1,3-ジビニル-1,1,3,3-テトラメチルジシロキサン(カーステッド触媒)、ビス(トリ-tert-ブチルホスフィン)白金(0)、Pt(シクロオクタジエン)、[(MeSi)N]U][BPh]、[(EtN)U][BPh]、及び他のハロゲン化物フリーMn+錯体(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0、1、2、3、4、5、6)が挙げられるが、これらに限定されない。上記リストされた触媒並びにルテニウム、白金、パラジウム、ロジウム、オスミウムなどの純貴金属を、担体に固定することもできる。担体は、高表面積を有する固体である。典型的担体物質としては:アルミナ、MgO、ゼオライト、炭素、モノリスコーディエライト、珪藻土、シリカゲル、シリカ/アルミナ、ZrO、TiO、金属有機構造体(MOF)、及びポリスチレンなどの有機高分子が挙げられるが、これらに限定されない。好ましい担体は、炭素(例えば、白金炭素、パラジウム炭素、ロジウム炭素、ルテニウム炭素)、アルミナ、シリカ及びMgOである。触媒の金属負荷は、約0.01質量パーセント~約50質量パーセントの範囲である。好ましい範囲は、約0.5質量パーセント~約20質量パーセントである。より好ましい範囲は、約0.5質量パーセント~約10質量パーセントである。活性化を要する触媒は、いくつかの公知の方法によって活性化してよい。真空下での触媒の加熱は、好ましい方法である。反応槽への添加前又は反応物の添加前に反応槽内で触媒を活性化してよい。触媒は、助触媒を含有してよい。助触媒は、それ自体が触媒でないが、活性触媒と少量混合された場合にその有効性(活性及び/又は選択性)を増大する物質である。助触媒は、通常、Mn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuなどの金属並びに/又はこれらの酸化物である。助触媒を、反応槽へ別々に添加することができるか又は触媒自体の一部であり得る。例えば、Ru/Mn/C(マンガンにより促進されるルテニウム炭素)又はPt/CeO/Ir/SiO(酸化セリウム及びイリジウムにより促進される白金)。いくつかの助触媒は、これらだけで触媒として作用することができるが、主触媒と組み合わせた使用は主触媒の活性を向上することができる。触媒は、他の触媒のための助触媒として作用する可能性がある。これとの関連で、触媒を、二元金属(又は多元金属)触媒と呼ぶことができる。例えば、Ru/Rh/Cを、ルテニウム・ロジウム炭素二元金属触媒又はロジウムにより促進されるルテニウム炭素のいずれかで呼ぶことができる。活性触媒は、特定の化学反応において触媒として作用する物質である。 Preferred halide-free, main group, transition metal, lanthanide, and actinide catalysts include: 1,3-di-iso-propyl-4,5-dimethylimidazo-2-ylidene, 2,2'-bipyridyl , phenanthroline, B(C 6 F 5 ) 3 , BR 3 (R=linear, branched, or cyclic C 1 -C 10 alkyl, C 5 -C 10 aryl, or C 1 -C 10 alkoxy ), AlR 3 (R=linear, branched, or cyclic C 1 -C 10 alkyl group, C 5 -C 10 aryl group, or C 1 -C 10 alkoxy group), (C 5 H 5 ) 2 TiR 2 (R=alkyl, H, alkoxy, organic amino, carbosilyl), (C 5 H 5 ) 2 Ti(OAr) 2 [Ar=(2,6-(iPr) 2 C 6 H 3 )], (C 5 H 5 ) 2 Ti(SiHRR')PMe 3 (wherein R, R' are each independently selected from H, Me and Ph), TiMe2(dmpe) 2 (dmpe=1,2-bis(dimethyl phosphino )ethane), bis(benzene)chromium(0), Cr(CO) 6 , Mn2 (CO) 12 , Fe(CO) 5 , Fe3 (CO) 12 , ( C5H5 )Fe(CO ) 2 Me, Co 2 (CO) 8 , Ni(II) acetate, nickel(II) acetylacetonate, Ni(cyclooctadiene) 2 , [(dippe)Ni(μH)] 2 (dippe=1, 2-bis(di-iso-propylphosphino)ethane), (R-indenyl)Ni(PR′ 3 )Me (R=1-iPr, 1-SiMe 3 , 1,3-(SiMe 3 ) 2 ; R '=Me, Ph), [{Ni(η-CH 2 :CHSiMe 2 ) 2 O} 2 {μ-(η-CH 2 :CHSiMe 2 ) 2 O}], Cu(I) acetate, CuH, [Tris (4,4-dimethyl-2-oxazolinyl)phenylborate]ZnH, (C 5 H 5 ) 2 ZrR 2 (R=alkyl, H, alkoxy, organic amino, carbosilyl), Ru 3 (CO) 12 , [(Et 3P )Ru(2,6-dimesitylthiophenolate)][B[3,5- ( CF3 ) 2C6H3 ] 4 ], [( C5Me5 )Ru ( R3P )x (NCMe) 3 -x] + where R is selected from linear, branched or cyclic C 1 -C 10 alkyl groups and C 5 -C 10 aryl groups; x=0, 1, 2 , 3), Rh 6 (CO) 16 , tris(triphenylphosphine) rhodium(I) carbonyl hydride, Rh 2 H 2 (CO) 2 (dppm) 2 (dppm = bis(diphenylphosphino)methane, Rh 2 (μ-SiRH) 2 (CO) 2 (dppm) 2 (R=Ph, Et, C 6 H 13 ), Pd/C, tris(dibenzylideneacetone) dipalladium(0), tetrakis(triphenylphosphine) palladium (0), Pd(II) acetate, ( C5H5 ) 2SmH , ( C5Me5 ) 2SmH , (THF) 2Yb [ N( SiMe3 ) 2 ] 2 , (NHC)Yb(N( SiMe 3 ) 2 ) 2 [NHC=1,3-bis(2,4,6-trimethylphenyl)imidazol-2-ylidene)], Yb(η2-Ph 2 CNPh)(hmpa) 3 (hmpa=hexamethylphospho amide), W(CO) 6 , Re2 (CO) 10 , Os3 (CO) 12 , Ir4 (CO) 12 , (acetylacetonato)dicarbonyliridium(I), Ir(Me) 2 (C 5Me 5 )L (L = PMe 3 , PPh 3 ), [Ir(cyclooctadiene)OMe] 2 , PtO 2 (Adams catalyst), platinum carbon (Pt/C), ruthenium carbon (Ru/C), ruthenium -alumina, palladium on carbon, nickel on carbon, osmium on carbon, platinum(0)-1,3-divinyl-1,1,3,3-tetramethyldisiloxane (Kersted catalyst), bis(tri-tert-butylphosphine) Platinum(0), Pt(cyclooctadiene ) 2 , [( Me3Si ) 2N ] 3U ][BPh4], [( Et2N ) 3U ][ BPh4 ], and other halide-free Mn complex (M=Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Y, Zr, Nb, Mo, Ru, Rh, Pd, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, Ta, W, Re, Os, Ir, Pt, U; n=0, 1, 2, 3, 4, 5, 6), but not limited to these. The catalysts listed above as well as pure noble metals such as ruthenium, platinum, palladium, rhodium and osmium can also be fixed on supports. A carrier is a solid with a high surface area. Typical support materials include: alumina, MgO, zeolites, carbon, monolithic cordierite, diatomaceous earth, silica gel, silica/alumina, ZrO, TiO2 , metal organic frameworks (MOFs), and organic polymers such as polystyrene. include but are not limited to: Preferred supports are carbon (eg platinum on carbon, palladium on carbon, rhodium on carbon, ruthenium on carbon), alumina, silica and MgO. The metal loading of the catalyst ranges from about 0.01 weight percent to about 50 weight percent. A preferred range is from about 0.5 weight percent to about 20 weight percent. A more preferred range is from about 0.5 weight percent to about 10 weight percent. Catalysts requiring activation may be activated by several known methods. Heating the catalyst under vacuum is the preferred method. The catalyst may be activated in the reactor prior to addition to the reactor or prior to addition of the reactants. The catalyst may contain a co-catalyst. A co-catalyst is a substance that is not a catalyst per se, but increases its effectiveness (activity and/or selectivity) when mixed in small amounts with an active catalyst. The promoters are typically metals such as Mn, Ce, Mo, Li, Re, Ga, Cu, Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu and Au and/or their oxides. be. The cocatalyst can be added separately to the reactor or can be part of the catalyst itself. For example Ru/Mn/C (ruthenium carbon promoted by manganese) or Pt/CeO 2 /Ir/SiO 2 (platinum promoted by cerium oxide and iridium). Some co-catalysts can act as catalysts by themselves, but their use in combination with the main catalyst can improve the activity of the main catalyst. Catalysts may act as co-catalysts for other catalysts. In this context, the catalyst may be referred to as a bimetallic (or multimetallic) catalyst. For example, Ru/Rh/C can be referred to as either a ruthenium-rhodium-carbon bimetallic catalyst or a ruthenium-carbon promoted by rhodium. An active catalyst is a substance that acts as a catalyst in a specific chemical reaction.

好ましいハロゲン化物含有主族、遷移金属、ランタニド、及びアクチニド触媒としては、BX(X=F、Cl、Br、I)、BF・OEt、AlX(X=F、Cl、Br、I)、(CTiX(X=F、CI)、[Mn(CO)Br]、NiCl、(CZrX(X=F、CI)、PdCl、PdI、CuCl、CuI、CuF、CuCl、CuBr、Cu(PPhCl、ZnCl、RuCl、[(C)RuX(X=Cl、Br、I)、(PhP)RhCl(ウィルキンソン触媒)、[RhCl(シクロオクタジエン)]、ジ-μ-クロロ-テトラカルボニルジロジウム(I)、ビス(トリフェニルホスフィン)ロジウム(I)カルボニルクロリド、NdI、SmI、DyI、(POCOP)IrHCl(POCOP=2,6-(RPO);R=iPr、nBu、Me)、HPtCl6・nHO(スピアー触媒)、PtCl、Pt(PPhCl、及び他のハロゲン化物含有Mn+錯体(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0、1、2、3、4、5、6)が挙げられるが、これらに限定されない。 Preferred halide-containing main group, transition metal, lanthanide and actinide catalysts include BX3 ( X=F, Cl, Br, I), BF3.OEt2, AlX3 ( X =F, Cl, Br, I ), ( C5H5 ) 2TiX2 (X=F, CI), [Mn(CO)4Br]2 , NiCl2 , ( C5H5 ) 2ZrX2 (X=F, CI), PdCl 2 , PdI 2 , CuCl, CuI, CuF 2 , CuCl 2 , CuBr 2 , Cu(PPh 3 ) 3 Cl, ZnCl 2 , RuCl 3 , [(C 6 H 6 )RuX 2 ] 2 (X=Cl, Br, I), (Ph 3 P) 3 RhCl (Wilkinson's catalyst), [RhCl (cyclooctadiene)] 2 , di-μ-chloro-tetracarbonyl dirhodium (I), bis(triphenylphosphine)rhodium (I) carbonyl chloride, NdI2 , SmI2 , DyI2 , (POCOP ) IrHCl ( POCOP =2,6-(R2PO) 2C6H3 ; R=iPr, nBu , Me), H2PtCl6.nH2O ( Spear 's catalyst), PtCl2 , Pt( PPh3 ) 2Cl2 , and other halide-containing Mn + complexes (M=Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Y , Zr, Nb, Mo, Ru, Rh, Pd, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, Ta, W, Re , Os, Ir, Pt, U; n=0, 1, 2, 3, 4, 5, 6).

反応混合物中の環式オリゴシロキサンに対する触媒のモル比は、0.1~1、0.05~1、0.01~1、0.005~1、0.001~1、0.0005~1、0.0001~1、0.00005~1、又は0.00001~1の範囲である。1つの特定の実施形態では、環式オリゴシロキサン1当量当たり触媒の0.002~0.003当量を使用する。別の特定の実施形態では、環式オリゴシロキサン1当量当たり触媒の0.001当量を使用する。 The molar ratio of catalyst to cyclic oligosiloxane in the reaction mixture is 0.1-1, 0.05-1, 0.01-1, 0.005-1, 0.001-1, 0.0005-1 , 0.0001-1, 0.00005-1, or 0.00001-1. In one particular embodiment, 0.002 to 0.003 equivalents of catalyst are used per equivalent of cyclic oligosiloxane. In another particular embodiment, 0.001 equivalents of catalyst are used per equivalent of cyclic oligosiloxane.

特定の実施形態では、環式オリゴシロキサン有機アミン及び触媒を含む反応混合物は、無水溶媒を更に含む。好ましい溶媒としては、直鎖、分岐鎖、環式又はポリエーテル(例えば、テトラヒドロフラン(THF)、ジエチルエーテル、ジグライム及び/又はテトラグライム);直鎖、分岐鎖、又は環式アルカン、アルケン、芳香族及びハロゲン化炭素(例えば、ペンタン、ヘキサン、トルエン及びジクロロメタン)を挙げることができるが、これらに限定されない。添加される場合、1つ以上の溶媒の選択は、反応混合物中に含まれる試薬との相溶性、触媒の溶解性、並びに/又は選択される中間製品の分離方法及び/若しくは最終製品によって影響を受ける可能性がある。他の実施形態では、反応混合物は、溶媒を含まない。 In certain embodiments, the reaction mixture comprising the cyclic oligosiloxane organic amine and catalyst further comprises an anhydrous solvent. Preferred solvents include linear, branched, cyclic or polyethers (e.g. tetrahydrofuran (THF), diethyl ether, diglyme and/or tetraglyme); linear, branched or cyclic alkanes, alkenes, aromatics; and halogenated carbons such as pentane, hexane, toluene and dichloromethane, but are not limited thereto. The choice of one or more solvents, if added, is influenced by the compatibility with the reagents contained in the reaction mixture, the solubility of the catalyst, and/or the method of separation of the intermediate and/or final product chosen. may receive. In other embodiments, the reaction mixture is solvent-free.

本明細書に記載されている方法では、環式オリゴシロキサン及び有機アミンの反応は、約0℃~約200℃、好ましくは0℃~約100℃の範囲の1つ以上の温度で起こる。反応の好ましい温度は、次の終点:0、10、20、30、40、50、60、70、80、90、又は100℃のうちのいずれか1つ以上を有する範囲を含む。この反応のための適切な温度範囲は、試薬、及び必要に応じて溶媒の物理特性によって決定してよい。特定の反応器温度範囲の例としては、0℃~80℃又は0℃~30℃が挙げられるが、これらに限定されない。いくつかの実施形態では、20℃~60℃の反応温度を維持することは好ましい。 In the methods described herein, the reaction of the cyclic oligosiloxane and the organic amine occurs at one or more temperatures ranging from about 0°C to about 200°C, preferably from 0°C to about 100°C. Preferred temperatures for the reaction include ranges having any one or more of the following endpoints: 0, 10, 20, 30, 40, 50, 60, 70, 80, 90, or 100°C. A suitable temperature range for this reaction may be determined by the physical properties of the reagents and, if necessary, the solvent. Examples of specific reactor temperature ranges include, but are not limited to, 0°C to 80°C or 0°C to 30°C. In some embodiments it is preferred to maintain a reaction temperature between 20°C and 60°C.

本明細書に記載されている方法の特定の実施形態では、反応圧は、約1~約115psia(6.89×10~7.93×10Pa)又は約15~約45psia(1.03×10~3.10×10Pa)の範囲であってよい。環式オリゴシロキサンが環境条件下液体であるいくつかの実施形態では、大気圧で反応を実行する。環式オリゴシロキサンが環境条件下気体であるいくつかの実施形態では、15psia(1.03×10Pa)より高い圧下反応を実行する。 In certain embodiments of the methods described herein, the reaction pressure is from about 1 to about 115 psia (6.89×10 3 -7.93×10 5 Pa) or from about 15 to about 45 psia (1. 03×10 5 to 3.10×10 5 Pa). In some embodiments in which the cyclic oligosiloxane is liquid under ambient conditions, the reaction is carried out at atmospheric pressure. In some embodiments where the cyclic oligosiloxane is a gas under ambient conditions, the pressure reduction reaction is carried out above 15 psia (1.03×10 5 Pa).

特定の実施形態では、1つ以上の試薬を、液体又は蒸気として反応混合物に導入してよい。試薬のうちの1つ以上を蒸気として添加する実施形態では、窒素又は不活性ガスなどの非反応性ガスを、反応混合物に蒸気を送達するキャリアガスとして使用してよい。試薬のうちの1つ以上を液体として添加する実施形態では、試薬をニートで添加してもよく、あるいは溶媒で希釈してもよい。有機アミノシラン製品を含む粗混合物、又は粗液体への所望の変換が達成するまで、反応混合物に試薬を供給する。特定の実施形態では、反応物を補充し、反応器から反応生成物及び粗液体を取り出すことによって連続的に反応を実行してよい。 In certain embodiments, one or more reagents may be introduced to the reaction mixture as liquids or vapors. In embodiments in which one or more of the reagents are added as a vapor, a non-reactive gas such as nitrogen or an inert gas may be used as the carrier gas to deliver the vapor to the reaction mixture. In embodiments in which one or more of the reagents are added as liquids, the reagents may be added neat or diluted with solvent. Reagents are fed to the reaction mixture until the desired conversion to crude mixture, or crude liquid, containing the organoaminosilane product is achieved. In certain embodiments, the reaction may be run continuously by replenishing the reactants and removing the reaction product and crude liquid from the reactor.

式A~Dの化合物、触媒、及び残っているかもしれない有機アミン、溶媒、又は望ましくない生成物を含む粗混合物は、分離方法を必要とし得る。適切な分離方法の例としては、蒸留、蒸発、膜分離、ろ過、遠心分離、結晶化、気相転移、抽出、逆カラムを用いた分留、及びこれらの組合せが挙げられるが、これらに限定されない。 The crude mixture containing compounds of Formulas AD, catalyst, and any organic amine, solvent, or undesired products that may remain may require separation methods. Examples of suitable separation methods include, but are not limited to, distillation, evaporation, membrane separation, filtration, centrifugation, crystallization, gas phase transfer, extraction, fractionation using reverse columns, and combinations thereof. not.

式1~3は好ましい代表的化学であり、式A~Dを有する化合物の準備するいかなる方法においても限定的であることを意図していない。 Formulas 1-3 are preferred representative chemistries and are not intended to be limiting in any manner for the preparation of compounds having Formulas AD.

本発明に記載の式A~Dを有するシリコン前駆体化合物及び本発明に記載の式A~Dを有するシリコン前駆体化合物を含む組成物は、好ましくは、ハロゲン化物イオンを実質的に含まない。本明細書で使用されるとき、例えば、塩化物(すなわち、HClなどの塩化物含有化学種又は少なくとも1つのSi-Cl結合を有するシリコン化合物)などのハロゲン化物イオン(又はハロゲン化物)並びにフッ素化物、臭化物、及びヨード化物と関連するとき用語「実質的に含まない」は、プラズマ発光-質量分析計(ICP-MS)、イオンクロマトグラフィー(IC)、又は多の分析方法により測定されるとき、5ppm(重量基準)未満、好ましくは3ppm未満、より好ましくは1ppm未満、最も好ましくは0ppmを意味する。塩化物は、式A~Dを有するシリコン前駆体化合物に対する分解触媒として作用することが知られている。最終製品中の有意なレベルの塩化物は、シリコン前駆体化合物を分解させ得る。シリコン前駆体化合物の緩やかな劣化は、半導体製造が薄膜の規格を満足させることを困難にさせる薄膜堆積方法に直接的に影響を与え得る。加えて、貯蔵寿命又は安定性は、シリコン前駆体化合物のより高い劣化速度により悪影響を受け、それにより、1~2年の貯蔵寿命を保証することが困難になる。したがって、シリコン前駆体化合物の加速された劣化は、これらの可燃性及び/又は自然発火性気体副産物の精製に関連する安全性及び性能の懸念を示す。式A~Dを有するシリコン前駆体化合物は、好ましくは、Li、Na、K、Mg2+、Ca2+、Al3+、Fe2+、Fe2+、Fe3+、Ni2+、Cr3+などの金属イオン、並びにこれらの化合物の合成において使用される触媒由来であり得る他の金属イオンを実質的に含まない。本明細書で使用されるとき、Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr、及び他の金属不純物に関連するときの用語「実質的に含まない(substantially free)」は、ICP-MSにより測定されるときに5ppm(重量基準)未満、好ましくは3ppm未満、より好ましくは1ppm未満、最も好ましくは0.1ppmを意味する。いくつかの実施形態では、式A~Dを有するシリコン前駆体化合物は、Li、Na、K、Mg2+、Ca2+、Al3+、Fe2+、Fe2+、Fe3+、Ni2+、Cr3+などの金属イオン、及びこれらの化合物の合成において使用される触媒由来であり得る他の金属イオンを実質的に含まない。本明細書で使用されるとき、Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr、及び合成において使用される触媒からのRu、Rh、Pd、又はPtなどの貴金属に関連するときの用語「含まない(free of)」は、ICP-MS又は金属測定のための他の分析方法により測定されるときに1ppm未満、好ましくは0.1ppm(重量基準)を意味する。 Silicon precursor compounds having formulas AD according to the present invention and compositions comprising silicon precursor compounds having formulas AD according to the present invention are preferably substantially free of halide ions. As used herein, for example, halide ions (or halides) such as chloride (i.e., chloride-containing species such as HCl or silicon compounds having at least one Si—Cl bond) as well as fluoride , bromide, and iodide when measured by plasma emission-mass spectrometry (ICP-MS), ion chromatography (IC), or any other analytical method; It means less than 5 ppm (by weight), preferably less than 3 ppm, more preferably less than 1 ppm, most preferably 0 ppm. Chlorides are known to act as decomposition catalysts for silicon precursor compounds having formulas AD. Significant levels of chloride in the final product can degrade the silicon precursor compound. Slow degradation of silicon precursor compounds can directly impact thin film deposition methods making it difficult for semiconductor manufacturing to meet thin film specifications. In addition, shelf life or stability is adversely affected by the higher degradation rate of silicon precursor compounds, making it difficult to guarantee a shelf life of 1-2 years. Accordingly, accelerated degradation of silicon precursor compounds presents safety and performance concerns associated with the purification of these combustible and/or pyrophoric gaseous by-products. Silicon precursor compounds having formulas AD are preferably metals such as Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ , Cr 3+ It is substantially free of ions, as well as other metal ions that may be derived from catalysts used in the synthesis of these compounds. As used herein, the term "substantially free" when referring to Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, and other metal impurities is , means less than 5 ppm (by weight), preferably less than 3 ppm, more preferably less than 1 ppm, most preferably 0.1 ppm as measured by ICP-MS. In some embodiments, the silicon precursor compounds having formulas AD are Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ , Cr It is substantially free of metal ions such as 3+ and other metal ions that may be derived from catalysts used in the synthesis of these compounds. As used herein, it relates to noble metals such as Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, and Ru, Rh, Pd, or Pt from catalysts used in the synthesis The term "free of" when used means less than 1 ppm, preferably 0.1 ppm (by weight) as determined by ICP-MS or other analytical method for metals determination.

別の実施形態では、基材上へのケイ素及び酸素を含む薄膜の堆積方法であって、前記方法は、
a)反応器内に基材を準備する工程と;
b)少なくとも1種のシリコン前駆体化合物を反応器に導入する工程であって、前記少なくとも1種のシリコン前駆体は、式A~D:

Figure 2023520226000012
の前駆体であって、前記式A~D中、Rは、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され;Rは、水素、C~C10直鎖アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され、R及びRは、結合して環式環構造を形成するか又は結合しないで環式環構造を形成しないかのいずれかであり;R3~11は、水素、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10アルケニル基、C~C10アルキニル基、C~C10アリール基、及び有機アミノ基、NR、R及びRは上記に定義されている、から成る群から各々独立して選択され;n=1、2、又は3、及びm=2又は3である、
前駆体から成る群から選択される、工程と;
c)前記反応器をパージガスでパージする工程と;
d)酸素含有原料を反応器に導入する工程と;
e)前記反応器を前記パージガスでパージする工程と;
を含み、
薄膜の所望の厚さが堆積されるまで工程bから工程eを反復し;前記方法を、約25℃~600℃の範囲の1つ以上の温度で行う、
方法を提供する。 In another embodiment, a method of depositing a thin film comprising silicon and oxygen on a substrate, the method comprising:
a) providing a substrate in a reactor;
b) introducing into the reactor at least one silicon precursor compound, said at least one silicon precursor having formulas AD:
Figure 2023520226000012
wherein R 1 is a linear C 1 -C 10 alkyl group, a branched C 3 -C 10 alkyl group, a C 3 -C 10 cyclic alkyl group, a C 3 -C 10 heterocyclic groups, C 3 -C 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups; R 2 is hydrogen, C 1 -C 10 straight chain alkyl group, branched chain C3 - C10 alkyl group, C3 - C10 cyclic alkyl group, C3 - C10 heterocyclic group, C3 - C10 alkenyl group, C3 - C10 alkynyl group , and C 4 -C 10 aryl groups, wherein R 1 and R 2 either combine to form a cyclic ring structure or do not combine to form a cyclic ring structure Yes; R 3-11 are hydrogen, straight chain C 1 -C 10 alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 2 -C 10 alkenyl groups, C 2 -C 10 alkynyl groups, C 4 -C 10 aryl groups, and organic amino groups, NR 1 R 2 , R 1 and R 2 are defined above; n= 1, 2, or 3, and m = 2 or 3,
a step selected from the group consisting of precursors;
c) purging the reactor with a purge gas;
d) introducing an oxygen-containing feedstock into the reactor;
e) purging the reactor with the purge gas;
including
repeating steps b through e until the desired thickness of the thin film is deposited; performing the method at one or more temperatures ranging from about 25°C to 600°C;
provide a way.

本明細書に開示されている方法は、次の特性の少なくとも1つを含む酸化ケイ素薄膜を形成する:少なくとも約2.1g/ccの密度;水に対するHFが1:100である希釈HF(0.5質量%dHF)酸溶液中で測定されたとき、約2.5Å/秒未満の湿式エッチング速度;6MV/cm以下において約1×10-8A/cm未満の漏電;及び二次イオン質量分析(SIMS)により測定されたとき、約5×1020原子/cc未満の水素不純物のうち少なくとも1種を含む、ケイ素及び酸素含有薄膜。 The methods disclosed herein form silicon oxide thin films that include at least one of the following properties: a density of at least about 2.1 g/cc; Wet etch rate of less than about 2.5 Å/sec when measured in a .5 wt . A silicon and oxygen containing thin film comprising at least one hydrogen impurity less than about 5×10 20 atoms/cc as determined by mass spectrometry (SIMS).

本明細書に記載されている方法及び組成物の特定の実施形態では、ケイ素含有誘電体の層を、例えば、反応チャンバーを用いて化学気相成長(CVD)により基材の少なくとも一部に堆積する。適切な基材としては、ガリウムヒ素(「GaAs」)、シリコン、並びに結晶シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、二酸化ケイ素(「SiO」)、シリコンガラス、窒化ケイ素、石英ガラス、ガラス、水晶、ホウケイ酸ガラス、及びこれらの組合せなどのケイ素含有組成物が挙げられるが、これらに限定されない。他の適切な物質としては、クロム、モリブデン、及び半導体、集積回路、フラットパネルディスプレイ、及びフレキシブルディスプレー用途で通常使用される他の金属が挙げられる。基材は、例えば、シリコン、SiO、有機ケイ酸塩ガラス(OSG)、フッ素化ケイ酸塩ガラス(FSG)、炭窒化ホウ素、炭化ケイ素、水素化シリコンカーバイド、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、有機-無機複合材料、フォトレジスト、有機高分子、多孔質有機及び無機材料及び複合材料、酸化アルミニウムなどの金属酸化物、並びに酸化ゲルマニウムなどの付加層を有してよい。なお更なる層は、ゲルマノケイ酸塩、アルミノケイ酸塩、銅及びアルミニウム、並びに、これらに限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、又はWNなどの拡散隔膜材料もあり得る。 In certain embodiments of the methods and compositions described herein, a layer of silicon-containing dielectric is deposited on at least a portion of the substrate by, for example, chemical vapor deposition (CVD) using a reaction chamber. do. Suitable substrates include gallium arsenide (“GaAs”), silicon, as well as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO 2 ”), silicon glass, silicon nitride, fused silica, glass, Silicon-containing compositions such as, but not limited to, quartz, borosilicate glass, and combinations thereof. Other suitable materials include chromium, molybdenum, and other metals commonly used in semiconductor, integrated circuit, flat panel display, and flexible display applications. Substrates are, for example, silicon, SiO 2 , organosilicate glass (OSG), fluorosilicate glass (FSG), boron carbonitride, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, Additions such as silicon carbonitride, hydrogenated silicon carbonitride, boron nitride, organic-inorganic composites, photoresists, organic polymers, porous organic and inorganic materials and composites, metal oxides such as aluminum oxide, and germanium oxide. It may have layers. Still further layers include germanosilicates, aluminosilicates, copper and aluminum, and materials such as, but not limited to, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN. Diffusion barrier materials are also possible.

本明細書に開示されている堆積方法は、1つ以上のパージガスを含んでよい。未消費反応物及び/又は反応副産物を追い出すために使用されるパージガスは、前駆体と反応しない不活性ガスである。好ましいパージガスとしては、アルゴン(Ar)、窒素(N)、ヘリウム(He)、ネオン、水素(H)、及びこれらの組合せが挙げられるが、これらに限定されない。特定の実施形態では、Arなどのパージガスを、約0.1~1000秒間、約10~約2000sccm(約0.010~約2.000L/分)の範囲の流速で反応器に供給し、それによって、未反応物質及び反応器に残存し得るいずれもの副産物をパージする。 The deposition methods disclosed herein may include one or more purge gases. The purge gas used to drive off unconsumed reactants and/or reaction byproducts is an inert gas that does not react with the precursors. Preferred purge gases include, but are not limited to, argon (Ar), nitrogen ( N2 ), helium (He), neon, hydrogen ( H2 ), and combinations thereof. In certain embodiments, a purge gas such as Ar is supplied to the reactor at a flow rate ranging from about 10 to about 2000 sccm (about 0.010 to about 2.000 L/min) for about 0.1 to 1000 seconds, and to purge unreacted materials and any by-products that may remain in the reactor.

アルゴンなどのパージガスは、プロセスチャンバーから未吸収過剰複合物を追い出す。充分なパージ後、酸素源を反応チャンバーに導入して吸収面と反応し、次いで、更にガスパージしてチャンバーから反応副産物を除去してよい。プロセスサイクルを反復して所望の薄膜厚さを得ることができる。場合によっては、ポンピングはパージを不活性ガスと交換することもでき、又は未反応シリコン前駆体を除去するために両方を使用することもできる。 A purge gas, such as argon, expels unabsorbed excess compounds from the process chamber. After sufficient purging, an oxygen source may be introduced into the reaction chamber to react with the absorbing surface, followed by a further gas purge to remove reaction byproducts from the chamber. The process cycle can be repeated to obtain the desired film thickness. In some cases, pumping can replace the purge with an inert gas, or both can be used to remove unreacted silicon precursor.

本明細書を通して、用語「ALD又はALD様」は、これらに限定されないが、次の方法を含む方法を表す:a)シリコン前駆体及び反応ガスを含む各反応物を単一ウエハALD反応器、半バッチ式ALD反応器、又はバッチ式炉ALD反応器などの反応器に連続的に導入し;b)シリコン前駆体及び反応ガスを含む各反応物を反応器の異なる区画に基材を移動又は回転することによって基材に暴露し、各区画は不活性ガスカーテンにより分離しており、すなわち、空間ALD反応器又はロールツーロールALD反応器。 Throughout this specification, the term "ALD or ALD-like" refers to methods including, but not limited to: a) each reactant, including the silicon precursor and reactant gases, is processed in a single wafer ALD reactor; continuously introducing into a reactor such as a semi-batch ALD reactor or a batch furnace ALD reactor; The substrate is exposed by rotating and each compartment is separated by an inert gas curtain, i.e. space ALD reactor or roll-to-roll ALD reactor.

本発明の方法を、オゾン、又はプラズマが次のうちの1つ以上などの不活性ガスを更に含むことができるプラズマを含む酸素含有原料を使用するALD法により行う:不活性ガスを用いる又は用いない酸素プラズマ、不活性ガスを用いる又は用いない水蒸気プラズマ、不活性ガスを用いる又は用いない窒素酸化物(例えば、NO、NO、NO)プラズマ、不活性ガスを用いる又は用いない酸化炭素(例えば、CO、CO)プラズマ、及びこれらの組合せ。 The method of the present invention is carried out by an ALD method using an oxygen-containing source containing ozone, or a plasma in which the plasma can further contain an inert gas such as one or more of: using or using an inert gas; oxygen plasma without or without inert gas, water vapor plasma with or without inert gas, nitrogen oxide (e.g., N2O , NO, NO2 ) plasma with or without inert gas, carbon oxide plasma with or without inert gas (eg, CO2 , CO) plasma, and combinations thereof.

酸素含有原料を、その場又は、あるいは、遠隔で生成することができる。1つの特定の実施形態では、酸素含有原料は酸素を含み、流動し、又は無制限に少なくとも1種のシリコン前駆体及び必要に応じて不活性ガスなどの他の試薬に加えて、工程b~dを通して方法の間に導入する。 The oxygen-containing feedstock can be generated in situ or, alternatively, remotely. In one particular embodiment, the oxygen-containing source comprises oxygen, flows, or indefinitely in addition to at least one silicon precursor and optionally other reagents such as inert gases, steps b-d. introduced during the method through

特定の実施形態では、本明細書に記載されている組成物-及び開示されている方法で使用されるもの-は、溶媒を更に含む。好ましい溶媒としては、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、第三級アミノエーテル、及びこれらの組合せが挙げられるが、これらに限定されない。特定の実施形態では、シリコン前駆体の沸点及び溶媒の沸点の差は、40℃以下である。いくつかの実施形態では、組成物を、ケイ素含有薄膜のための反応器チャンバーに、直接液体注入により送達することができる。 In certain embodiments, the compositions described herein—and those used in the disclosed methods—further comprise a solvent. Preferred solvents include, but are not limited to ethers, tertiary amines, alkyl hydrocarbons, aromatic hydrocarbons, tertiary amino ethers, and combinations thereof. In certain embodiments, the difference between the boiling point of the silicon precursor and the boiling point of the solvent is 40° C. or less. In some embodiments, the composition can be delivered to the reactor chamber for silicon-containing thin films by direct liquid injection.

式A~Dを有する少なくとも1種のシリコン前駆体を、溶媒を含む組成物において使用するこれらの実施形態のため、選択された溶媒又はその混合物は、シリコン前駆体と反応しない。組成物中の溶媒の質量%の量は、0.5質量%~99.5質量%又は10質量%~75質量%の範囲である。この又は他の実施形態では、溶媒は、式A~Dのシリコン前駆体の沸点と同様な沸点(b.p.)を有し、溶媒の沸点及び式A~Dのシリコン前駆体の沸点の差は、40℃以下、30℃以下、若しくは200℃以下、又は100℃である。あるいは、沸点の差は、次の終点:0、10、20、30、又は40℃のうちのいずれか1つ以上の範囲である。b.p.差の適切な範囲の例としては、0~40℃、20~30℃、又は10~30℃が挙げられるが、これらに限定されない。組成物中の適切な溶媒の例としては、エーテル(1,4-ジオキサン、ジブチルエーテルなど)、第三級アミン(ピリジン、1-メチルピペリジン、1-エチルピペリジン、N,N’-ジメチルピペリジン、N,N,N’,N’-テトラメチルエチレンジアミンなど9、ニトリル(ベンゾニトリルなど)、アルキル炭化水素(オクタン、ノナン、ドデカン、エチルシクロヘキサンなど)、芳香族炭化水素(トルエン、メシチレンなど)、第三級ミノエーテル(ビス(2-ジメチルアミノエチル)エーテル)、又はこれらの組合せが挙げられるが、これらに限定されない。 For those embodiments in which at least one silicon precursor having Formulas AD is used in a solvent-containing composition, the selected solvent or mixture thereof does not react with the silicon precursor. The amount of solvent in wt % in the composition ranges from 0.5 wt % to 99.5 wt % or from 10 wt % to 75 wt %. In this or other embodiments, the solvent has a boiling point (b.p.) similar to the boiling point of the silicon precursors of Formulas AD, and the boiling point of the solvent and the boiling point of the silicon precursors of Formulas AD are The difference is 40°C or less, 30°C or less, or 200°C or less, or 100°C. Alternatively, the boiling point difference ranges from any one or more of the following endpoints: 0, 10, 20, 30, or 40°C. b. p. Examples of suitable ranges for the difference include, but are not limited to, 0-40°C, 20-30°C, or 10-30°C. Examples of suitable solvents in the composition include ethers (1,4-dioxane, dibutyl ether, etc.), tertiary amines (pyridine, 1-methylpiperidine, 1-ethylpiperidine, N,N'-dimethylpiperidine, N,N,N',N'-tetramethylethylenediamine, etc. 9, nitriles (benzonitrile, etc.), alkyl hydrocarbons (octane, nonane, dodecane, ethylcyclohexane, etc.), aromatic hydrocarbons (toluene, mesitylene, etc.), Tertiary minoethers (bis(2-dimethylaminoethyl)ether), or combinations thereof, but are not limited thereto.

特定の実施形態では、本明細書に記載されている方法を用いて堆積された酸化ケイ素又は炭素ドープ酸化ケイ素薄膜を、オゾン、水(HO)(例えば、脱イオン水、純水、及び/又は蒸留水)、過酸化水素(H)、酸素(O)、酸素プラズマ、NO、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)及びこれらの組合せを含む酸素含有原料の存在下形成する。酸素含有原料を、例えば、現場又は遠隔プラズマ発生器のいずれかを通過させて、酸素プラズマ、酸素及びアルゴンを含有するプラズマ、酸素及びヘリウムを含むプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、又は二酸化炭素プラズマなどの酸素を含む酸素含有プラズマ源を提供してよい。特定の実施形態では、酸素含有プラズマ源は、約1~約2000標準立法センチメートル毎分(sccm)(約0.001~約2.000L/分)又は約1~約1000sccm(約0.001~約1.000L/分)の範囲の流速で反応器に導入される酸素源ガスを含む。酸素含有プラズマ源を、約0.1~約100秒の範囲の時間に導入することができる。特定の実施形態では、酸素含有プラズマ源は、10℃以上の温度を有する水を含む。PEALD又はプラズマ促進サイクリックCVD法により薄膜を堆積する実施形態では、前駆体パルスは、ALD反応器の容積に応じて0.01秒超(例えば、約0.01~約0.1秒、約0.1~約0.5秒、約0.5~約10秒、約0.5~約20秒、約1~約100秒)のパルス持続時間を有することができ、酸素含有プラズマ源は、0.01秒未満(例えば、約0.001~約0.01秒)であるパルス持続時間を有することができる。 In certain embodiments, silicon oxide or carbon-doped silicon oxide thin films deposited using the methods described herein are treated with ozone, water (H 2 O) (e.g., deionized water, pure water, and / or distilled water), hydrogen peroxide ( H2O2 ), oxygen ( O2 ), oxygen plasma , NO, N2O , NO2 , carbon monoxide (CO), carbon dioxide ( CO2 ) and these Formed in the presence of an oxygen-containing source comprising a combination. The oxygen-containing feedstock is passed through, for example, either an in-situ or remote plasma generator to generate an oxygen plasma, a plasma containing oxygen and argon, a plasma containing oxygen and helium, an ozone plasma, a water plasma, a nitrous oxide plasma, Alternatively, an oxygen-containing plasma source containing oxygen, such as a carbon dioxide plasma, may be provided. In certain embodiments, the oxygen-containing plasma source is about 1 to about 2000 standard cubic centimeters per minute (sccm) (about 0.001 to about 2.000 L/min) or about 1 to about 1000 sccm (about 0.001 to about 1.000 L/min), including the oxygen source gas introduced into the reactor. An oxygen-containing plasma source can be introduced for a time ranging from about 0.1 to about 100 seconds. In certain embodiments, the oxygen-containing plasma source comprises water having a temperature of 10° C. or higher. In embodiments that deposit thin films by PEALD or plasma-enhanced cyclic CVD methods, the precursor pulse is greater than 0.01 seconds (eg, about 0.01 to about 0.1 seconds, about 0.1 to about 0.5 seconds, about 0.5 to about 10 seconds, about 0.5 to about 20 seconds, about 1 to about 100 seconds), wherein the oxygen-containing plasma source is , has a pulse duration that is less than 0.01 seconds (eg, about 0.001 to about 0.01 seconds).

上記1つ以上の実施形態では、酸素含有プラズマ源は、不活性ガスを用いる又は用いない酸素プラズマ、不活性ガスを用いる又は用いない水蒸気プラズマ、不活性ガスを用いる又は用いない窒素酸化物(例えば、NO、NO、NO)プラズマ、不活性ガスを用いる又は用いない酸化炭素(例えば、CO、CO)プラズマ、及びこれらの組合せから成る群から選択される。特定の実施形態では、酸素含有プラズマ源は、不活性ガスを更に含む。これらの実施形態では、不活性ガスは、アルゴン、ヘリウム、窒素、水素、又はこれらの組合せから成る群から選択される。代替の実施形態では、酸素含有プラズマ源は、不活性ガスを含まない。 In one or more of the above embodiments, the oxygen-containing plasma source includes oxygen plasma with or without inert gas, water vapor plasma with or without inert gas, nitrogen oxides with or without inert gas (e.g. , N 2 O, NO, NO 2 ) plasma, carbon oxide (eg, CO 2 , CO) plasma with or without inert gas, and combinations thereof. In certain embodiments, the oxygen-containing plasma source further comprises an inert gas. In these embodiments, the inert gas is selected from the group consisting of argon, helium, nitrogen, hydrogen, or combinations thereof. In an alternative embodiment, the oxygen-containing plasma source does not contain inert gas.

前駆体、酸素源、及び/又は他の前駆体、原料ガス、及び/又は試薬を供給するそれぞれの工程は、これらを供給して得られた誘電体薄膜の化学量論的組成を変更するために時間を変更することによって行ってよい。 Each step of supplying precursors, oxygen sources, and/or other precursors, source gases, and/or reagents changes the stoichiometry of the resulting dielectric thin film. by changing the time to

エネルギーを式A~Dのシリコン前駆体、酸素含有原料、又はこれらの組合せのうちの少なくとも1つに印加して反応を誘発し、基材上に誘電体薄膜又は被膜を形成する。かかるエネルギーを、これらに限定されないが、熱プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、Eビーム、光子、遠隔プラズマ法、及びこれらの組合せによって供給することができる。特定の実施形態では、二次RF周波数源を使用して、基材表面におけるプラズマ特性を改質することができる。堆積がプラズマを含む実施形態では、プラズマ発生プロセスは、プラズマを反応器内で直接発生する直接プラズマ発生プロセスを含んでもよく、あるいはプラズマを反応器の外側で発生し、反応器に供給する遠隔プラズマ発生プロセスを含んでもよい。 Energy is applied to at least one of the silicon precursors of Formulas AD, the oxygen-containing source, or a combination thereof to induce a reaction to form a dielectric thin film or coating on the substrate. Such energy can be supplied by, but not limited to, thermal plasmas, pulsed plasmas, helicon plasmas, high density plasmas, inductively coupled plasmas, X-rays, E-beams, photons, remote plasma methods, and combinations thereof. In certain embodiments, a secondary RF frequency source can be used to modify the plasma properties at the substrate surface. In embodiments where the deposition includes plasma, the plasma generation process may include a direct plasma generation process in which the plasma is generated directly within the reactor, or a remote plasma generation process in which the plasma is generated outside the reactor and supplied to the reactor. It may also include developmental processes.

少なくとも1種のシリコン前駆体を、プラズマ促進サイクリックCVD若しくはPEALD反応器などの反応チャンバー又は様々な方法でバッチ式炉型反応器に送達してよい。1つの実施形態では、液体送達システムを利用してよい。代替の実施形態では、例えば、ミネソタ州ショアビューのMSP Corporationにより製造されたturbo vaporizerなどの液体送達及びフラッシュ蒸発の複合型プロセスユニットを使用して、低揮発性物質を体積的に送達することを可能とし、これは、前駆体の熱分解なしで再現可能な輸送及び堆積をもたらす。液体送達処方物では、本明細書に記載されている前駆体を、ニート液体で送達してもよく、あるいは、溶媒処方物又はこれを含む組成物で使用してもよい。したがって、特定の実施形態では、前駆体処方物は、基材上の薄膜を形成する所与の最終使用応用において望ましく有利であり得るとき、適切な特性の溶媒成分を含んでよい。 At least one silicon precursor may be delivered to a reaction chamber, such as a plasma-enhanced cyclic CVD or PEALD reactor, or a batch furnace reactor in various ways. In one embodiment, a liquid delivery system may be utilized. In alternative embodiments, low volatility materials are volumetrically delivered using, for example, a combined liquid delivery and flash vaporization process unit such as the turbo vaporizer manufactured by MSP Corporation of Shoreview, Minnesota. This allows for reproducible transport and deposition without pyrolysis of the precursor. For liquid delivery formulations, the precursors described herein may be delivered neat liquid or may be used in solvent formulations or compositions comprising same. Thus, in certain embodiments, precursor formulations may include solvent components of suitable properties as may be desirable and advantageous in a given end-use application to form a thin film on a substrate.

前述のように、少なくとも1種のシリコン前駆体の純度レベルは、信頼ある半導体製造に許容可能であるのに充分高い。特定の実施形態では、本明細書に記載されている少なくとも1種のシリコン前駆体は、次の不純物のうちの1つ以上の2質量%未満、又は1質量%未満、又は0.5質量%未満を含む:遊離アミン、遊離ハロゲン化物又はハロゲンイオン、及びより高分子量の化学種。本明細書に記載されているシリコン前駆体のより高い純度レベルを、次の方法の1つ以上により得ることができる:精製、吸着、及び/又は蒸留。 As previously mentioned, the purity level of the at least one silicon precursor is high enough to be acceptable for reliable semiconductor manufacturing. In certain embodiments, at least one silicon precursor described herein contains less than 2 wt%, or less than 1 wt%, or less than 0.5 wt% of one or more of the following impurities including: free amines, free halides or halogen ions, and higher molecular weight species. Higher purity levels of the silicon precursors described herein can be obtained by one or more of the following methods: purification, adsorption, and/or distillation.

本明細書に記載されている方法の1つの実施形態では、PEALD様又はPEALDなどのプラズマ促進サイクリック化学気相成長を使用してよく、少なくとも1種のシリコン前駆体及び酸素プラズマ源を用いて堆積を行う。PEALD様方法は、プラズマ促進サイクリックCVD法と定義されるが、高コンフォーマルなケイ素及び酸素含有薄膜をさらに提供する。 One embodiment of the methods described herein may use plasma-enhanced cyclic chemical vapor deposition, such as PEALD-like or PEALD, using at least one silicon precursor and an oxygen plasma source. Deposition. PEALD-like methods, defined as plasma-enhanced cyclic CVD methods, also provide highly conformal silicon- and oxygen-containing thin films.

本発明の1つの実施形態では、基材の少なくとも1つの表面にケイ素及び酸素含有薄膜を堆積するために本明細書に記載されており、前記方法は:
a.反応器内に基材を準備する工程と;
b.上記定義の式A~Dを有する少なくとも1種のシリコン前駆体を反応器に導入する工程と;
c.前記反応器をパージガスでパージする工程と;
d.酸素含有原料を含むプラズマを反応器に導入する工程と;
e.前記反応器をパージガスでパージする工程と、
を含む。この方法では、薄膜の所望の厚さが基材上に堆積されるまで、工程b~eを反復する。
In one embodiment of the invention described herein for depositing a silicon and oxygen containing thin film on at least one surface of a substrate, the method comprises:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silicon precursor having formulas AD as defined above;
c. purging the reactor with a purge gas;
d. introducing a plasma containing an oxygen-containing feedstock into the reactor;
e. purging the reactor with a purge gas;
including. The method repeats steps b through e until the desired thickness of the thin film is deposited on the substrate.

この又は他の実施形態では、本明細書に記載されている方法の工程を、様々な順序で行ってもよく、順次行ってもよく、同時に行ってもよく(例えば、別の工程の少なくとも部分の間に)、及びこれらの組合せで行ってもよい。前駆体、酸素源を供給するそれぞれの工程を、例えば、得られた誘電体薄膜の化学量論的組成を変更するようにこれらを供給するための時間の長さを変更することによって行ってよい。更に、前駆体又は酸化体工程後のパージ時間を、スループットを向上するように<0.1秒まで最小化することができる。 In this or other embodiments, the steps of the methods described herein may be performed in various orders, sequentially, or simultaneously (e.g., at least part of another step). between), and combinations thereof. Each step of supplying a precursor, an oxygen source may be performed, for example, by varying the length of time for supplying these so as to alter the stoichiometry of the resulting dielectric thin film. . Additionally, the purge time after the precursor or oxidant steps can be minimized to <0.1 seconds to improve throughput.

1つの特定の実施形態では、本明細書に記載されている方法は、基材上に高品質ケイ素及び酸素含有薄膜を堆積する。方法は、次の工程:
a.反応器内に基材を準備する工程と;
b.上記の式A~Dを有する少なくとも1種のシリコン前駆体を反応器に導入する工程と;
c.パージガスで反応器をパージして未吸収前駆体の少なくとも一部を除去する工程と;
d.酸素含有プラズマ源を反応器に導入する工程と;
e.パージガスで反応器をパージして未反応酸素源の少なくとも一部を除去する工程と、
を含み、ケイ素含有薄膜の所望の厚さを堆積するまで、工程b~eを反復する。
In one particular embodiment, the methods described herein deposit high quality silicon and oxygen containing thin films on substrates. The method involves the following steps:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silicon precursor having formulas AD above;
c. purging the reactor with a purge gas to remove at least a portion of the unabsorbed precursor;
d. introducing an oxygen-containing plasma source into the reactor;
e. purging the reactor with a purge gas to remove at least a portion of the unreacted oxygen source;
and repeating steps b through e until the desired thickness of the silicon-containing thin film is deposited.

別の特定の実施形態では、本明細書に記載されている方法は、600℃超の温度において基材上に高品質ケイ素及び酸素含有薄膜を堆積する。方法は、次の工程:
a.反応器内に基材を準備する工程と;
b.上記の式A~Dを有する少なくとも1種のシリコン前駆体を反応器に導入する工程と;
c.パージガスで反応器をパージして未吸収前駆体の少なくとも一部を除去する工程と;
d.酸素含有プラズマ源を反応器に導入する工程と;
e.パージガスで反応器をパージして未反応酸素源の少なくとも一部を除去する工程と、
を含み、ケイ素含有薄膜の所望の厚さを堆積するまで、工程b~eを反復する。
In another particular embodiment, the methods described herein deposit high quality silicon and oxygen containing thin films on substrates at temperatures greater than 600°C. The method involves the following steps:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silicon precursor having formulas AD above;
c. purging the reactor with a purge gas to remove at least a portion of the unabsorbed precursor;
d. introducing an oxygen-containing plasma source into the reactor;
e. purging the reactor with a purge gas to remove at least a portion of the unreacted oxygen source;
and repeating steps b through e until the desired thickness of the silicon-containing thin film is deposited.

Si-H基は600℃より高い温度において分解し得、望ましくない化学気相成長を引き起こす可能性があり得るので、これらはSi-H基を全く含まないか、又はSi-H基の数が限定的であるかのいずれかなので、特に、R~Rが水素でない式A~Dを有する有機アミノ官能基を有する環式オリゴシロキサン前駆体はこの方法に好ましいと考えられる。しかしながら、短い前駆体パルス又は低反応器圧を用いるなどの特定の条件下、R3~9のいずれかが水素である式A~Dを有する有機アミノ官能基を有する環式オリゴシロキサン前駆体を用いて、著しく望ましくない化学気相成長なしで600℃を超える温度において、この方法を実行することもできることは可能である。 Since Si—H groups can decompose at temperatures higher than 600° C. and can cause undesirable chemical vapor deposition, they either contain no Si—H groups, or the number of Si—H groups is In particular, cyclic oligosiloxane precursors with organoamino functional groups having formulas A-D, where R 3 -R 9 are not hydrogen, are believed to be preferred for this method. However, under certain conditions, such as using short precursor pulses or low reactor pressure, cyclic oligosiloxane precursors with organoamino functional groups having formulas A-D in which any of R 3-9 are hydrogen are prepared. It is possible that the method can also be performed at temperatures above 600° C. without chemical vapor deposition, which is highly undesirable.

本明細書に開示されている別の方法は、上記定義の式A~Dにより表される化学構造を有するシリコン前駆体化合物+酸素源を用いて炭素ドープ酸化ケイ素薄膜を形成する。 Another method disclosed herein uses a silicon precursor compound having a chemical structure represented by Formulas AD defined above plus an oxygen source to form a carbon-doped silicon oxide thin film.

別の好ましい方法は、次の通りに記載される:
a.反応器内に基材を準備すること;
b.上記定義の式A~Dにより表される化学構造を有する少なくとも1種のシリコン前駆体化合物から発生される蒸気を、酸素源の並行流と接触させて又は接触させないで加熱された基材上に前駆体を化学的に吸収すること;
c.いずれかの未吸収前駆体を追い出すこと;
d.加熱された基材に酸素源を導入して吸収された前駆体と反応させること;
e.いずれかの未反応酸素源を追い出すこと、
所望の厚さを達成するまで、工程b~eを反復する。
Another preferred method is described as follows:
a. providing a substrate in a reactor;
b. Vapor generated from at least one silicon precursor compound having a chemical structure represented by Formulas AD defined above onto a heated substrate with or without contact with a co-flow of an oxygen source. chemically absorbing the precursor;
c. expelling any unabsorbed precursor;
d. introducing an oxygen source to the heated substrate to react with the absorbed precursor;
e. driving off any unreacted oxygen sources;
Repeat steps b through e until desired thickness is achieved.

別の特定の実施形態では、本明細書に記載されている方法は、基材上に高品質オキシ窒化ケイ素薄膜を堆積する。方法は、次の工程:
a.反応器内に基材を準備する工程と;
b.上記の式A~Dを有する少なくとも1種のシリコン前駆体を反応器に導入する工程と;
c.パージガスで反応器をパージして未吸収前駆体の少なくとも一部を除去する工程と;
d.窒素含有プラズマ源を反応器に導入する工程と;
e.パージガスで反応器をパージして未反応窒素源の少なくとも一部を除去する工程と
を含み、オキシ窒化ケイ素含有薄膜の所望の厚さを堆積するまで、工程b~eを反復する。
In another particular embodiment, the methods described herein deposit high quality silicon oxynitride thin films on substrates. The method involves the following steps:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silicon precursor having formulas AD above;
c. purging the reactor with a purge gas to remove at least a portion of the unabsorbed precursor;
d. introducing a nitrogen-containing plasma source into the reactor;
e. purging the reactor with a purge gas to remove at least a portion of the unreacted nitrogen source, and repeating steps b through e until a desired thickness of silicon oxynitride-containing thin film is deposited.

別の好ましい方法は、次の通りに記載される:
a.反応器内に基材を準備すること;
b.上記定義の式A~Dにより表される化学構造を有する少なくとも1種のシリコン前駆体化合物から発生される蒸気を、窒素源の並行流と接触させて又は接触させないで加熱された基材上に前駆体を化学的に吸収すること;
c.いずれかの未吸収前駆体を追い出すこと;
d.加熱された基材に窒素源を導入して吸収された前駆体と反応させること;
e.いずれかの未反応窒素源を追い出すこと、
所望の厚さを達成するまで、工程b~eを反復する。
Another preferred method is described as follows:
a. providing a substrate in a reactor;
b. Vapor generated from at least one silicon precursor compound having a chemical structure represented by Formulas A-D as defined above onto a heated substrate with or without contact with a co-flow of a nitrogen source. chemically absorbing the precursor;
c. expelling any unabsorbed precursor;
d. introducing a nitrogen source to the heated substrate to react with the absorbed precursor;
e. expelling any unreacted nitrogen sources;
Repeat steps b through e until desired thickness is achieved.

単一ウエハ、半バッチ式、又はバッチ式炉又はロールツーロール反応器などの様々な市販ALD反応器を、固体酸系ケイ素、オキシ窒化ケイ素、炭素ドープオキシ窒化ケイ素、又は炭素ドープ酸化ケイ素を堆積するために使用することができる。 Various commercial ALD reactors, such as single-wafer, semi-batch, or batch furnaces or roll-to-roll reactors, are used to deposit solid acid-based silicon, silicon oxynitride, carbon-doped silicon oxynitride, or carbon-doped silicon oxide. can be used for

本明細書に記載されている方法のためのプロセス温度は、終点として次の温度:0℃、25℃、50℃、75℃、100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃、300℃、325℃、350℃、375℃、400℃、425℃、450℃、475℃、500℃、525℃、550℃、575℃、600℃、625℃、650℃、675℃、700℃、725℃、750℃、775℃、及び800℃のうちの1つ以上を使用する。好ましい温度範囲としては、次のもの:約0℃~約300℃;又は約25℃~約300℃;又は約50℃~約290℃;又は約25℃~約250℃、又は約25℃~約200℃が挙げられるが、これらに限定されない。 The process temperatures for the methods described herein are the following temperatures as endpoints: 0°C, 25°C, 50°C, 75°C, 100°C, 125°C, 150°C, 175°C, 200°C, 225°C. ℃, 250℃, 275℃, 300℃, 325℃, 350℃, 375℃, 400℃, 425℃, 450℃, 475℃, 500℃, 525℃, 550℃, 575℃, 600℃, 625℃, One or more of 650°C, 675°C, 700°C, 725°C, 750°C, 775°C, and 800°C is used. Preferred temperature ranges include: from about 0° C. to about 300° C.; or from about 25° C. to about 300° C.; or from about 50° C. to about 290° C.; Examples include, but are not limited to, about 200°C.

別の態様では、流動性化学気相成長(FCVD)によりケイ酸及び酸素含有薄膜の堆積方法であって、前記方法は:
表面特徴部を含む基材を反応器内に配置することであって、前記基材を、約-20℃~約400℃の範囲の温度において保持し、反応器の圧を100トル(1.33×10Pa)以下に保持する、ことと;
本明細書で定義されている式A~Dから成る群から選択される少なくとも1種の化合物を導入することと;
反応器内に酸素源を提供して前記少なくとも1種の化合物と反応させて薄膜を形成し、前記表面特徴部の少なくとも一部を被覆することと;
約100℃~1000℃の1つ以上の温度で薄膜をアニールして前記表面特徴部の少なくとも一部を被覆することと;
約20℃~約1000℃の範囲の1つ以上の温度において基材を酸素源で処理して、前記表面特徴部の少なくとも一部にケイ素含有薄膜を形成することと、
を含む、方法を提供する。
In another aspect, a method of depositing a silicic acid and oxygen containing thin film by fluidized chemical vapor deposition (FCVD), said method comprising:
A substrate containing surface features is placed in a reactor, the substrate is maintained at a temperature in the range of about −20° C. to about 400° C., and the pressure of the reactor is 100 Torr (1. 33×10 5 Pa) or less;
introducing at least one compound selected from the group consisting of Formulas AD as defined herein;
providing an oxygen source within the reactor to react with the at least one compound to form a thin film covering at least a portion of the surface features;
annealing the thin film at one or more temperatures between about 100° C. and 1000° C. to cover at least a portion of the surface features;
treating the substrate with an oxygen source at one or more temperatures ranging from about 20° C. to about 1000° C. to form a silicon-containing thin film on at least a portion of the surface features;
A method is provided, comprising:

別の態様では、流動性化学気相成長(FCVD)によりケイ酸及び酸素含有薄膜の堆積方法であって、前記方法は:
表面特徴部を含む基材を反応器内に配置することであって、前記基材を、約-20℃~約400℃の範囲の温度において保持し、反応器の圧を100トル(1.33×10Pa)以下に保持する、ことと;
本明細書で定義されている式A~Dから成る群から選択される少なくとも1種の化合物を導入することと;
反応器内に窒素源及び/又は酸素源を提供して前記少なくとも1種の化合物と反応させて薄膜を形成し、前記表面特徴部の少なくとも一部を被覆することと;
約100℃~1000℃の1つ以上の温度で薄膜をアニールして前記表面特徴部の少なくとも一部を被覆することと;
約20℃~約1000℃の範囲の1つ以上の温度において基材を酸素源で処理して、前記表面特徴部の少なくとも一部にケイ素含有薄膜を形成することと、
を含む、方法を提供する。
In another aspect, a method of depositing a silicic acid and oxygen containing thin film by fluidized chemical vapor deposition (FCVD), said method comprising:
A substrate containing surface features is placed in a reactor, the substrate is maintained at a temperature in the range of about −20° C. to about 400° C., and the pressure of the reactor is 100 Torr (1. 33×10 5 Pa) or less;
introducing at least one compound selected from the group consisting of Formulas AD as defined herein;
providing a nitrogen source and/or an oxygen source within the reactor to react with the at least one compound to form a thin film covering at least a portion of the surface feature;
annealing the thin film at one or more temperatures between about 100° C. and 1000° C. to cover at least a portion of the surface features;
treating the substrate with an oxygen source at one or more temperatures ranging from about 20° C. to about 1000° C. to form a silicon-containing thin film on at least a portion of the surface features;
A method is provided, comprising:

特定の実施形態では、酸素源は、水蒸気、水プラズマ、オゾン、酸素、酸素プラズマ、酸素/ヘリウムプラズマ、酸素/アルゴンプラズマ、酸化窒素プラズマ、二酸化炭素プラズマ、過酸化水素、有機過酸化物、及びこれらの混合物から成る群から選択される。他の実施形態では、窒素源は、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、窒素/アルゴンプラズマ、窒素/ヘリウムプラズマ、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ、tert-ブチルアミン、ジメチルアミン、ジエチルアミン、イソプロピルアミン、ジエチルアミンプラズマ、ジメチルアミンプラズマ、トリメチルプラズマ、トリメチルアミンプラズマ、エチレンジアミンプラズマなどの有機アミン、及びエタノールアミンプラズマなどのアルコキシアミン、並びにこれらの混合物から成る群から選択される。更に他の実施形態では、窒素含有原料は、アンモニアプラズマ、窒素及びアルゴンを含むプラズマ、窒素及びヘリウムを含むプラズマ又は水素及び窒素源ガスを含むプラズマを含む。この又は他の実施形態では、表面特徴部がケイ素含有薄膜で充填されるまで方法の工程を反復する。流動性化学気相成長法において水蒸気を酸素源として使用する実施形態では、基材温度は、約-20℃~約40℃又は-10℃~約25℃の範囲である。 In certain embodiments, the oxygen source includes water vapor, water plasma, ozone, oxygen, oxygen plasma, oxygen/helium plasma, oxygen/argon plasma, nitric oxide plasma, carbon dioxide plasma, hydrogen peroxide, organic peroxides, and It is selected from the group consisting of mixtures thereof. In other embodiments, the nitrogen source is, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, nitrogen/argon plasma, nitrogen/helium plasma, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, selected from the group consisting of organic amines such as tert-butylamine, dimethylamine, diethylamine, isopropylamine, diethylamine plasma, dimethylamine plasma, trimethylplasma, trimethylamine plasma, ethylenediamine plasma, and alkoxyamines such as ethanolamine plasma, and mixtures thereof; be done. In still other embodiments, the nitrogen-containing source comprises an ammonia plasma, a plasma containing nitrogen and argon, a plasma containing nitrogen and helium, or a plasma containing hydrogen and a nitrogen source gas. In this or other embodiments, the method steps are repeated until the surface features are filled with the silicon-containing thin film. In embodiments in which water vapor is used as the oxygen source in fluid chemical vapor deposition, the substrate temperature ranges from about -20°C to about 40°C or -10°C to about 25°C.

本明細書に記載されている方法のなお更なる実施形態では、ALD、ALD様、PEALD、PEALD様又はFCVDから堆積される薄膜又は堆積されたままの薄膜を、処理工程(堆積後)に付す。処理工程を、堆積工程の少なくとも一部の間に、堆積工程後、及びこれらの組合せで行うことができる。好ましい処理工程としては、薄膜の1つ以上の特性に影響を与える高温熱アニーリングによる処理;プラズマ処理;紫外線(UV)処理;レーザー;電子ビーム処理及びこれらの組合せが挙げられるが、これらに限定されない。 In still further embodiments of the methods described herein, the thin film deposited or as-deposited from ALD, ALD-like, PEALD, PEALD-like or FCVD is subjected to a treatment step (post-deposition). . Treatment steps can be performed during at least a portion of the deposition step, after the deposition step, and combinations thereof. Preferred processing steps include, but are not limited to, treatment with high temperature thermal annealing to affect one or more properties of the thin film; plasma treatment; ultraviolet (UV) treatment; laser; electron beam treatment and combinations thereof. .

別の実施形態では、1つ以上のシリコン前駆体化合物を含むケイ素含有薄膜を堆積するための槽又は容器を本明細書に記載する。1つの特定の実施形態では、槽は、少なくとも1つの加圧可能な槽を含む(好ましくは、米国特許第7,334,595号明細書;米国特許第6,077,356号明細書;米国特許第5,069,244号明細書;及び米国特許第5,465,766号明細書(この開示は参照により本明細書に援用される)に開示されているような設計を有するステンレス鋼製)。容器は、適切な弁を装備し、CVD法又はALD法のために反応器に1つ以上の前駆体を送達することを可能とするガラス(ホウケイ酸塩又は石英ガラス)又は種類316、316L、304又は304Lステンレス鋼合金(UNS表示S31600、S31603、S30400、S30403)のいずれかを含むことができる。この又は他の実施形態では、シリコン前駆体を、ステンレス鋼から成る加圧可能な槽内に供給し、前駆体の純度は98質量%以上又は99.5質量%以上であり、大半の半導体用途に適切である。槽又は容器のヘッドスペースを、ヘリウム、アルゴン、窒素及びこれらに組合せから選択される不活性ガスで満たす。 In another embodiment, described herein are baths or vessels for depositing silicon-containing thin films that include one or more silicon precursor compounds. In one particular embodiment, the vessel comprises at least one pressurizable vessel (preferably US Pat. No. 7,334,595; US Pat. No. 6,077,356; stainless steel having designs such as those disclosed in U.S. Pat. No. 5,069,244; and U.S. Pat. ). The vessel is glass (borosilicate or fused silica) or type 316, 316L, equipped with appropriate valves to allow delivery of one or more precursors to the reactor for CVD or ALD processes; Either 304 or 304L stainless steel alloys (UNS designations S31600, S31603, S30400, S30403) can be included. In this or other embodiments, the silicon precursor is supplied in a pressurizable vessel made of stainless steel and the purity of the precursor is greater than or equal to 98 wt% or greater than or equal to 99.5 wt% and is suitable for most semiconductor applications. suitable for The headspace of the vessel or vessel is filled with an inert gas selected from helium, argon, nitrogen and combinations thereof.

特定の実施形態では、前駆体容器から反応チャンバーへ結ぶガスラインをプロセス要求に応じて1つ以上の温度まで加熱し、少なくとも1種のシリコン前駆体の容器を通気のために1つ以上の温度に維持する。他の実施形態では、少なくとも1種のシリコン前駆体を含む溶液を、直接液体注入のため1つ以上の温度に維持された気化装置に注入する。 In certain embodiments, the gas lines leading from the precursor vessels to the reaction chamber are heated to one or more temperatures depending on process requirements, and the at least one silicon precursor vessel is heated to one or more temperatures for venting. to maintain. In other embodiments, a solution containing at least one silicon precursor is injected into a vaporizer maintained at one or more temperatures for direct liquid injection.

アルゴン及び/又は他のガスの流れをキャリアガスとして使用して、前駆体パルスの間に反応チャンバーへ少なくとも1種のシリコン前駆体蒸気の送達を助けてよい。特定の実施形態では、反応チャンバープロセス圧は、約50ミリトル(66.5Pa)~10ミリトル(13.3Pa)である。他の実施形態では、反応チャンバープロセス圧は、760Torr(1.01×10Pa)以下(例えば、約50ミリトル(66.5Pa)~約100トル(1.33×10Pa))である。 A flow of argon and/or other gas may be used as a carrier gas to help deliver at least one silicon precursor vapor to the reaction chamber between precursor pulses. In certain embodiments, the reaction chamber process pressure is between about 50 mTorr (66.5 Pa) and 10 mTorr (13.3 Pa). In other embodiments, the reaction chamber process pressure is 760 Torr (1.01×10 6 Pa) or less (eg, from about 50 millitorr (66.5 Pa) to about 100 Torr (1.33×10 5 Pa)). .

PECCVD法などの典型的なPEALD法又はPEALD様法では、酸化ケイ素基材などの基材を、最初にシリコン前駆体に暴露される反応チャンバー内においてヒータステージ上で加熱して複合物が基材表面上に化学的に吸着することを可能とする。 In a typical PEALD or PEALD-like process, such as a PECCVD process, a substrate, such as a silicon oxide substrate, is heated on a heater stage in a reaction chamber where it is first exposed to a silicon precursor to form a composite. Allows for chemical adsorption on surfaces.

本明細書に記載されている式A~Dを有するシリコン前駆体で堆積された薄膜は、同条件で以前に開示されたシリコン前駆体で堆積された薄膜と比較された場合、これらに限定されないが、処理工程前の薄膜の湿式エッチング速度より低い湿式エッチング速度又は処理工程前の密度より高い密度などの改良された特性を有する。1つの特定の実施形態では、堆積方法の間に、堆積されたままの薄膜を断続的に処理する。これらの断続的処理又は堆積中間処理を、例えば、各ALDサイクル後、これらに限定されないが、1回(1)のALDサイクル、2回(2)のALDサイクル、5回(5)のALDサイクル、又は10回(10)以上のALDサイクル後毎など、ALDサイクルの特定回数後毎に行うことができる。 Films deposited with silicon precursors having formulas AD described herein are not limited when compared to films deposited with previously disclosed silicon precursors under the same conditions. However, it has improved properties such as wet etch rate lower than the wet etch rate of the thin film prior to the processing step or density higher than the density prior to the processing step. In one particular embodiment, the as-deposited thin film is intermittently treated during the deposition method. These intermittent treatments or deposition intermediate treatments may be performed after each ALD cycle, for example, but not limited to, one (1) ALD cycle, two (2) ALD cycles, five (5) ALD cycles. , or after a certain number of ALD cycles, such as after ten (10) or more ALD cycles.

式A~Dの前駆体は、2.0Å/サイクル以上の成長速度を示す。 Precursors of Formulas AD exhibit growth rates of 2.0 Å/cycle or greater.

薄膜を高温アニーリング工程で処理する実施形態では、アニーリング温度は、少なくとも100℃であるか又は堆積温度より高い。この又は他の実施形態では、アニーリング温度は、約400℃~約1000℃の範囲である。この又は他の実施形態では、アニーリング処理を、真空(<760トル(1.01×10Pa))、不活性環境又は酸素含有環境(HO、NO、NO、O又は環境空気など)で行うことができる。 In embodiments where the thin film is treated with a high temperature annealing step, the annealing temperature is at least 100° C. or higher than the deposition temperature. In this or other embodiments, the annealing temperature ranges from about 400.degree. C. to about 1000.degree. In this or other embodiments, the annealing treatment is performed in a vacuum (<760 Torr (1.01×10 6 Pa)), an inert environment, or an oxygen-containing environment (H 2 O, N 2 O, NO 2 , O 2 or ambient air, etc.).

薄膜をUV処理に処理する実施形態では、薄膜を広帯域UV、あるいは約150ナノメートル(nm)~約400nmの範囲の波長を有するUV源に暴露する。1つの特定の実施形態では、堆積されたままの薄膜を、所望の薄膜厚さを達成した後、堆積チャンバーと異なるチャンバー内でUVに暴露する。 In embodiments where the thin film is subjected to UV treatment, the thin film is exposed to broadband UV, or UV sources having wavelengths ranging from about 150 nanometers (nm) to about 400 nm. In one particular embodiment, the as-deposited thin film is exposed to UV in a chamber different from the deposition chamber after achieving the desired thin film thickness.

薄膜をプラズマで処理する実施形態では、SiO又は炭素ドープSiOなどの不活性化層を堆積して、その後のプラズマ処理中に薄膜に浸透する塩素及び窒素混入を防止する。不活性化層を、原子層堆積又はサイクリック化学気相成長を用いて堆積することができる。 In embodiments where the thin film is plasma treated, a passivation layer such as SiO2 or carbon-doped SiO2 is deposited to prevent chlorine and nitrogen contamination from penetrating the thin film during subsequent plasma processing. The passivation layer can be deposited using atomic layer deposition or cyclic chemical vapor deposition.

薄膜をプラズマで処理する実施形態では、プラズマ源は、水素プラズマ、水素及びヘリウムを含むプラズマ、水素及びアルゴンを含むプラズマから成る群から選択される。水素プラズマは、薄膜比誘電率を低下させ、バルク中の炭素含有率をほとんど変化しないようになお保持しながら次のプラズマ灰化法に対する損傷抵抗を増強する。 In embodiments in which the thin film is treated with plasma, the plasma source is selected from the group consisting of hydrogen plasma, plasma containing hydrogen and helium, and plasma containing hydrogen and argon. Hydrogen plasma lowers the film dielectric constant and enhances damage resistance to subsequent plasma ashing processes while still keeping the carbon content in the bulk almost unchanged.

特定の理論に束縛される意図はないが、上記定義の式A~Dにより表される化学構造を有するシリコン前駆体化合物を、少なくとも1つの有機アミノ基を基材上のヒドロキシル基と反応させて、前駆体分子当たり複数のSi-O-Siフラグメントを得ることによって固定することができ、したがって、ケイ素原子1個しか有しないビス(tert-ブチルアミノ)シラン又はビス(ジエチルアミノ)シランなどの従来のシリコン前駆体と比較して酸化ケイ素又は炭素ドープ酸化ケイ素の成長速度を増強すると考えられる。2つ以上の有機アミノ基を有する式A~Dを有するシリコン化合物が基材表面上の2つ以上の隣接するヒドロキシル基と反応することができ得ることは可能である。ケイ素原子数が増加するので、本明細書に開示されている有機アミノ官能基を有する環式オリゴシロキサンがより高いサイクル当たりの堆積量(GPC)を示すだろうことも考えられる。例えば、2-ジメチルアミノ-2,4,6,8-テトラメチルシクロテトラシロキサン(4ケイ素原子)と比較して、シリコンALD前駆体として2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン(5ケイ素原子)を使用する場合、より高いGPCを得る可能性があり得る。 Without intending to be bound by any particular theory, a silicon precursor compound having a chemical structure represented by Formulas AD defined above is reacted with at least one organic amino group with hydroxyl groups on the substrate. , can be fixed by obtaining multiple Si--O--Si fragments per precursor molecule, thus conventional silanes such as bis(tert-butylamino)silane or bis(diethylamino)silane, which have only one silicon atom. It is believed to enhance the growth rate of silicon oxide or carbon-doped silicon oxide compared to silicon precursors. It is possible that silicon compounds having formulas AD with two or more organic amino groups can react with two or more adjacent hydroxyl groups on the substrate surface. It is also believed that the organoamino functional cyclic oligosiloxanes disclosed herein will exhibit higher deposition per cycle (GPC) due to the increased number of silicon atoms. For example, 2-dimethylamino-2,4,6,8,10- as a silicon ALD precursor compared to 2-dimethylamino-2,4,6,8-tetramethylcyclotetrasiloxane (4 silicon atoms). When using pentamethylcyclopentasiloxane (5 silicon atoms) it may be possible to obtain a higher GPC.

特定の理論に束縛される意図はないが、2,4,6-トリメチルシクロトリシロキサン、2,4,6,8-テトラメチルシクロテトラシロキサン、及び2,4,6,8,10-ペンタメチルシクロペンタシロキサン並びに有機アミノ基を有する他の環式オリゴシロキサンなどの環式オリゴシロキサン分子の官能化は、環式オリゴシロキサンの熱安定性を増大することができ、より長い貯蔵寿命を与え、分解の阻害によりより長い時間高純度を維持すると考えられる。場合によっては、より多くの有機アミノ基は、分子になお一層のより高い熱安定性を提供することができる。特定の応用のため、式A~Dを有する本明細書に記載されているシリコン前駆体の改良された安定性は、親環式オリゴシロキサン前駆体より優れたものにする。 Without intending to be bound by any particular theory, 2,4,6-trimethylcyclotrisiloxane, 2,4,6,8-tetramethylcyclotetrasiloxane, and 2,4,6,8,10-pentamethyl Functionalization of cyclic oligosiloxane molecules, such as cyclopentasiloxane and other cyclic oligosiloxanes with organic amino groups, can increase the thermal stability of cyclic oligosiloxanes, giving them longer shelf life and less degradation. is believed to maintain high purity for a longer period of time. In some cases, more organic amino groups can provide the molecule with even greater thermal stability. For certain applications, the improved stability of the silicon precursors described herein having formulas AD makes them superior to the cyclic parent oligosiloxane precursors.

特定の理論に束縛される意図はないが、2,4,6-トリメチルシクロトリシロキサン、2,4,6,8-テトラメチルシクロテトラシロキサン、及び2,4,6,8,10-ペンタメチルシクロペンタシロキサン並びに有機アミノ基を有する他の環式オリゴシロキサンなどの環式オリゴシロキサン分子の官能化は、特に堆積方法における酸素含有反応物が水又は過酸化水素などのマイルドな酸化体である場合、得られたケイ素含有薄膜におけるより大きなレベルのネットワークをもたらす前駆体を提供することができると考えられる。 Without intending to be bound by any particular theory, 2,4,6-trimethylcyclotrisiloxane, 2,4,6,8-tetramethylcyclotetrasiloxane, and 2,4,6,8,10-pentamethyl Functionalization of cyclic oligosiloxane molecules, such as cyclopentasiloxane and other cyclic oligosiloxanes with organic amino groups, is particularly useful when the oxygen-containing reactant in the deposition process is a mild oxidant such as water or hydrogen peroxide. , could provide precursors that lead to greater levels of networking in the resulting silicon-containing thin films.

特定の実施形態では、上記定義の式A~Dを有するシリコン前駆体を、これらに限定されないが、金属酸化物薄膜又は金属オキシ窒化物薄膜などの金属含有薄膜のためのドーパントとして使用することもできる。これらの実施形態では、金属含有薄膜を、金属アルコキシド、金属アミド、又は揮発性有機金属前駆体を用いる本明細書に記載されているこれらの方法など、ALD法又はCVD法を用いて堆積する。本明細書に開示されている方法で使用してよい適切な金属アルコキシド前駆体の例としては、3~6族金属アルコキシド、アルコキシ及びアルキル置換シクロペンタジエニルリガンド両方を有する3~6族金属錯体、アルコキシ及びアルキル置換ピロリルリガンド両方を有する3~6族金属錯体、アルコキシ及びジケトネートリガンド両方を有する3~6族金属錯体;アルコキシ及びケトエステルリガンド両方を有する3~6族金属錯体が挙げられるが、これらに限定されない。 In certain embodiments, the silicon precursors having formulas AD defined above may also be used as dopants for metal-containing thin films, such as, but not limited to, metal oxide or metal oxynitride films. can. In these embodiments, the metal-containing thin films are deposited using ALD or CVD methods, such as those methods described herein using metal alkoxides, metal amides, or volatile organometallic precursors. Examples of suitable metal alkoxide precursors that may be used in the methods disclosed herein include Group 3-6 metal alkoxides, Group 3-6 metal complexes having both alkoxy- and alkyl-substituted cyclopentadienyl ligands. , Groups 3-6 metal complexes with both alkoxy and alkyl-substituted pyrrolyl ligands, Groups 3-6 metal complexes with both alkoxy and diketonate ligands; Groups 3-6 metal complexes with both alkoxy and ketoester ligands. but not limited to these.

本明細書に開示されている方法で使用してよい適切な金属アミド前駆体の例としては、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert-ブチルアミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert-ブチルアミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert-ブチルアミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルアミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルアミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルアミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert-アミルイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert-アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert-アミルイミノトリ(エチルメチルアミノ)タンタル、ビス(tert-ブチルアミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert-ブチルアミノ)ビス(ジエチルアミノ)タングステン、ビス(tert-ブチルアミノ)ビス(エチルメチルアミノ)タングステン、並びにこれらの組合せが挙げられるが、これらに限定されない。本明細書に開示されている方法で使用してよい適切な有機金属前駆体の例としては、3族金属シクロペンタジエニル又はアルキルシクロペンタジエニルが挙げられるが、これらに限定されない。本明細書における好ましい3~6族金属としては、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo、及びWが挙げられるが、これらに限定されない。 Examples of suitable metal amide precursors that may be used in the methods disclosed herein include tetrakis(dimethylamino)zirconium (TDMAZ), tetrakis(diethylamino)zirconium (TDEAZ), tetrakis(ethylmethylamino) Zirconium (TEMAZ), Tetrakis(dimethylamino)hafnium (TDMAH), Tetrakis(diethylamino)hafnium (TDEAH), and Tetrakis(ethylmethylamino)hafnium (TEMAH), Tetrakis(dimethylamino)titanium (TDMAT), Tetrakis(diethylamino) Titanium (TDEAT), tetrakis(ethylmethylamino)titanium (TEMAT), tert-butylaminotri(diethylamino)tantalum (TBTDET), tert-butylaminotri(dimethylamino)tantalum (TBTDMT), tert-butylaminotri(ethyl) methylamino)tantalum (TBTEMT), ethylaminotri(diethylamino)tantalum (EITDET), ethylaminotri(dimethylamino)tantalum (EITDMT), ethylaminotri(ethylmethylamino)tantalum (EITEMT), tert-amyliminotri(dimethylamino ) tantalum (TAIMAT), tert-amyliminotri(diethylamino)tantalum, pentakis(dimethylamino)tantalum, tert-amyliminotri(ethylmethylamino)tantalum, bis(tert-butylamino)bis(dimethylamino)tungsten (BTBMW), bis( tert-butylamino)bis(diethylamino)tungsten, bis(tert-butylamino)bis(ethylmethylamino)tungsten, and combinations thereof. Examples of suitable organometallic precursors that may be used in the methods disclosed herein include, but are not limited to, Group 3 metal cyclopentadienyls or alkylcyclopentadienyls. Preferred Group 3-6 metals herein include Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta , Cr, Mo, and W.

特定の実施形態では、本明細書に記載されているケイ素含有薄膜は、6以下、5以下、4以下、及び3以下の比誘電率を有する。これらの又は他の実施形態では、薄膜は、約5以下、又は約4以下、又は約3.5以下の比誘電率を有し得る。しかしながら、他の比誘電率(例えば、より高い又はより低い)を有する薄膜を、薄膜の所望の最終用途に応じて形成することができる。式A~Dを有するシリコン前駆体及び本明細書に記載されている方法を用いて形成されるケイ素含有薄膜の例は、組成Siを有し、例えば、XPS又は他の手段により決定されるとき、Siは約10%~約40%の範囲であり;Oは約0原子質量%~約65原子質量%の範囲であり;Cは約0原子質量%~約75原子質量%又は約0原子質量%~約50原子質量%の範囲であり;Nは約0原子質量%~約75原子質量%又は約0原子質量%~50原子質量%の範囲であり;Hは約0原子質量%~約50原子質量%の範囲であり、x+y+z+v+w=100原子質量%である。式A~Dのケイ素前駆体及び本明細書に記載されている方法を用いて形成されるケイ素含有薄膜の別の例は、炭素オキシ窒化ケイ素であり、XPSにより測定されるとき、炭素含有率は1原子%~80原子%である。更に、式A~Dのケイ素前駆体及び本明細書に記載されている方法を用いて形成されるケイ素含有薄膜の別の例は、アモルファスシリコンであり、XPSにより測定されるとき、窒素及び炭素含有率の合計は<10原子%、好ましくは<5原子%、最も好ましくは<1原子%である。 In certain embodiments, the silicon-containing thin films described herein have a dielectric constant of 6 or less, 5 or less, 4 or less, and 3 or less. In these or other embodiments, the thin film may have a dielectric constant of about 5 or less, or about 4 or less, or about 3.5 or less. However, thin films having other dielectric constants (eg, higher or lower) can be formed depending on the desired end use of the thin film. Examples of silicon precursors having formulas A-D and silicon-containing thin films formed using the methods described herein have the composition Si x O y C z N v H w , for example, XPS or as determined by other means, Si ranges from about 10% to about 40%; O ranges from about 0 atomic weight percent to about 65 atomic weight percent; C ranges from about 0 atomic weight percent to N ranges from about 0 atomic weight percent to about 75 atomic weight percent, or from about 0 atomic weight percent to 50 atomic weight percent; H ranges from about 0 atomic weight percent to about 50 atomic weight percent, with x+y+z+v+w=100 atomic weight percent. Another example of a silicon-containing thin film formed using the silicon precursors of Formulas A-D and the methods described herein is silicon carbon oxynitride, which has a carbon content of is 1 atomic % to 80 atomic %. Further, another example of a silicon-containing thin film formed using the silicon precursors of Formulas A-D and the methods described herein is amorphous silicon, where nitrogen and carbon The total content is <10 atomic %, preferably <5 atomic %, most preferably <1 atomic %.

前述のように、本明細書に記載されている方法を使用して、基材の少なくとも一部にケイ素含有薄膜を堆積してよい。適切な基材の例としては、シリコン、SiO、Si、OSG、FSG、炭化ケイ素、水素化オキシ炭化ケイ素、水素化オキシ窒化ケイ素、オキシ炭窒化ケイ素、水素化オキシ炭窒化ケイ素、反射防止膜、フォトレジスト、ゲルマニウム、ゲルマニウム含有、ホウ素含有、Ga/As、フレキシブル基板、有機高分子、多孔質有機及び無機材料、銅及びアルミニウムなどの金属、並びに、これらに限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、又はWNなどの拡散隔膜層が挙げられるが、これらに限定されない。薄膜は、例えば、化学機械平坦化(CMP)及び異方性エッチング法などの様々なその後の処理工程に適合する。 As previously mentioned, the methods described herein may be used to deposit a silicon-containing thin film on at least a portion of a substrate. Examples of suitable substrates include silicon, SiO2 , Si3N4 , OSG, FSG, silicon carbide, hydrogenated silicon oxycarbide, hydrogenated silicon oxynitride, silicon oxycarbonitride, hydrogenated silicon oxycarbonitride, Antireflective coatings, photoresists, germanium, germanium-containing, boron-containing, Ga/As, flexible substrates, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and, but not limited to, TiN, Diffusion barrier layers such as, but not limited to, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN. The thin film is compatible with various subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching techniques.

堆積された薄膜は、これらに限定されないが、コンピュータチップ、光学デバイス、磁気情報ストレージ、担体材料又は基材上のコーティング、微小電子機械システム(MEMS)、ナノ電子機械システム、薄膜トランジスタ(TFT)、発光ダイオード(LED)、有機発光ダイオード(OLED)、IGZO、及び液晶ディスプレイ(LCD)を含む応用を有する。得られた固体酸化ケイ素又は炭素ドープ酸化ケイ素の可能性のある用途としては、浅いトレンチ絶縁体、層間誘電体、不活性化層、エッチング停止層、デュアルスペーサの一部、及びパターニング用犠牲層が挙げられるが、これらに限定されない。 Deposited thin films include, but are not limited to, computer chips, optical devices, magnetic information storage, coatings on carrier materials or substrates, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistors (TFT), light emission. It has applications including diodes (LEDs), organic light emitting diodes (OLEDs), IGZO, and liquid crystal displays (LCDs). Potential applications of the resulting solid silicon oxide or carbon-doped silicon oxide include shallow trench insulators, interlayer dielectrics, passivation layers, etch stop layers, part of dual spacers, and sacrificial layers for patterning. include, but are not limited to.

本明細書に記載されている方法は、高品質酸化ケイ素、オキシ窒化ケイ素、炭素ドープオキシ窒化ケイ素、又は炭素ドープ酸化ケイ素薄膜を提供する。擁護「高品質」は、次の特性:約2.1g/cc以上、2.2g/cc以上、2.25g/cc以上、の密度;水に対するHFが1:100である希釈HF(0.5質量%dHF)酸溶液中で測定されるとき、希釈HF2.5Å/秒以下、2.0Å/秒以下、1.5Å/秒以下、1.0Å/秒以下、0.5Å/秒以下、0.1Å/秒以下、0.05Å/秒以下、0.01Å/秒以下の湿式エッチング速度;6MV/cm以下の印加で約1×10-8A/cm以下の漏電;SIMSにより測定されるとき、約5×1020原子/cc以下の水素不純物;及びこれらの組合せのうちの1つ以上を示す薄膜を意味する。エッチング速度に関して、熱成長酸化ケイ素薄膜は、0.5質量%HF中、0.5Å/秒のエッチング速度を有する。 The methods described herein provide high quality silicon oxide, silicon oxynitride, carbon-doped silicon oxynitride, or carbon-doped silicon oxide thin films. Advocated "high quality" includes the following characteristics: Density of about 2.1 g/cc or greater, 2.2 g/cc or greater, 2.25 g/cc or greater; 5% by weight dHF) diluted HF 2.5 Å/sec or less, 2.0 Å/sec or less, 1.5 Å/sec or less, 1.0 Å/sec or less, 0.5 Å/sec or less when measured in an acid solution; Wet etch rate of 0.1 Å/sec or less, 0.05 Å/sec or less, 0.01 Å/sec or less; electrical leakage of about 1×10 −8 A/cm 2 or less with an applied voltage of 6 MV/cm or less; when used, means a thin film exhibiting one or more of the following: hydrogen impurities less than or equal to about 5×10 20 atoms/cc; and combinations thereof. In terms of etch rate, thermally grown silicon oxide thin films have an etch rate of 0.5 A/sec in 0.5 wt% HF.

特定の実施形態では、本明細書に記載されている式A~Dを有する1つ以上のシリコン前駆体を使用して、固体であり、非多孔質であるか又は実質的に細孔を含まないケイ素及び酸素含有薄膜を形成することができる。 In certain embodiments, one or more silicon precursors having Formulas A-D described herein are used to be solid, non-porous, or substantially pore-containing. A silicon- and oxygen-containing thin film can be formed.

本発明の特定の態様を例証するために次の例を示すが、添付のクレームの範囲を限定しない。 The following examples are provided to illustrate certain aspects of the invention and do not limit the scope of the appended claims.

例1a.2,4-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成。 Example 1a. Synthesis of 2,4-bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2,6-bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane.

室温においてTHF(200mL)、Ru(CO)12(1.12g、0.00175mol、2.2モル%)及び2,4,6,8-テトラメチルシクロテトラシロキサン(192g、0.792mol)の撹拌溶液に、THF中のジメチルアミン溶液(396mL、2.0M溶液、2当量)を室温において4時間にわたって滴下した。反応溶液を室温において一夜撹拌を続けた。溶媒を減圧下で除去し、粗生成物を分留(6トル(7.98×10Pa)/94℃)により精製して2,4-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの混合物を得た。GC-MSは両化合物に関して次のピークを示した:326(M)、311(M-15)、282、266、252、239、225、209、193、179、165、149、141、133、119、111、104、89、73、58、44。 A solution of THF (200 mL), Ru 3 (CO) 12 (1.12 g, 0.00175 mol, 2.2 mol %) and 2,4,6,8-tetramethylcyclotetrasiloxane (192 g, 0.792 mol) at room temperature. To the stirred solution was added a solution of dimethylamine in THF (396 mL, 2.0 M solution, 2 eq) dropwise over 4 hours at room temperature. The reaction solution was kept stirring overnight at room temperature. The solvent is removed under reduced pressure and the crude product is purified by fractional distillation (6 torr (7.98×10 3 Pa)/94° C.) to yield 2,4-bis(dimethylamino)-2,4,6, A mixture of 8-tetramethylcyclotetrasiloxane and 2,6-bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane was obtained. GC-MS showed the following peaks for both compounds: 326 (M + ), 311 (M−15), 282, 266, 252, 239, 225, 209, 193, 179, 165, 149, 141, 133. , 119, 111, 104, 89, 73, 58, 44.

例1b.ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの熱安定性。 Example 1b. Thermal stability of bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane.

ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン(異性体混合物)のいくつかの精製サンプルを、80℃で7日間加熱した。GC分析により決定されたビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンのアッセイは、96.47%から平均値96.37%に低下し、ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンが優れた熱安定性を有し、気相成長法のための前駆体として適していることを示した。 Several purified samples of bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane (mixture of isomers) were heated at 80° C. for 7 days. The assay for bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane as determined by GC analysis decreased from 96.47% to an average value of 96.37% and bis(dimethylamino)- We have shown that 2,4,6,8-tetramethylcyclotetrasiloxane has excellent thermal stability and is suitable as a precursor for vapor deposition.

例2.2,4-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成。 Example 2. Synthesis of 2,4-bis(diethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2,6-bis(diethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane .

同様な手順を、ジメチルアミンの代わりにジエチルアミンを使用したこと以外例1に従って、2,4-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの混合物を得た。GC-MSは、両化合物に関して次のピークを示した:m/z=382(M)、367(M-15)、353、340、326、310、296、280、266、252、239、225、207、193、179、165、147、133、119、111、104、86、72、59、42。 2,4-bis(diethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2,6-bis(diethylamino) were prepared according to Example 1 except diethylamine was used instead of dimethylamine. )-2,4,6,8-tetramethylcyclotetrasiloxane to give a mixture. GC-MS showed the following peaks for both compounds: m/z = 382 (M + ), 367 (M-15), 353, 340, 326, 310, 296, 280, 266, 252, 239, 225, 207, 193, 179, 165, 147, 133, 119, 111, 104, 86, 72, 59, 42.

例3.2,4-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成。
同様な手順を、ジメチルアミンの代わりにN-エチルメチルアミンを使用したこと以外例1に従って、2,4-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの混合物を得た。GC-MSは、両化合物に関して次のピークを示した:m/z=355(M)、340(M-15)、324、312、297、283、267、253、240、226、194、179、163、141、133、119、111、103、89、73、58、44。
Example 3. 2,4-bis(N-ethylmethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2,6-bis(N-ethylmethylamino)-2,4,6,8 - Synthesis of tetramethylcyclotetrasiloxane.
2,4-bis(N-ethylmethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane was prepared according to Example 1, except N-ethylmethylamine was used instead of dimethylamine. and 2,6-bis(N-ethylmethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane. GC-MS showed the following peaks for both compounds: m/z = 355 (M + ), 340 (M-15), 324, 312, 297, 283, 267, 253, 240, 226, 194, 179, 163, 141, 133, 119, 111, 103, 89, 73, 58, 44.

例4.2,4-ビス(iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成。
同様な手順を、ジメチルアミンの代わりにiso-プロピルアミンを使用したこと以外例1に従って、2,4-ビス(iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(N-iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの混合物を得た。GC-MSは、両化合物に関して次のピークを示した:m/z=356(M)、341(M-15)、325、313、296、282、253、240、223、208、193、180、164、150、141、134、120、112、103、87、74、59、44。
Example 4. 2,4-bis(iso-propylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2,6-bis(iso-propylamino)-2,4,6,8-tetra Synthesis of methylcyclotetrasiloxane.
2,4-Bis(iso-propylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2 were prepared according to Example 1 except iso-propylamine was used instead of dimethylamine. ,6-bis(N-iso-propylamino)-2,4,6,8-tetramethylcyclotetrasiloxane was obtained. GC-MS showed the following peaks for both compounds: m/z = 356 (M + ), 341 (M-15), 325, 313, 296, 282, 253, 240, 223, 208, 193, 180, 164, 150, 141, 134, 120, 112, 103, 87, 74, 59, 44.

例5.2,4-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成。 Example 5. 2,4-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2,6-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane Synthesis of.

同様な手順を、ジメチルアミンの代わりにメチルアミンを使用したこと以外例1に従って、2,4-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン及び2,6-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの混合物を得た。GC-MSは、両化合物に関して次のピークを示した:m/z=298(M)、283(M-15)、268、252、239、225、209、193、179、165、149、135、127、119、112、104、97、89、75、59、44。 2,4-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane and 2,6-bis-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane were prepared according to Example 1 except that methylamine was used instead of dimethylamine. A mixture of (methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane was obtained. GC-MS showed the following peaks for both compounds: m/z = 298 (M + ), 283 (M-15), 268, 252, 239, 225, 209, 193, 179, 165, 149, 135, 127, 119, 112, 104, 97, 89, 75, 59, 44.

例6a.2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンの合成。
室温においてTHF(200mL)、Ru(CO)12(1.12g、0.00172mol、)及び2,4,6,8,10-ペンタメチルシクロペンタシロキサン(240g、0.798mol)の撹拌溶液に、THF中のジメチルアミン溶液(176mL、2.0M溶液)を窒素の保護下4時間にわたって添加した。反応溶液を室温において一夜撹拌を続けた。溶媒を減圧下で除去し、粗生成物を分留(1.5トル(2.00×10Pa)/60℃)により精製して所望の生成物、2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンを無色液体として得た。GC-MSは次の質量ピークを示した:m/z=344(M)、329(M-15)、313、300、286、268、254、240、226、210、193、179、165、149、134、119、102、88、73、59、45。
Example 6a. Synthesis of 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane.
To a stirred solution of THF (200 mL), Ru 3 (CO) 12 (1.12 g, 0.00172 mol) and 2,4,6,8,10-pentamethylcyclopentasiloxane (240 g, 0.798 mol) at room temperature. , a solution of dimethylamine in THF (176 mL, 2.0 M solution) was added over 4 hours under nitrogen protection. The reaction solution was kept stirring overnight at room temperature. The solvent is removed under reduced pressure and the crude product is purified by fractional distillation (1.5 torr (2.00×10 3 Pa)/60° C.) to give the desired product, 2-dimethylamino-2,4, 6,8,10-Pentamethylcyclopentasiloxane was obtained as a colorless liquid. GC-MS showed the following mass peaks: m/z = 344 (M + ), 329 (M-15), 313, 300, 286, 268, 254, 240, 226, 210, 193, 179, 165. , 149, 134, 119, 102, 88, 73, 59, 45.

例6b.2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンの熱安定性。
2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンのいくつかの精製サンプルを、80℃で7日間加熱した。GC分析により決定した2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンのアッセイは、97.57%から平均値97.23%に低下し、2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンが優れた熱安定性を有し、気相成長のための前駆体として適していることを示した。
Example 6b. Thermal stability of 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane.
Several purified samples of 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane were heated at 80° C. for 7 days. The assay for 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane, determined by GC analysis, decreased from 97.57% to an average value of 97.23%, with 2-dimethylamino-2 ,4,6,8,10-pentamethylcyclopentasiloxane has excellent thermal stability and is suitable as a precursor for vapor deposition.

例7.2-ジエチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンの合成。
室温においてTHF(1mL)、Ru(CO)12(0.010g、0.000016mol、)及び2,4,6,8,10-ペンタメチルシクロペンタシロキサン(1.0g、0.0033mol)の撹拌溶液に、ジエチルアミン(0.22g、0.0030mol)を窒素の保護下添加した。反応溶液を室温において一夜撹拌を続けた。GC-MSにより、溶液が主生成物として2-ジエチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンを含むことを決定した。GC-MSは次の質量ピークを示した:m/z=371(M)、357、341、327、311、300、286、268、254、240、226、210、193、179、165、149、133、116、102、86、73、59、45。
Example 7. Synthesis of 2-diethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane.
Stirring THF (1 mL), Ru 3 (CO) 12 (0.010 g, 0.000016 mol) and 2,4,6,8,10-pentamethylcyclopentasiloxane (1.0 g, 0.0033 mol) at room temperature Diethylamine (0.22 g, 0.0030 mol) was added to the solution under nitrogen protection. The reaction solution was kept stirring overnight at room temperature. GC-MS determined that the solution contained 2-diethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane as the major product. GC-MS showed the following mass peaks: m/z=371 (M + ), 357, 341, 327, 311, 300, 286, 268, 254, 240, 226, 210, 193, 179, 165, 149, 133, 116, 102, 86, 73, 59, 45.

例8.2-(N-エチルメチルアミノ)-2,4,6,8,10-ペンタメチルシクロペンタシロキサンの合成。
室温においてTHF(1mL)、Ru(CO)12(0.010g、0.000016mol、)及び2,4,6,8,10-ペンタメチルシクロペンタシロキサン(1.0g、0.0033mol)の撹拌溶液に、N-エチルメチルアミン(0.17g、0.0029mol)を窒素の保護下添加した。反応溶液を室温において一夜撹拌を続けた。GC-MSにより、溶液が主生成物として2-(N-エチルメチルアミノ)-2,4,6,8,10-ペンタメチルシクロペンタシロキサンを含むことを決定した。GC-MSは次の質量ピークを示した:m/z=357(M)、343、327、316、300、283、273、253、239、225、209、193、179、165、149、135、116、102、88、73、59、45。
Example 8. Synthesis of 2-(N-ethylmethylamino)-2,4,6,8,10-pentamethylcyclopentasiloxane.
Stirring THF (1 mL), Ru 3 (CO) 12 (0.010 g, 0.000016 mol) and 2,4,6,8,10-pentamethylcyclopentasiloxane (1.0 g, 0.0033 mol) at room temperature To the solution was added N-ethylmethylamine (0.17 g, 0.0029 mol) under nitrogen protection. The reaction solution was kept stirring overnight at room temperature. GC-MS determined that the solution contained 2-(N-ethylmethylamino)-2,4,6,8,10-pentamethylcyclopentasiloxane as the major product. GC-MS showed the following mass peaks: m/z=357 (M + ), 343, 327, 316, 300, 283, 273, 253, 239, 225, 209, 193, 179, 165, 149, 135, 116, 102, 88, 73, 59, 45.

例9.2,4,6,8-テトラクロロ-2,4,6,8-テトラメチルシクロテトラシロキサン及びメチルアミンから2,4,6,8-テトラキス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成。
THF中のメチルアミン溶液(3.0mL、2.0M溶液)をヘキサン(3mL)で希釈し、撹拌した。この溶液に、2,4,6,8-テトラクロロ-2,4,6,8-テトラメチルシクロテトラシロキサン固体(0.20g、0.000529mol)を10分にわたってゆっくりと添加し、この間に沈殿物が生成した。30分間この反応混合物を撹拌後、白色固体をろ過により除去して、減圧下でろ液を濃縮した。得られた油状残渣から、室温に放置することにより無色結晶の生成物、2,4,6,8-テトラキス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを得た。GC-MSは次の質量ピークを示した:355(M)、340(M-15)、326、311、296、282、267、253、240、225、209、193、179、165、147、133、120、112、105、94、82、73、59、44。
Example 9. 2,4,6,8-Tetrakis(methylamino)-2,4,6 from 2,4,6,8-tetrachloro-2,4,6,8-tetramethylcyclotetrasiloxane and methylamine ,8-tetramethylcyclotetrasiloxane.
A solution of methylamine in THF (3.0 mL, 2.0 M solution) was diluted with hexanes (3 mL) and stirred. To this solution was slowly added 2,4,6,8-tetrachloro-2,4,6,8-tetramethylcyclotetrasiloxane solid (0.20 g, 0.000529 mol) over 10 minutes during which time a precipitate things were generated. After stirring the reaction mixture for 30 minutes, the white solid was removed by filtration and the filtrate was concentrated under reduced pressure. From the oily residue obtained, the product, 2,4,6,8-tetrakis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, was obtained as colorless crystals by standing at room temperature. GC-MS showed the following mass peaks: 355 (M + ), 340 (M−15), 326, 311, 296, 282, 267, 253, 240, 225, 209, 193, 179, 165, 147. , 133, 120, 112, 105, 94, 82, 73, 59, 44.

例10.2,4,6,8-テトラメチルシクロテトラシロキサン及びメチルアミンから2,4,6,8-テトラキス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成(予言的(prophetic))。
THF中のRu(CO)12(1.33g、0.00208mol、)及びメチルアミン溶液(1.04L、2.0M溶液)の撹拌溶液に、2,4,6,8-テトラメチルシクロテトラシロキサン(100g、0.417mol)を室温で4時間にわたって滴下する。反応溶液を室温において一夜撹拌を続ける。溶媒を減圧下で除去し、粗生成物を分留により精製して所望の生成物、2,4,6,8-テトラキス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを得る。
Example 10. Synthesis of 2,4,6,8-tetrakis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane from 2,4,6,8-tetramethylcyclotetrasiloxane and methylamine ( prophetic).
2,4,6,8 - Tetramethylcyclotetra Siloxane (100 g, 0.417 mol) is added dropwise over 4 hours at room temperature. The reaction solution is kept stirring overnight at room temperature. The solvent is removed under reduced pressure and the crude product is purified by fractional distillation to give the desired product, 2,4,6,8-tetrakis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane. get

例11.2,4,6,8-テトラクロロ-2,4,6,8-テトラクロロ-2,4,6,8-テトラメチルシクロテトラシロキサン及びジメチルアミンから2,4,6,8-テトラキス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンの合成。
THF中のジメチルアミン溶液(3.0mL、2.0M溶液)をヘキサン(3mL)で希釈し、撹拌した。この溶液に、2,4,6,8-テトラクロロ-2,4,6,8-テトラメチルシクロテトラシロキサン固体(0.20g、0.000529mol)を10分にわたってゆっくりと添加し、この間に沈殿物が生成した。30分間この反応混合物を撹拌後、白色固体をろ過により除去して、減圧下でろ液を濃縮した。GC-MSにより、得られた油状残渣が単独生成物として2,4,6,8-テトラキス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを含むことを決定した。GC-MSは次の質量ピークを示した:413(M)、398(M-15)、384、369、355、339、326、310、296、283、267、253、240、225、209、194、179、163、155、141、134、119、111、103、89、73、58、44。
Example 11. 2,4,6,8-Tetrachloro-2,4,6,8-tetrachloro-2,4,6,8-tetramethylcyclotetrasiloxane and dimethylamine to form 2,4,6,8- Synthesis of tetrakis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane.
A solution of dimethylamine in THF (3.0 mL, 2.0 M solution) was diluted with hexanes (3 mL) and stirred. To this solution was slowly added 2,4,6,8-tetrachloro-2,4,6,8-tetramethylcyclotetrasiloxane solid (0.20 g, 0.000529 mol) over 10 minutes during which time a precipitate things were generated. After stirring the reaction mixture for 30 minutes, the white solid was removed by filtration and the filtrate was concentrated under reduced pressure. GC-MS determined that the resulting oily residue contained 2,4,6,8-tetrakis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane as the sole product. GC-MS showed the following mass peaks: 413 (M + ), 398 (M−15), 384, 369, 355, 339, 326, 310, 296, 283, 267, 253, 240, 225, 209. , 194, 179, 163, 155, 141, 134, 119, 111, 103, 89, 73, 58, 44.

例12.27.1MHzプラズマを含む層流反応器内のビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン(2,4-及び2,6-異性体の混合物を含む)を用いたPEALD酸化ケイ素。 Example 12 Bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane (containing a mixture of 2,4- and 2,6-isomers in a laminar flow reactor containing a 27.1 MHz plasma ) with PEALD silicon oxide.

電極間の3.5mm固定スペーシングを有する27.1MHz直接プラズマ能力を装備された市販側方流反応器(ASMにより製造された300mm PEALDツール)でプラズマ促進ALD(PEALD)を行った。前駆体は、ステンレス鋼バブラーにおいて62℃まで加熱された液体であり、Arキャリアガスを用いてチャンバーに送達した。この試験で報告された全堆積を、Si基材を含む天然酸化物上で行った。FilmTek 2000SEエリプソメーターを用いて薄膜の厚さ及び屈折率を測定した。1:99(0.5質量%)希釈フッ化水素(HF)酸溶液の使用によって湿式エッチング速度(WER)測定を行った。実験の各セットについて標準物質として熱酸化ウェハを使用してエッチング溶液活性を確認した。サンプルを全て15分間エッチングしてバルク薄膜WERの収集開始前にいずれかの表面層を除去した。1:99(0.5質量%)dHF水溶液についての典型的な熱酸化ウェハ湿式エッチング速度は、この手順により0.5Å/秒であった。 Plasma enhanced ALD (PEALD) was performed in a commercial side-flow reactor (300 mm PEALD tool manufactured by ASM) equipped with 27.1 MHz direct plasma capability with 3.5 mm fixed spacing between electrodes. The precursor was liquid heated to 62° C. in a stainless steel bubbler and delivered to the chamber using Ar carrier gas. All depositions reported in this study were performed on native oxide containing Si substrates. Film thickness and refractive index were measured using a FilmTek 2000SE ellipsometer. Wet etch rate (WER) measurements were performed by using a 1:99 (0.5 wt%) diluted hydrofluoric (HF) acid solution. Etch solution activity was confirmed using thermally oxidized wafers as standards for each set of experiments. All samples were etched for 15 minutes to remove any surface layers before starting bulk thin film WER collection. A typical thermal oxide wafer wet etch rate for a 1:99 (0.5 wt %) dHF aqueous solution was 0.5 Å/sec with this procedure.

シリコン前駆体としてビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン(2,4-及び2,6-異性体の混合物を含む)及び表2中の上記の条件下Oプラズマを用いて堆積を行った。200sccm(約0.200L/分)のキャリアガスAr流でシリコン前駆体をチャンバーに送達した。工程b~eを何度も反復して、計測のため酸化ケイ素の所望の厚さを得た。 Bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane (including mixtures of 2,4- and 2,6-isomers) as a silicon precursor and O 2 plasma was used for the deposition. The silicon precursor was delivered to the chamber with a carrier gas Ar flow of 200 sccm (about 0.200 L/min). Steps b through e were repeated many times to obtain the desired thickness of silicon oxide for measurement.

Figure 2023520226000013
Figure 2023520226000013

薄膜堆積パラメータ及び堆積GPCを、100℃堆積に関して表3に及び300℃堆積に関して表4に示す。堆積1~6及び13~18は、100℃及び300℃における前駆体パルス時間堆積の関数としてGPCを示す。図1は、前駆体パルス数に対するビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンGPCの飽和曲線を示す。GPCが前駆体パルスと共に増加し、次いで、飽和し、前駆体のALD挙動を示すことが分かる。100℃堆積は、300℃堆積より高いGPCを示す。BDEAS(ビス(ジエチルアミノ)シラン)堆積を、図1に比較のために示した。BDEAS容器を28℃まで加熱し、62℃においてビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン容器と同様な内部蒸気圧を有した。200sccm(0.200L/分)のキャリアガスAr流でBDEASをチャンバーに送達した。ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンは、BDEASよりずっと高いGPCを示す。堆積7~12及び19~24は、様々な堆積圧、酸素プラズマ時間、又は酸素プラズマ出力においてGPC及び薄膜相対的WERを示す。図2及び図3は、シリコン前駆体としてビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを使用した場合に、それぞれ、300℃及び100℃堆積温度においてOプラズマ出力に対する薄膜GPC及びWERを示す。GPCは酸素プラズマ出力の増加と共に僅かに減少し、WERは酸素プラズマ出力の増加と共に減少した。高温で堆積された薄膜は、より低いWERを示す。図4及び図5は、シリコン前駆体としてビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンを使用した場合に、それぞれ、300℃及び100℃堆積においてOプラズマ時間に対する薄膜GPC及びWERを示す。GPCは酸素プラズマ時間の増加と共に僅かに減少し、WERは酸素プラズマ時間の増加と共に減少した。薄膜のより低いWERはより高い薄膜品質を示す。 Thin film deposition parameters and deposition GPC are shown in Table 3 for 100° C. deposition and Table 4 for 300° C. deposition. Depositions 1-6 and 13-18 show the GPC as a function of precursor pulse time deposition at 100°C and 300°C. FIG. 1 shows the saturation curve of bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane GPC versus the number of precursor pulses. It can be seen that the GPC increases with the precursor pulse and then saturates, indicating the ALD behavior of the precursor. A 100° C. deposition exhibits a higher GPC than a 300° C. deposition. BDEAS (bis(diethylamino)silane) deposition is shown in FIG. 1 for comparison. The BDEAS container was heated to 28°C and had an internal vapor pressure at 62°C similar to the bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane container. BDEAS was delivered to the chamber with a carrier gas Ar flow of 200 sccm (0.200 L/min). Bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane shows a much higher GPC than BDEAS. Depositions 7-12 and 19-24 show GPC and thin film relative WER at various deposition pressures, oxygen plasma times, or oxygen plasma powers. Figures 2 and 3 show the O2 plasma output at 300°C and 100°C deposition temperatures, respectively, when using bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane as the silicon precursor. Thin film GPC and WER for . GPC decreased slightly with increasing oxygen plasma power, and WER decreased with increasing oxygen plasma power. Thin films deposited at high temperatures exhibit lower WER. Figures 4 and 5 plot O2 plasma time versus O2 plasma time at 300°C and 100°C deposition, respectively, when bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane was used as the silicon precursor. Thin film GPC and WER are shown. GPC decreased slightly with increasing oxygen plasma time and WER decreased with increasing oxygen plasma time. A lower WER of a film indicates higher film quality.

Figure 2023520226000014
Figure 2023520226000014

Figure 2023520226000015
Figure 2023520226000015

比較例12a.27.1MHzプラズマ層流反応器におけるTMCTS(2,4,6,8-テトラメチルシクロテトラシロキサン)を用いたPEALD酸化ケイ素 Comparative Example 12a. PEALD Silicon Oxide with TMCTS (2,4,6,8-Tetramethylcyclotetrasiloxane) in a 27.1 MHz Plasma Laminar Flow Reactor

シリコン前駆体としてTMCTS及びOプラズマ反応物を用いて堆積を行った。TMCTSを蒸気吸込法によりチャンバーに送達し、キャリアガスを使用しなかった。表2の工程b~eを何度も反復して、計測のため酸化ケイ素の所望の厚さを得た。薄膜堆積パラメータ及び堆積GPC及びウェハ均一性を表5に示す。堆積ウェハは均一性不良を示し、GPCは前駆体パルスの増加と共に飽和を示さなかった。これはTMCTSに関するCVD堆積を示しており、したがって、ALD前駆体として適していなかった。 The deposition was performed using TMCTS as the silicon precursor and O2 plasma reactant. TMCTS was delivered to the chamber by vapor inhalation and no carrier gas was used. Steps b through e of Table 2 were repeated a number of times to obtain the desired thickness of silicon oxide for measurement. Thin film deposition parameters and deposition GPC and wafer uniformity are shown in Table 5. The deposited wafer showed poor uniformity and GPC showed no saturation with increasing precursor pulses. This indicated CVD deposition for TMCTS and was therefore not suitable as an ALD precursor.

Figure 2023520226000016
Figure 2023520226000016

比較例12b.27.1MHzプラズマ層流反応器におけるBDEAS(ビス(ジエチルアミノ)シラン)を用いたPEALD酸化ケイ素。 Comparative Example 12b. PEALD silicon oxide with BDEAS (bis(diethylamino)silane) in a 27.1 MHz plasma laminar flow reactor.

表2中上記の条件下、シリコン前駆体としてBDEAS及びOプラズマを用いて堆積を行った。200sccm(0.200L/分)のキャリアガスAr流で前駆体をチャンバーに送達した。工程b~eを何度も反復して、計測のため酸化ケイ素の所望の厚さを得た。薄膜堆積パラメータ及び堆積GPCを表6に示す。図1は、異なる前駆体流時間に対するGPCを示す。これは、ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンよりずっと低いGPCを示す。 Deposition was carried out using BDEAS and O 2 plasma as silicon precursors under the conditions described above in Table 2. The precursor was delivered to the chamber with a carrier gas Ar flow of 200 sccm (0.200 L/min). Steps b through e were repeated many times to obtain the desired thickness of silicon oxide for measurement. Thin film deposition parameters and deposition GPC are shown in Table 6. FIG. 1 shows the GPC for different precursor flow times. It exhibits a much lower GPC than bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane.

Figure 2023520226000017
Figure 2023520226000017

例13.27.1MHzプラズマ層流反応器における2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンを用いたPEALD酸化ケイ素。
表2中上記の条件下、シリコン前駆体として2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン及びOプラズマを用いて堆積を行った。200sccm(0.200L/分)のキャリアガスAr流で前駆体をチャンバーに送達した。容器を50℃まで加熱した。工程b~eを何度も反復して、計測のため酸化ケイ素の所望の厚さを得た。薄膜堆積パラメータ及び堆積GPC、薄膜RI及び熱酸化物に対する相対的WERを表7及び表8に示す。図1は、前駆体パルス数に対する2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサンGPCの飽和曲線を示す。GPCが前駆体パルスと共に増加し、次いで、飽和し、前駆体のALD挙動を示すことが分かる。100℃堆積は、300℃堆積より高いGPCを示す。BDEAS(ビス(ジエチルアミノ)シラン)堆積を、図1に比較のために示した。2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン前駆体を用いた場合、薄膜GPCは非常に高い:300℃堆積温度でおよそ3.6Å/サイクル及び100℃堆積温度でおよそ4.6Å/サイクル。より高いOプラズマ時間又はより長いOプラズマ時間は、成長速度を低下させ薄膜相対的WERを低下させて、改良された薄膜品質を示す。
Example 13. PEALD silicon oxide with 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane in a 27.1 MHz plasma laminar flow reactor.
Deposition was carried out using 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane as the silicon precursor and an O 2 plasma under the conditions described above in Table 2. The precursor was delivered to the chamber with a carrier gas Ar flow of 200 sccm (0.200 L/min). The vessel was heated to 50°C. Steps b through e were repeated many times to obtain the desired thickness of silicon oxide for measurement. The thin film deposition parameters and relative WER for deposition GPC, thin film RI and thermal oxide are shown in Tables 7 and 8. FIG. 1 shows the saturation curve of 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane GPC versus the number of precursor pulses. It can be seen that the GPC increases with the precursor pulse and then saturates, indicating the ALD behavior of the precursor. A 100° C. deposition exhibits a higher GPC than a 300° C. deposition. BDEAS (bis(diethylamino)silane) deposition is shown in FIG. 1 for comparison. Thin film GPC is very high with 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane precursor: approximately 3.6 Å/cycle at 300° C. deposition temperature and 100° C. deposition temperature. at approximately 4.6 Å/cycle. A higher O2 plasma time or a longer O2 plasma time reduces the growth rate and lowers the film relative WER, indicating improved film quality.

Figure 2023520226000018
Figure 2023520226000018

Figure 2023520226000019
Figure 2023520226000019

例14.2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン及びオゾンを用いた熱ALD酸化ケイ素(予言的)。
酸化ケイ素薄膜の熱原子層堆積を、実験室規模ALD加工ツールで行う。シリコン前駆体、2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン及びオゾンを、蒸気吸込によりチャンバーに送達する。全ガス(例えば、パージ及び反応ガス又は前駆体及び酸素源)を堆積ゾーンに入る前に100℃まで予備加熱する。ガス及び前駆体流速を、高速作動式ALDダイヤフラム弁で制御する。堆積で使用された基材は12インチ(30.48cm)長シリコンストリップである。サンプルホルダーに熱電対を結合して基材温度を確認する。酸素源ガスとしてオゾンを用いて堆積を行う。正常な堆積法及びパラメータを表9に示す。所望の厚さが達成するまで工程1~6を反復する。
Example 14. Thermal ALD silicon oxide with 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane and ozone (prophetic).
Thermal atomic layer deposition of silicon oxide thin films is performed in a laboratory-scale ALD processing tool. A silicon precursor, 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane and ozone are delivered to the chamber by vapor inhalation. All gases (eg, purge and reactant gases or precursors and oxygen source) are preheated to 100° C. prior to entering the deposition zone. Gas and precursor flow rates are controlled with fast acting ALD diaphragm valves. The substrate used in the deposition is a 12 inch (30.48 cm) long silicon strip. A thermocouple is attached to the sample holder to check the substrate temperature. Deposition is performed using ozone as the oxygen source gas. Typical deposition methods and parameters are shown in Table 9. Repeat steps 1-6 until the desired thickness is achieved.

Figure 2023520226000020
300℃の堆積温度のため、堆積された薄膜のサイクル当たりの堆積量(GPC)は、2.5Å/サイクルより大きいと期待される。純酸化ケイ素薄膜を、XPSにより測定されるとき、<0.1原子%炭素及び<0.1原子%窒素不純物を含んで形成する。100℃の堆積温度のため、薄膜は、XPSにより測定されるとき、>10原子%の炭素含有率を含む炭素ドープ酸化ケイ素薄膜であると期待され、薄膜WERは、1:99(0.5質量%)希釈フッ化水素(HF)酸溶液を用いた熱酸化膜WERより小さいと期待される。300℃~650℃の温度における熱アニーリング又は水素プラズマ処理を用いて、薄膜は<3.5のk値を有すると期待される。
Figure 2023520226000020
For a deposition temperature of 300° C., the deposition per cycle (GPC) of the deposited thin film is expected to be greater than 2.5 Å/cycle. Pure silicon oxide thin films are formed containing <0.1 atomic % carbon and <0.1 atomic % nitrogen impurities as measured by XPS. For a deposition temperature of 100° C., the film is expected to be a carbon-doped silicon oxide film with a carbon content of >10 atomic % as measured by XPS, and the film WER is 1:99 (0.5 mass %) is expected to be smaller than that of the thermal oxide film WER using a dilute hydrofluoric (HF) acid solution. Using thermal annealing or hydrogen plasma treatment at temperatures between 300° C. and 650° C., the films are expected to have k values of <3.5.

本開示は特定の好ましい実施形態を参照して記載されているが、本発明の範囲を逸脱することなく、様々な変更を行ってよく、均等物はその要素の代わりになることを当業者は理解するだろう。加えて、その特定の状況又は材料を本発明の本質的な範囲から逸脱することなくその教示に適応させるように多くの修正を行ってよい。したがって、本発明は特定の実施形態に限定されないが、本発明は添付の特許請求の範囲内にある全ての実施形態を包含するだろうことが意図される。 Although the present disclosure has been described with reference to certain preferred embodiments, those skilled in the art will appreciate that various changes may be made and equivalents substituted for elements thereof without departing from the scope of the invention. will understand. In addition, many modifications may be made to adapt a particular situation or material to the teachings thereof without departing from the essential scope of the invention. Therefore, while the invention is not limited to any particular embodiment, it is intended that the invention will encompass all embodiments that fall within the scope of the appended claims.

Claims (14)

少なくとも1種の、有機アミノ官能基を有する環式オリゴシロキサン化合物を含む組成物であって、前記化合物は、式A~D:
Figure 2023520226000021
から成る群から選択され、前記式A~D中、
は、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され;
は、水素、C~C10直鎖アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され、
及びRは、結合して環式環構造を形成するか又は結合しないで環式環構造を形成しないかのいずれかであり;
3~11は、水素、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10アルケニル基、C~C10アルキニル基、C~C10アリール基、及び有機アミノ基、NR、R及びRは上記に定義されている、から成る群から各々独立して選択され;n=1、2、又は3、及びm=2又は3である、
化合物を含む組成物。
A composition comprising at least one cyclic oligosiloxane compound having an organic amino functional group, said compound having formulas AD:
Figure 2023520226000021
is selected from the group consisting of:
R 1 is linear C 1 -C 10 alkyl group, branched C 3 -C 10 alkyl group, C 3 -C 10 cyclic alkyl group, C 3 -C 10 heterocyclic group, C 3 -C 10 alkenyl C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups;
R 2 is hydrogen, C 1 -C 10 straight chain alkyl group, branched chain C 3 -C 10 alkyl group, C 3 -C 10 cyclic alkyl group, C 3 -C 10 heterocyclic group, C 3 -C selected from the group consisting of 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups;
R 1 and R 2 are either joined to form a cyclic ring structure or not joined to form a cyclic ring structure;
R 3-11 are hydrogen, straight chain C 1 -C 10 alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 2 -C 10 alkenyl groups, C 2 -C 10 alkynyl groups, C 4 -C 10 aryl groups, and organic amino groups, NR 1 R 2 , R 1 and R 2 are defined above; n=1, 2 or 3, and m = 2 or 3,
A composition comprising a compound.
前記組成物は、溶媒及びパージガスから成る群から選択される少なくとも1つを更に含む、請求項1に記載の組成物。 2. The composition of claim 1, wherein said composition further comprises at least one selected from the group consisting of solvent and purge gas. 3~9の各々は、水素及びC~Cアルキル基から成る群から独立して選択される、請求項1に記載の組成物。 The composition of claim 1, wherein each of R 3-9 is independently selected from the group consisting of hydrogen and C 1 -C 4 alkyl groups. は、C~C10環式アルキル基及びC~C10アリール基から成る群から選択される、請求項1に記載の組成物。 The composition of claim 1, wherein R 1 is selected from the group consisting of C 3 -C 10 cyclic alkyl groups and C 4 -C 10 aryl groups. 前記組成物は、ハロゲン化物、金属イオン、金属、及びこれらの組合せから成る群から選択される1つ以上の不純物を実質的に含まない、請求項1に記載の組成物。 2. The composition of claim 1, wherein said composition is substantially free of one or more impurities selected from the group consisting of halides, metal ions, metals, and combinations thereof. 前記有機アミノ官能基を有する環式オリゴシロキサン化合物は、2,4-ビス(ジメチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(ジメチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(ジメチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(ジメチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-ジメチルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(メチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(メチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(メチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(メチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-メチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-メチルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(iso-プロピルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-iso-プロピルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-iso-プロピルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(N-エチルメチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-(N-エチルメチルアミノ)-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-(N-エチルメチルアミノ)-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(ジエチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-ジエチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-ジエチルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4,6-トリス(ジメチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4,6,8-テトラキス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4,6-トリス(メチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4,6,8-テトラキス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンから成る群から選択される、請求項1に記載の組成物。 The cyclic oligosiloxane compound having an organic amino functional group includes 2,4-bis(dimethylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(dimethylamino)-2,4,6 ,6-tetramethylcyclotrisiloxane, 2,4-bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(dimethylamino)-2,4,6,6 ,8,8-hexamethylcyclotetrasiloxane, 2,6-bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(dimethylamino)-2,4,4 ,6,8,8-hexamethylcyclotetrasiloxane, 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane, 2-dimethylamino-2,4,4,6,6,8 , 8,10,10-nonamethylcyclopentasiloxane, 2,4-bis(methylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(methylamino)-2,4,6, 6-tetramethylcyclotrisiloxane, 2,4-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(methylamino)-2,4,6,6, 8,8-hexamethylcyclotetrasiloxane, 2,6-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(methylamino)-2,4,4, 6,8,8-hexamethylcyclotetrasiloxane, 2-methylamino-2,4,6,8,10-pentamethylcyclopentasiloxane, 2-methylamino-2,4,4,6,6,8, 8,10,10-nonamethylcyclopentasiloxane, 2,4-bis(iso-propylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(iso-propylamino)-2,4 , 6,6-tetramethylcyclotrisiloxane, 2,4-bis(iso-propylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(iso-propylamino)-2 , 4,6,6,8,8-hexamethylcyclotetrasiloxane, 2,6-bis(iso-propylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(iso -propylamino)-2,4,4,6,8,8-hexamethylcyclotetrasiloxane, 2-iso-propylamino-2,4,6,8,10-pentamethylcyclopentasiloxane, 2-iso- Propylamino-2,4,4,6,6,8,8,10,10-nonamethylcyclopentasiloxane, 2,4-bis(N-ethylmethylamino)-2,4,6-trimethylcyclotrisiloxane , 2,4-bis(N-ethylmethylamino)-2,4,6,6-tetramethylcyclotrisiloxane, 2,4-bis(N-ethylmethylamino)-2,4,6,8-tetra Methylcyclotetrasiloxane, 2,4-bis(N-ethylmethylamino)-2,4,6,6,8,8-hexamethylcyclotetrasiloxane, 2,6-bis(N-ethylmethylamino)-2 ,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(N-ethylmethylamino)-2,4,4,6,8,8-hexamethylcyclotetrasiloxane, 2-(N-ethyl methylamino)-2,4,6,8,10-pentamethylcyclopentasiloxane, 2-(N-ethylmethylamino)-2,4,4,6,6,8,8,10,10-nonamethyl Cyclopentasiloxane, 2,4-bis(diethylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(diethylamino)-2,4,6,6-tetramethylcyclotrisiloxane, 2,4 -bis(diethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(diethylamino)-2,4,6,6,8,8-hexamethylcyclotetrasiloxane, 2,6 -bis(diethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(diethylamino)-2,4,4,6,8,8-hexamethylcyclotetrasiloxane, 2-diethylamino -2,4,6,8,10-pentamethylcyclopentasiloxane, 2-diethylamino-2,4,4,6,6,8,8,10,10-nonamethylcyclopentasiloxane, 2,4,6 -tris(dimethylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4,6,8-tetrakis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4, from the group consisting of 6-tris(methylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4,6,8-tetrakis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane The composition of claim 1, selected. 基材上へのケイ素及び酸素を含む薄膜の堆積方法であって、前記方法は、
a)反応器内に基材を準備する工程と;
b)式A~D:
Figure 2023520226000022
から成る群から選択される少なくとも1種のシリコン前駆体化合物であって、前記式A~D中、
は、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され;
は、水素、C~C10直鎖アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10複素環式基、C~C10アルケニル基、C~C10アルキニル基、及びC~C10アリール基から成る群から選択され、
及びRは、結合して環式環構造を形成するか又は結合しないで環式環構造を形成しないかのいずれかであり;
3~11は、水素、直鎖C~C10アルキル基、分岐鎖C~C10アルキル基、C~C10環式アルキル基、C~C10アルケニル基、C~C10アルキニル基、C~C10アリール基、及び有機アミノ基、NR、R及びRは上記に定義されている、から成る群から各々独立して選択され;n=1、2、又は3、及びm=2又は3である、
少なくとも1種のシリコン前駆体化合物を前記反応器内に導入する工程と;
c)前記反応器をパージガスでパージする工程と;
d)前記反応器内に酸素含有原料及び窒素含有原料のうち少なくとも1つを導入する工程と;
e)前記反応器を前記パージガスでパージする工程と、
を含み、
薄膜の所望の厚さが堆積されるまで工程bから工程eを反復し;前記方法を、約25℃~600℃の範囲の1つ以上の温度で行う、
方法。
A method of depositing a thin film comprising silicon and oxygen on a substrate, the method comprising:
a) providing a substrate in a reactor;
b) Formulas AD:
Figure 2023520226000022
At least one silicon precursor compound selected from the group consisting of:
R 1 is linear C 1 -C 10 alkyl group, branched C 3 -C 10 alkyl group, C 3 -C 10 cyclic alkyl group, C 3 -C 10 heterocyclic group, C 3 -C 10 alkenyl C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups;
R 2 is hydrogen, C 1 -C 10 straight chain alkyl group, branched chain C 3 -C 10 alkyl group, C 3 -C 10 cyclic alkyl group, C 3 -C 10 heterocyclic group, C 3 -C selected from the group consisting of 10 alkenyl groups, C 3 -C 10 alkynyl groups, and C 4 -C 10 aryl groups;
R 1 and R 2 are either joined to form a cyclic ring structure or not joined to form a cyclic ring structure;
R 3-11 are hydrogen, straight chain C 1 -C 10 alkyl groups, branched chain C 3 -C 10 alkyl groups, C 3 -C 10 cyclic alkyl groups, C 2 -C 10 alkenyl groups, C 2 -C 10 alkynyl groups, C 4 -C 10 aryl groups, and organic amino groups, NR 1 R 2 , R 1 and R 2 are defined above; n=1, 2 or 3, and m = 2 or 3,
introducing at least one silicon precursor compound into the reactor;
c) purging the reactor with a purge gas;
d) introducing at least one of an oxygen-containing feedstock and a nitrogen-containing feedstock into the reactor;
e) purging the reactor with the purge gas;
including
repeating steps b through e until the desired thickness of the thin film is deposited; performing the method at one or more temperatures ranging from about 25°C to 600°C;
Method.
3~9の各々は、水素及びC~Cアルキル基から成る群から独立して選択される、請求項7に記載の方法。 8. The method of claim 7, wherein each of R 3-9 is independently selected from the group consisting of hydrogen and C 1 -C 4 alkyl groups. は、C~C10環式アルキル基及びC~C10アリール基から成る群から選択される、請求項7に記載の方法。 8. The method of claim 7, wherein R 1 is selected from the group consisting of C 3 -C 10 cyclic alkyl groups and C 4 -C 10 aryl groups. 前記少なくとも1種のシリコン前駆体化合物は、2,4-ビス(ジメチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(ジメチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(ジメチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(ジメチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-ジメチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-ジメチルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(メチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(メチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(メチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(メチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-メチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-メチルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(iso-プロピルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(iso-プロピルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(iso-プロピルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-iso-プロピルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-iso-プロピルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(N-エチルメチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(N-エチルメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(N-エチルメチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-(N-エチルメチルアミノ)-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-(N-エチルメチルアミノ)-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6,6-テトラメチルシクロトリシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4-ビス(ジエチルアミノ)-2,4,6,6,8,8-ヘキサメチルシクロテトラシロキサン、2,6-ビス(ジエチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,6-ビス(ジエチルアミノ)-2,4,4,6,8,8-ヘキサメチルシクロテトラシロキサン、2-ジエチルアミノ-2,4,6,8,10-ペンタメチルシクロペンタシロキサン、2-ジエチルアミノ-2,4,4,6,6,8,8,10,10-ノナメチルシクロペンタシロキサン、2,4,6-トリス(ジメチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4,6,8-テトラキス(ジメチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサン、2,4,6-トリス(メチルアミノ)-2,4,6-トリメチルシクロトリシロキサン、2,4,6,8-テトラキス(メチルアミノ)-2,4,6,8-テトラメチルシクロテトラシロキサンから成る群から選択される、請求項7に記載の方法。 The at least one silicon precursor compound is 2,4-bis(dimethylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(dimethylamino)-2,4,6,6- tetramethylcyclotrisiloxane, 2,4-bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(dimethylamino)-2,4,6,6,8, 8-hexamethylcyclotetrasiloxane, 2,6-bis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(dimethylamino)-2,4,4,6, 8,8-hexamethylcyclotetrasiloxane, 2-dimethylamino-2,4,6,8,10-pentamethylcyclopentasiloxane, 2-dimethylamino-2,4,4,6,6,8,8, 10,10-nonamethylcyclopentasiloxane, 2,4-bis(methylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(methylamino)-2,4,6,6-tetra Methylcyclotrisiloxane, 2,4-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(methylamino)-2,4,6,6,8,8 -hexamethylcyclotetrasiloxane, 2,6-bis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(methylamino)-2,4,4,6,8 ,8-hexamethylcyclotetrasiloxane, 2-methylamino-2,4,6,8,10-pentamethylcyclopentasiloxane, 2-methylamino-2,4,4,6,6,8,8,10 , 10-nonamethylcyclopentasiloxane, 2,4-bis(iso-propylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(iso-propylamino)-2,4,6, 6-tetramethylcyclotrisiloxane, 2,4-bis(iso-propylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(iso-propylamino)-2,4, 6,6,8,8-hexamethylcyclotetrasiloxane, 2,6-bis(iso-propylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(iso-propylamino )-2,4,4,6,8,8-hexamethylcyclotetrasiloxane, 2-iso-propylamino-2,4,6,8,10-pentamethylcyclopentasiloxane, 2-iso-propylamino- 2,4,4,6,6,8,8,10,10-nonamethylcyclopentasiloxane, 2,4-bis(N-ethylmethylamino)-2,4,6-trimethylcyclotrisiloxane, 2, 4-bis(N-ethylmethylamino)-2,4,6,6-tetramethylcyclotrisiloxane, 2,4-bis(N-ethylmethylamino)-2,4,6,8-tetramethylcyclotetra siloxane, 2,4-bis(N-ethylmethylamino)-2,4,6,6,8,8-hexamethylcyclotetrasiloxane, 2,6-bis(N-ethylmethylamino)-2,4, 6,8-tetramethylcyclotetrasiloxane, 2,6-bis(N-ethylmethylamino)-2,4,4,6,8,8-hexamethylcyclotetrasiloxane, 2-(N-ethylmethylamino) -2,4,6,8,10-pentamethylcyclopentasiloxane, 2-(N-ethylmethylamino)-2,4,4,6,6,8,8,10,10-nonamethylcyclopentasiloxane , 2,4-bis(diethylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4-bis(diethylamino)-2,4,6,6-tetramethylcyclotrisiloxane, 2,4-bis( diethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4-bis(diethylamino)-2,4,6,6,8,8-hexamethylcyclotetrasiloxane, 2,6-bis( diethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,6-bis(diethylamino)-2,4,4,6,8,8-hexamethylcyclotetrasiloxane, 2-diethylamino-2, 4,6,8,10-pentamethylcyclopentasiloxane, 2-diethylamino-2,4,4,6,6,8,8,10,10-nonamethylcyclopentasiloxane, 2,4,6-tris ( dimethylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4,6,8-tetrakis(dimethylamino)-2,4,6,8-tetramethylcyclotetrasiloxane, 2,4,6-tris selected from the group consisting of (methylamino)-2,4,6-trimethylcyclotrisiloxane, 2,4,6,8-tetrakis(methylamino)-2,4,6,8-tetramethylcyclotetrasiloxane 8. The method of claim 7. ケイ素及び酸素含有薄膜であって、次の特性:少なくとも約2.1g/ccの密度;水に対するHFが1:100である希釈HF(0.5質量%dHF)酸溶液中で測定されたとき、約2.5Å/秒未満の湿式エッチング速度;6MV/cm以下において約1×10-8A/cm未満の漏電;及び二次イオン質量分析(SIMS)により測定されたとき、約5×1020原子/cc未満の水素不純物のうち少なくとも1つを含む、ケイ素及び酸素含有薄膜。 A silicon and oxygen containing thin film having the following properties: a density of at least about 2.1 g/cc; when measured in a diluted HF (0.5 wt% dHF) acid solution of 1:100 HF to water. , a wet etch rate of less than about 2.5 Å/sec; a current leakage of less than about 1×10 −8 A/cm 2 at 6 MV/cm or less; and about 5× as measured by secondary ion mass spectrometry (SIMS). A silicon and oxygen containing thin film containing at least one hydrogen impurity less than 1020 atoms/cc. 請求項1に記載の組成物を収容するステンレス鋼容器。 A stainless steel container containing the composition of claim 1. ヘリウム、アルゴン、窒素及びこれらの組合せから選択される不活性ヘッドスペースガスを更に含む、請求項12に記載のステンレス鋼容器。 13. The stainless steel container of Claim 12, further comprising an inert headspace gas selected from helium, argon, nitrogen and combinations thereof. 前記シリコン前駆体化合物は、溶媒及び不活性ガスから成る群から選択される少なくとも1つを更に含む、請求項7に記載の方法。 8. The method of claim 7, wherein the silicon precursor compound further comprises at least one selected from the group consisting of solvent and inert gas.
JP2022560058A 2020-04-02 2020-09-25 Cyclic oligosiloxanes with organoamino functional groups for the deposition of silicon-containing thin films Pending JP2023520226A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16/838,997 2020-04-02
US16/838,997 US20200317702A1 (en) 2019-04-05 2020-04-02 Organoamino Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US17/030,187 US20210017198A1 (en) 2019-04-05 2020-09-23 Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US17/030,187 2020-09-23
PCT/US2020/052635 WO2021201910A1 (en) 2020-04-02 2020-09-25 Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films

Publications (1)

Publication Number Publication Date
JP2023520226A true JP2023520226A (en) 2023-05-16

Family

ID=77928485

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022560058A Pending JP2023520226A (en) 2020-04-02 2020-09-25 Cyclic oligosiloxanes with organoamino functional groups for the deposition of silicon-containing thin films

Country Status (5)

Country Link
EP (1) EP4110968A4 (en)
JP (1) JP2023520226A (en)
KR (1) KR20220163999A (en)
CN (1) CN115443347A (en)
WO (1) WO2021201910A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230265562A1 (en) * 2022-02-22 2023-08-24 Applied Materials, Inc. Stable silicon oxynitride layers and processes of making them

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (en) 1990-01-11 1997-05-28 株式会社東芝 Liquid source container
JPH05279856A (en) * 1992-03-31 1993-10-26 Nec Corp Vapor growth method
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
EP0953064B1 (en) 1996-12-17 2007-09-12 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2009006272A1 (en) 2007-06-28 2009-01-08 Advanced Technology Materials, Inc. Precursors for silicon dioxide gap fill
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6267800B2 (en) 2014-01-08 2018-01-24 ディーエヌエフ カンパニー リミテッドDNF Co. Ltd. Novel cyclodisilazane derivative, production method thereof, and silicon-containing thin film using the same
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314962A1 (en) * 2016-06-30 2016-10-27 American Air Liquide, Inc. Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US20200317702A1 (en) * 2019-04-05 2020-10-08 Versum Materials Us, Llc Organoamino Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films

Also Published As

Publication number Publication date
CN115443347A (en) 2022-12-06
EP4110968A4 (en) 2023-09-13
KR20220163999A (en) 2022-12-12
EP4110968A1 (en) 2023-01-04
WO2021201910A1 (en) 2021-10-07

Similar Documents

Publication Publication Date Title
JP7141426B2 (en) Organic amino-functionalized linear and cyclic oligosiloxanes for the deposition of silicon-containing films
US11098069B2 (en) Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR102270182B1 (en) Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US11912730B2 (en) Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP2023520226A (en) Cyclic oligosiloxanes with organoamino functional groups for the deposition of silicon-containing thin films
TWI771760B (en) Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films and method for depositing a film comprising silicon and oxygen onto a subtrate
KR20220025220A (en) Organoamino-polysiloxanes for deposition of silicon-containing films
US12018040B2 (en) Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230914