JP2023507111A - High density plasma chemical vapor deposition chamber - Google Patents

High density plasma chemical vapor deposition chamber Download PDF

Info

Publication number
JP2023507111A
JP2023507111A JP2022536555A JP2022536555A JP2023507111A JP 2023507111 A JP2023507111 A JP 2023507111A JP 2022536555 A JP2022536555 A JP 2022536555A JP 2022536555 A JP2022536555 A JP 2022536555A JP 2023507111 A JP2023507111 A JP 2023507111A
Authority
JP
Japan
Prior art keywords
showerhead
gas
chamber
perforated
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022536555A
Other languages
Japanese (ja)
Inventor
スレンドラ カニミハリー セティ,
テギョン ウォン,
ガンウェイ サン,
スー ヤン チェ,
ヤン トン リ,
隆之 松本
サンジェイ ディー. ヤーダヴ,
ロビン, エル. ティナー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023507111A publication Critical patent/JP2023507111A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

本開示は、堆積チャンバ用のシャワーヘッドのための方法及び装置に関する。一実施形態では、複数の支持部材のうちの1又は複数に各々結合された複数の有孔タイルと、シャワーヘッド内の複数の誘導結合器とを含み、複数の誘導結合器のうちの1つの誘導結合器は複数の有孔タイルのうちの1つに対応し、支持部材は、誘導結合器と有孔タイルとの間に形成される領域に前駆体ガスを供給するプラズマ堆積チャンバ用シャワーヘッドが提供される。【選択図】図2AThe present disclosure relates to methods and apparatus for showerheads for deposition chambers. One embodiment includes a plurality of perforated tiles each coupled to one or more of the plurality of support members and a plurality of inductive couplers in the showerhead, the plurality of inductive couplers in the showerhead. The inductive coupler corresponds to one of the plurality of perforated tiles, and the support member is configured to provide a showerhead for a plasma deposition chamber that supplies a precursor gas to a region formed between the inductive coupler and the perforated tile. is provided. [Selection diagram] Figure 2A

Description

[0001]本開示の実施形態は、概して、大面積基板を処理するための装置に関するものである。より具体的には、本開示の実施形態は、デバイス製造のための化学気相堆積システムに関するものである。 [0001] Embodiments of the present disclosure generally relate to apparatus for processing large area substrates. More specifically, embodiments of the present disclosure relate to chemical vapor deposition systems for device fabrication.

[0002]ソーラーパネル又はフラットパネルディスプレイの製造において、半導体基板、ソーラーパネル基板、及び液晶ディスプレイ(LCD)及び/又は有機発光ダイオード(OLED)基板等の基板に薄膜を堆積させ、その上に電子デバイスを形成する多くのプロセスが採用される。堆積は、概して、温度制御された基板支持体に配置された基板を有する真空チャンバに前駆体ガスを導入することによって実現される。前駆体ガスは、通常、真空チャンバの上部付近に位置するガス分配プレートを通して方向づけられる。真空チャンバの前駆体ガスは、チャンバに結合された1又は複数のRF源からチャンバに配置された導電性シャワーヘッドに高周波(RF)電力を印加することによって、プラズマに通電(例えば、励起)され得る。励起されたガスは反応して、温度制御された基板支持体上に位置決めされた基板の表面に材料の層を形成する。 [0002] In the manufacture of solar panels or flat panel displays, thin films are deposited on substrates, such as semiconductor substrates, solar panel substrates, and liquid crystal display (LCD) and/or organic light emitting diode (OLED) substrates, on which electronic devices are fabricated. Many processes are employed to form the Deposition is generally accomplished by introducing precursor gases into a vacuum chamber with the substrate positioned on a temperature-controlled substrate support. Precursor gases are typically directed through a gas distribution plate located near the top of the vacuum chamber. A precursor gas in the vacuum chamber is energized (e.g., excited) into a plasma by applying radio frequency (RF) power from one or more RF sources coupled to the chamber to a conductive showerhead located in the chamber. obtain. The excited gas reacts to form a layer of material on the surface of the substrate positioned on the temperature-controlled substrate support.

[0003]電子デバイスを形成するための基板のサイズは、現在、表面積で1平方メートルを超えることが日常的になっている。このような基板全体の膜厚の均一性を達成することは困難である。膜厚の均一性は、基板サイズが大きくなるにつれて更に困難となる。従来、プラズマは、ガス原子をイオン化し、ラジカルを形成するための従来のチャンバで形成され、堆積ガスのラジカルは、容量結合電極構成を使用して、このサイズの基板への膜層の堆積に有用である。最近では、丸い基板やウェハへの堆積に利用されてきた誘導結合プラズマ構成を、このような大きい基板への堆積プロセスに利用することが検討されている。しかしながら、誘導結合は、構造支持部品として誘電体材料を利用し、これらの材料は、大気側のチャンバの大面積構造部分の片側に対する大気圧の存在によって生じる構造負荷に耐え、このような大きい基板に対して従来のチャンバで使用される、その他の側の真空圧力条件に耐える構造的強度を有していない。そのため、大面積基板プラズマプロセスのための誘導結合プラズマシステムが開発されつつある。しかし、プロセスの均一性、例えば、大面積基板全体での堆積厚さの均一性は、望ましくない。 [0003] Substrate sizes for forming electronic devices are now routinely exceeding one square meter in surface area. It is difficult to achieve such film thickness uniformity over the entire substrate. Film thickness uniformity becomes more difficult as the substrate size increases. Conventionally, a plasma is formed in a conventional chamber to ionize gas atoms and form radicals, and the radicals of the deposition gas are used to deposit film layers on substrates of this size using a capacitively coupled electrode configuration. Useful. Recently, inductively coupled plasma configurations, which have been used for deposition on round substrates and wafers, have been explored for the deposition process on such large substrates. However, inductive coupling utilizes dielectric materials as structural support components, and these materials withstand the structural loads caused by the presence of atmospheric pressure on one side of the large-area structural portion of the air-side chamber, such large substrates. does not have the structural strength to withstand the other side vacuum pressure conditions used in conventional chambers. Therefore, inductively coupled plasma systems are being developed for large area substrate plasma processing. However, process uniformity, eg, deposition thickness uniformity across large area substrates, is undesirable.

[0004]したがって、基板の堆積表面全体の膜厚均一性を改善するように構成された、大面積基板に使用するための誘導結合プラズマ源が必要である。 [0004] Accordingly, there is a need for an inductively coupled plasma source for use with large area substrates that is configured to improve film thickness uniformity across the deposition surface of the substrate.

[0005]本開示の実施形態は、大面積基板に膜の1又は複数の層を形成することができる、シャワーヘッド、及びシャワーヘッドを有するプラズマ堆積チャンバのための方法及び装置を含む。 [0005] Embodiments of the present disclosure include methods and apparatus for showerheads and plasma deposition chambers having showerheads capable of forming one or more layers of films on large area substrates.

[0006]一実施形態では、複数の支持部材のうちの1又は複数に各々結合された複数の有孔タイルと、シャワーヘッド内の複数の誘導結合器とを含み、複数の誘導結合器のうちの1つの誘導結合器は複数の有孔タイルのうちの1つに対応し、支持部材は、誘導結合器と有孔タイルとの間に形成される領域に前駆体ガスを供給する、プラズマ堆積チャンバ用シャワーヘッドが提供される。 [0006] In one embodiment, including a plurality of perforated tiles each coupled to one or more of the plurality of support members and a plurality of inductive couplers in the showerhead, wherein of the plurality of inductive couplers: one inductive coupler corresponds to one of the plurality of perforated tiles, and the support member supplies a precursor gas to a region formed between the inductive coupler and the perforated tile for plasma deposition. A chamber showerhead is provided.

[0007]別の実施形態では、複数の有孔タイルを有するシャワーヘッドと、複数の有孔タイルの1又は複数に対応する誘導結合器と、各有孔タイルを支持するための複数の支持部材とを含み、支持部材の1又は複数が誘導結合器と有孔タイルとの間に形成される領域に前駆体ガスを供給する、プラズマ堆積チャンバが提供される。 [0007] In another embodiment, a showerhead having a plurality of perforated tiles, an inductive coupler corresponding to one or more of the plurality of perforated tiles, and a plurality of support members for supporting each of the perforated tiles. and supplying a precursor gas to a region where one or more of the support members are formed between the inductive coupler and the perforated tile.

[0008]別の実施形態では、複数の支持部材のうちの1又は複数に各々結合された複数の有孔タイルを有するシャワーヘッドと、複数の誘電体プレートであって、複数の誘電体プレートのうちの1つは複数の有孔タイルのうちの1つに対応する、複数の誘電体プレートと、複数の誘導結合器であって、複数の誘導結合器のうちの1つの誘導結合器は複数の誘電体プレートのうちの1つに対応し、支持部材は、誘導結合器と有孔タイルとの間に形成される領域に前駆体ガスを供給する、複数の誘導結合器とを含む、プラズマ堆積チャンバが提供される。 [0008] In another embodiment, a showerhead having a plurality of perforated tiles each coupled to one or more of the plurality of support members and a plurality of dielectric plates, wherein the plurality of dielectric plates a plurality of dielectric plates, one of which corresponds to one of the plurality of perforated tiles, and a plurality of inductive couplers, wherein one inductive coupler of the plurality of inductive couplers is a plurality of and the support member includes a plurality of inductive couplers that supply precursor gases to regions formed between the inductive couplers and the perforated tiles. A deposition chamber is provided.

[0009]別の実施形態では、シャワーヘッドの複数のガス領域に前駆体ガスを流すことであって、ガス領域は各々、有孔タイルと、それぞれのガス領域と電気的に連絡する誘導結合器とを含む、シャワーヘッドの複数のガス領域に前駆体ガスを流すことと、各ガス領域内への前駆体ガスの流れを変化させることとを含む、基板に膜を堆積させる方法が開示されている。 [0009] In another embodiment, the precursor gas is flowed through multiple gas regions of the showerhead, each gas region having a perforated tile and an inductive coupler in electrical communication with the respective gas region. and varying the flow of the precursor gas into each gas region of the showerhead. there is

[0010]上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に要約した本開示をより具体的に説明する。しかし、添付の図面は本開示の典型的な実施形態を単に示すものであり、したがって、本開示の範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうることに留意されたい。 [0010] So that the features of the disclosure described above may be understood in detail, the disclosure summarized above will now be described more particularly with reference to embodiments, some of which are illustrated in the accompanying drawings. However, the accompanying drawings merely depict exemplary embodiments of the disclosure and are therefore not to be considered limiting of the scope of the disclosure as the disclosure allows for other equally effective embodiments. Note that you can

本開示の一実施形態に係る、例示的な処理チャンバを示す断面側面図である。1 is a cross-sectional side view of an exemplary processing chamber, in accordance with one embodiment of the present disclosure; FIG. 図1のリッドアセンブリの一部の拡大図である。2 is an enlarged view of a portion of the lid assembly of FIG. 1; FIG. コイルの一実施形態の上面図である。FIG. 10 is a top view of one embodiment of a coil; シャワーヘッドの面板の一実施形態の底面図である。FIG. 2B is a bottom view of one embodiment of the faceplate of the showerhead. シャワーヘッドの面板の別の実施形態の部分底面図である。FIG. 10 is a partial bottom view of another embodiment of the faceplate of the showerhead; シャワーヘッドの流量制御の別の実施形態を示す概略底面図である。FIG. 10 is a schematic bottom view of another embodiment of flow control for a showerhead; シャワーヘッド用の支持フレームの断面図である。Fig. 3 is a cross-sectional view of a support frame for a showerhead; 本明細書に記載のシャワーヘッドと共に使用され得る入り口の一実施形態の概略断面図である。1 is a schematic cross-sectional view of one embodiment of an inlet that may be used with the showerheads described herein; FIG. 本明細書に記載のシャワーヘッド用の有孔タイルの平面図である。1 is a plan view of a perforated tile for the showerheads described herein; FIG. 図7Aの有孔タイルに形成された開口部の1つを示す概略断面図である。7B is a schematic cross-sectional view showing one of the openings formed in the perforated tile of FIG. 7A; FIG.

[0021]理解を容易にするために、可能な限り、図面に共通の同一要素を示すのに同一の参照番号を使用している。一実施形態に開示される要素は、さらなる詳述なしに他の実施形態に有益に利用され得ると考えられる。 [0021] To facilitate understanding, where possible, identical reference numbers are used to designate identical elements that are common to the drawings. It is believed that elements disclosed in one embodiment may be beneficially utilized in other embodiments without further elaboration.

[0022]本開示の実施形態は、大面積基板に複数の層を堆積させるように動作可能な処理システムを含む。本明細書で使用する大面積基板は、典型的には約1平方メートル以上の表面積を有する基板等、大きい表面積を有する主要な側面を有する基板である。しかし、基板は、いかなる特定のサイズ又は形状にも限定されない。一態様において、用語「基板」は、例えばフラットパネルディスプレイの製造に使用されるガラス基板又はポリマー基板等、任意の多角形、正方形、長方形、曲線、又はその他の非円形のワークピースを意味する。 [0022] Embodiments of the present disclosure include processing systems operable to deposit multiple layers on large area substrates. A large area substrate, as used herein, is typically a substrate having major sides with a large surface area, such as a substrate having a surface area of about 1 square meter or more. However, the substrate is not limited to any particular size or shape. In one aspect, the term "substrate" means any polygonal, square, rectangular, curved, or other non-circular workpiece, such as, for example, glass substrates or polymer substrates used in the manufacture of flat panel displays.

[0023]本書では、シャワーヘッドは、処理ゾーン内のガスに暴露される基板の表面の処理の均一性を改善するために、独立して制御される多数のゾーンのチャンバの処理領域内に、シャワーヘッドを通してガスを流すように構成される。更に、各ゾーンは、プレナムと、プレナムとチャンバの処理領域との間の1又は複数の有孔プレートと、ゾーン又は個々の有孔プレート専用のコイル又はコイルの一部とを備えて構成される。プレナムは、誘電体窓、有孔プレート、及び周囲の構造の間に形成される。各プレナムは、処理ガス(複数可)をそこに流入させて分配し、有孔プレートを通して処理領域の中に比較的均一な流量、又は場合によっては調整された流量をもたらすように構成される。幾つかの実施形態におけるプレナムは、プレナム内の処理ガスの圧力で処理ガス(複数可)から形成されるプラズマの暗黒空間の厚さの2倍未満の厚さを有する。幾つかの実施形態においてコイルの形状をした誘導結合器は、誘電体窓の背後に位置決めされ、誘電体窓、プレナム、及び有孔プレートを通してエネルギーを誘導結合し、処理領域内のプラズマに衝突し、それを支持する。加えて、隣接する有孔プレート間の領域では、追加のプロセスガス流が供給される。各ゾーン及び有孔プレート間の領域を通るプロセスガス(複数可)の流れは、基板上で所望のプロセス結果を得るために、均一又は調整されたガス流になるように制御される。 [0023] Herein, the showerhead is provided within the processing region of the chamber in multiple independently controlled zones to improve the processing uniformity of the surface of the substrate exposed to the gas within the processing zone. Configured to flow gas through the showerhead. Further, each zone is configured with a plenum, one or more perforated plates between the plenum and the processing area of the chamber, and a coil or portion of the coil dedicated to the zone or individual perforated plates. . A plenum is formed between the dielectric window, the perforated plate, and the surrounding structure. Each plenum is configured to flow and distribute the process gas(es) therein to provide a relatively uniform flow rate, or possibly a regulated flow rate, through the perforated plate and into the processing region. The plenum in some embodiments has a thickness less than twice the thickness of the plasma dark space formed from the process gas(es) at the pressure of the process gas in the plenum. An inductive coupler, in the form of a coil in some embodiments, is positioned behind the dielectric window and inductively couples energy through the dielectric window, plenum, and perforated plate to impinge on the plasma within the processing region. , uphold it. In addition, additional process gas flow is provided in the regions between adjacent perforated plates. The flow of process gas(es) through each zone and the region between the perforated plates is controlled for uniform or regulated gas flow to achieve desired process results on the substrate.

[0024]本開示の実施形態は、基板に1又は複数の層又は膜を形成するように動作可能な高密度プラズマ化学気相堆積(HDP CVD)処理チャンバを含む。本明細書に開示される処理チャンバは、プラズマ内で生成される前駆体ガスのエネルギー供給された種を送達するように適合される。プラズマは、真空下でエネルギーをガスに誘導結合させることによって生成され得る。本明細書に開示される実施形態は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社の子会社であるAKT America社から入手可能なチャンバでの使用に適合され得る。本明細書に記載の実施形態は、他の製造業者から入手可能なチャンバでも同様に実施され得ることを理解されたい。 [0024] Embodiments of the present disclosure include high density plasma chemical vapor deposition (HDP CVD) processing chambers operable to form one or more layers or films on a substrate. The processing chambers disclosed herein are adapted to deliver energized species of precursor gases generated within a plasma. A plasma can be generated by inductively coupling energy into a gas under vacuum. Embodiments disclosed herein may be adapted for use with chambers available from AKT America, Inc., a subsidiary of Applied Materials, Inc., Santa Clara, California. It should be appreciated that the embodiments described herein may be implemented with chambers available from other manufacturers as well.

[0025]図1は、本開示の一実施形態に係る、例示的な処理チャンバ100を示す断面側面図である。例示的な基板102が、チャンバ本体104内に示されている。処理チャンバ100は、リッドアセンブリ106と、ペデスタル又は基板支持アセンブリ108も含む。リッドアセンブリ106は、チャンバ本体104の上端に配置され、基板支持アセンブリ108は、チャンバ本体104内に少なくとも部分的に配置される。基板支持アセンブリ108は、シャフト110に結合されている。シャフト110は、チャンバ本体104内で基板支持アセンブリ108を垂直(Z方向)に移動させるドライバ112に結合されている。図1に示す処理チャンバ100の基板支持アセンブリ108は、処理位置にある。しかし、基板支持アセンブリ108は、移送ポート114に隣接する位置までZ方向に下降し得る。下降すると、基板支持アセンブリ108に移動可能に配置されているリフトピン116が、チャンバ本体104の底部118に接触する。リフトピン116が底部118に接触すると、リフトピン116は、もはや基板支持アセンブリ108と共に下方に移動することができず、基板支持アセンブリ108の基板受け面120がそこから下方に移動すると、基板102を相対的に固定位置に維持する。その後、エンドエフェクタ又はロボットブレード(図示せず)が移送ポート114を通して、基板102と基板受け面120との間に挿入され、基板102をチャンバ本体104の外に移送する。 [0025] Figure 1 illustrates a cross-sectional side view of an exemplary processing chamber 100, according to one embodiment of the present disclosure. An exemplary substrate 102 is shown within the chamber body 104 . Processing chamber 100 also includes lid assembly 106 and pedestal or substrate support assembly 108 . A lid assembly 106 is positioned at the upper end of the chamber body 104 and a substrate support assembly 108 is positioned at least partially within the chamber body 104 . Substrate support assembly 108 is coupled to shaft 110 . Shaft 110 is coupled to driver 112 that moves substrate support assembly 108 vertically (Z-direction) within chamber body 104 . The substrate support assembly 108 of the processing chamber 100 shown in FIG. 1 is in the processing position. However, substrate support assembly 108 may be lowered in the Z direction to a position adjacent transfer port 114 . When lowered, lift pins 116 movably disposed on substrate support assembly 108 contact bottom 118 of chamber body 104 . When the lift pins 116 contact the bottom portion 118, the lift pins 116 can no longer move downward with the substrate support assembly 108, and when the substrate receiving surface 120 of the substrate support assembly 108 moves downward therefrom, the substrate 102 moves downward. to maintain a fixed position. An end effector or robot blade (not shown) is then inserted through transfer port 114 between substrate 102 and substrate receiving surface 120 to transfer substrate 102 out of chamber body 104 .

[0026]リッドアセンブリ106は、チャンバ本体104上に静止するバッキングプレート122を含み得る。リッドアセンブリ106は、ガス分配アセンブリ又はシャワーヘッド124も含む。シャワーヘッド124は、ガス源からシャワーヘッド124と基板102との間の処理領域126にプロセスガスを送達する。シャワーヘッド124は、フッ素含有ガス等の洗浄ガスを処理領域126に供給する洗浄ガス源にも結合されている。 [0026] Lid assembly 106 may include a backing plate 122 that rests on chamber body 104 . Lid assembly 106 also includes a gas distribution assembly or showerhead 124 . Showerhead 124 delivers process gases from a gas source to a processing region 126 between showerhead 124 and substrate 102 . Showerhead 124 is also coupled to a cleaning gas source that provides a cleaning gas, such as a fluorine-containing gas, to process region 126 .

[0027]シャワーヘッド124は、プラズマ源128としても機能する。プラズマ源128として機能するために、シャワーヘッド124は、1又は複数の誘導結合プラズマ生成部品、又はコイル130を含む。1又は複数のコイル130は各々、単一のコイル130、2つのコイル130、又は3つ以上のコイル130であってよく、以下では単にコイル130と記載する。1又は複数のコイル130は各々、電源とグラウンド133にわたって結合される。シャワーヘッド124は、複数の離散的な有孔タイル134を含む面板132も含む。電源は、コイル130の電気的特性を調整するための整合回路又は同調機能を含む。 [0027] The showerhead 124 also functions as a plasma source 128 . To function as plasma source 128 , showerhead 124 includes one or more inductively coupled plasma generating components, or coils 130 . Each of the one or more coils 130 may be a single coil 130, two coils 130, or more than two coils 130, hereinafter simply referred to as coils 130. One or more coils 130 are each coupled across power and ground 133 . Showerhead 124 also includes a faceplate 132 that includes a plurality of discrete perforated tiles 134 . The power supply includes a matching circuit or tuning function to adjust the electrical characteristics of coil 130 .

[0028]有孔タイル134は各々、複数の支持部材136によって支持されている。1又は複数のコイル130の各々又は1又は複数のコイル130の一部は、それぞれの誘電体プレート138上又はその上方に位置決めされる。リッドアセンブリ106内の誘電体プレート138の上方に配置されるコイル130の一例は、図2Aにより明確に示されている。複数のガス領域140は、誘電体プレート138、有孔タイル134、及び支持部材136の表面によって画定される。1又は複数のコイル130は各々、ガスがガス領域140に流入し、隣接する有孔タイルを通ってその下方のチャンバ領域に流入すると、ガス領域140の下方の処理領域126内のプラズマ内に、プロセスガスにエネルギー供給する電磁場を生成するように構成され、ガス源からのプロセスガスは、支持部材136の導管を介して各ガス領域140に供給される。シャワーヘッドに入るガス(複数可)及び出るガス(複数可)の体積又は流量は、シャワーヘッド124の異なるゾーンで制御される。処理ガスのゾーン制御は、図1に示すマスフローコントローラ142、143及び144等の複数のフローコントローラによって提供される。例えば、シャワーヘッド124の周辺ゾーン又は外側ゾーンへのガスの流量は、フローコントローラ142、143によって制御され、シャワーヘッド124の中央ゾーンへのガスの流量は、フローコントローラ144によって制御される。チャンバの洗浄が必要な場合、洗浄ガス源からの洗浄ガスは、各ガス領域140に流され、その後、処理領域140に流入し、その中で洗浄ガスは、イオン、ラジカル、又はその両方に励起される。励起された洗浄ガスは、チャンバ部品を洗浄するために、有孔タイル134を通って処理領域126に流入する。 [0028] Each perforated tile 134 is supported by a plurality of support members 136 . Each of the one or more coils 130 or a portion of the one or more coils 130 is positioned on or above a respective dielectric plate 138 . An example of a coil 130 positioned above a dielectric plate 138 within the lid assembly 106 is shown more clearly in FIG. 2A. A plurality of gas regions 140 are defined by the surfaces of dielectric plate 138 , perforated tiles 134 , and support member 136 . The one or more coils 130 each cause, as gas flows into the gas region 140 and through adjacent perforated tiles into the chamber region below, into the plasma within the processing region 126 below the gas region 140: Configured to generate an electromagnetic field that energizes the process gas, process gas from a gas source is supplied to each gas region 140 via conduits in the support member 136 . The volume or flow rate of gas(es) entering and exiting the showerhead is controlled in different zones of the showerhead 124 . Zone control of process gases is provided by a plurality of flow controllers, such as mass flow controllers 142, 143 and 144 shown in FIG. For example, the flow rate of gas to the peripheral or outer zones of showerhead 124 is controlled by flow controllers 142 , 143 and the flow rate of gas to the central zone of showerhead 124 is controlled by flow controller 144 . When cleaning of the chamber is required, cleaning gas from the cleaning gas source is flowed through each gas region 140 and then into the processing region 140, in which the cleaning gas excites ions, radicals, or both. be done. The energized cleaning gas flows through the perforated tiles 134 and into the processing region 126 to clean the chamber components.

[0029]図2Aは、図1のリッドアセンブリ106の一部の拡大図である。上記で説明したように、ガス源からの前駆体ガスは、バッキングプレート122を貫通して形成された入り口200を通ってガス領域140に供給される。入り口200は各々、支持部材136に形成されたそれぞれの導管205に結合される。導管205は、出口開口部210でガス領域140に前駆体ガスを供給する。導管205の幾つかは、2つの隣接するガス領域140にガスを供給する(導管205の1つを、図2Aに点線で示す)。代表的なガス領域140へのガスの流れは、図4により明確に示されている。 [0029] FIG. 2A is an enlarged view of a portion of the lid assembly 106 of FIG. As explained above, precursor gases from gas sources are supplied to gas region 140 through inlets 200 formed through backing plate 122 . Each inlet 200 is coupled to a respective conduit 205 formed in support member 136 . Conduit 205 supplies precursor gas to gas region 140 at outlet opening 210 . Several of the conduits 205 supply gas to two adjacent gas regions 140 (one of the conduits 205 is shown in dashed lines in FIG. 2A). The gas flow to a representative gas region 140 is shown more clearly in FIG.

[0030]導管205は、ガス領域140への流れを制御するための流量制限装置215を含む。流量制限装置215のサイズは、そこを通るガス流を制御するために変化可能である。例えば、流量制限装置215は各々、流れを制御するために利用される特定のサイズ(例えば、直径)のオリフィスを含む。更に、流量制限装置215の各寸法は、必要に応じて、そこを通る流れを制御するために、より大きいオリフィスサイズ、又はより小さいオリフィスサイズを提供するように変更され得る。 [0030] Conduit 205 includes a flow restrictor 215 for controlling flow to gas region 140 . The size of flow restrictor 215 can be varied to control gas flow therethrough. For example, flow restrictors 215 each include an orifice of a particular size (eg, diameter) that is utilized to control flow. Additionally, the dimensions of flow restrictor 215 may be varied to provide larger or smaller orifice sizes to control flow therethrough, as desired.

[0031]図2Aに示すように、有孔タイル134は、そこを通って延びる複数の開口部218を含む。開口部218は各々、カバープレート222に形成された開口部220に整列(同心円)する。複数の開口部218及び開口部220は各々、ガス領域140とカバープレート222との間に延びる開口部218の直径に起因して、ガス領域140から処理領域126に所望の流量でガスが流入することを可能にする。開口部218及び/又は220、並びに/又は開口部218及び/又は220の行及び列は、各有孔タイル134及び各カバープレート222を通るガス流を均等にするために異なるサイズにすることができる、及び/又は異なる間隔にすることができる。あるいは、各開口部218及び/又は220からのガス流は、所望のガス流特性に応じて、非均一であってよい。 [0031] As shown in FIG. 2A, the perforated tile 134 includes a plurality of openings 218 extending therethrough. Openings 218 are each aligned (concentric) with openings 220 formed in cover plate 222 . A plurality of openings 218 and openings 220 each allow gas to flow from gas region 140 into processing region 126 at a desired flow rate due to the diameter of openings 218 extending between gas region 140 and cover plate 222 . make it possible. The openings 218 and/or 220 and/or the rows and columns of the openings 218 and/or 220 can be sized differently to even out gas flow through each perforated tile 134 and each cover plate 222. and/or can be spaced differently. Alternatively, gas flow from each opening 218 and/or 220 may be non-uniform, depending on desired gas flow characteristics.

[0032]カバープレート222は各々、有孔タイル134の側面を囲む取付け部分225を含む。各取付け部分225は、ガスが導管205から二次プレナム235に流入し、次いで処理領域126に流入することを可能にする複数の開口部230を含む。 [0032] The cover plates 222 each include mounting portions 225 that surround the sides of the perforated tiles 134 . Each mounting portion 225 includes a plurality of openings 230 that allow gas to flow from conduit 205 into secondary plenum 235 and then into processing region 126 .

[0033]支持部材136は、ボルト又はネジ等の締結具240によってバッキングプレート122に結合される。支持部材136は各々、カバープレート222の界面部分245で有孔タイル134を支持する。界面部分245は各々、有孔タイル134の周囲の一部又はエッジを支持するレッジ又は棚であってよい。界面部分245は、ボルト又はネジ等の締結具250によって支持部材136に締結される。界面部分245の一部は、二次プレナム235を含む。界面部分245は各々、有孔タイル134の周囲又はエッジも支持する。ガス領域140を密封するために、1又は複数のシール265が用いられる。例えば、シール265は、Oリングシール又はポリテトラフルオロエチレン(PTFE)ジョイントシーラント材料等のエラストマー材料である。1又は複数のシール265は、支持部材136と、有孔タイル134と、カバープレート222の取付け部分225との間に設けられ得る。カバープレート222は、必要に応じて、1又は複数の有孔タイル134を交換するために取り外し可能である。 [0033] Support member 136 is coupled to backing plate 122 by fasteners 240, such as bolts or screws. Support members 136 each support a perforated tile 134 at interface portion 245 of cover plate 222 . Interface portions 245 may each be a ledge or shelf that supports a portion or edge of perforated tile 134 . Interface portion 245 is fastened to support member 136 by fasteners 250, such as bolts or screws. A portion of interface portion 245 includes secondary plenum 235 . Interface portions 245 each also support perimeters or edges of perforated tiles 134 . One or more seals 265 are used to seal the gas region 140 . For example, seal 265 is an elastomeric material such as an O-ring seal or polytetrafluoroethylene (PTFE) joint sealant material. One or more seals 265 may be provided between support member 136 , perforated tile 134 and mounting portion 225 of cover plate 222 . Cover plate 222 is removable to replace one or more perforated tiles 134 as needed.

[0034]加えて、支持部材136は各々、そこから延びる棚270を利用して誘電体プレート138を支持する(図2Aに示す)。シャワーヘッド124/プラズマ源128の実施形態では、誘電体プレート138は、シャワーヘッド124/プラズマ源128全体の表面積と比較して、横方向表面積(X-Y平面)がより小さくなっている。誘電体プレート138を支持するために、棚270が利用される。複数の誘電体プレート138の横方向表面積が減少していることで、大気圧負荷を支持する大面積に基づいてそこに大きな応力が課されることなく、ガス領域140及び処理領域126内の真空環境及びプラズマと、隣接コイル130が通常位置決めされる大気環境との間の物理的バリアとして、誘電体材料を使用することが可能になる。 [0034] In addition, each support member 136 supports a dielectric plate 138 utilizing a ledge 270 extending therefrom (shown in FIG. 2A). In the showerhead 124 /plasma source 128 embodiment, the dielectric plate 138 has a smaller lateral surface area (in the XY plane) compared to the surface area of the entire showerhead 124 /plasma source 128 . A shelf 270 is utilized to support the dielectric plate 138 . The reduced lateral surface area of the plurality of dielectric plates 138 allows the vacuum within the gas region 140 and process region 126 to be reduced without imposing large stresses thereon due to the large area supporting the atmospheric pressure load. It allows the use of dielectric materials as a physical barrier between the environment and plasma and the atmospheric environment in which adjacent coils 130 are typically positioned.

[0035]シール265を使用して、領域275(大気圧又は準大気圧にある)がガス領域140(処理中にミリトル以下の範囲の準大気圧にある)から密閉される。インターフェース部材280は、支持部材136から延びて図示されており、締結具285を使用して、誘電体プレート138がシール265及び棚270に対して固定される、すなわち、押し付けられる。シール265を使用して、有孔タイル134の外周と支持部材136との間の空間も密封され得る。 [0035] Seal 265 is used to seal region 275 (which is at atmospheric or sub-atmospheric pressure) from gas region 140 (which is at sub-millitorr range sub-atmospheric pressure during processing). Interface member 280 is shown extending from support member 136 and fasteners 285 are used to secure or press dielectric plate 138 against seal 265 and ledge 270 . A seal 265 may also be used to seal the space between the perforated tile 134 perimeter and the support member 136 .

[0036]シャワーヘッド124/プラズマ源128の材料は、電気的特性、強度、及び化学的安定性のうちの1又は複数に基づいて選択される。コイル130は、導電性材料でできている。バッキングプレート122及び支持部材136は、支持される部品の重量及び大気圧負荷を支持することができる材料でできており、金属又は他の同様の材料を含み得る。バッキングプレート122及び支持部材136は、非磁性材料(例えば、非常磁性又は非強磁性材料)、例えば、アルミニウム材料でできていてよい。カバープレート222も、アルミニウム等の金属材料等の非磁性材料で形成されている。有孔タイル134は、石英、アルミナ等のセラミック材料又は他の同様の材料でできている。誘電体プレート138は、石英、アルミナ又はサファイアの材料でできている。 [0036] Materials for the showerhead 124/plasma source 128 are selected based on one or more of electrical properties, strength, and chemical stability. Coil 130 is made of an electrically conductive material. Backing plate 122 and support member 136 are made of a material capable of supporting the weight and atmospheric pressure load of the components being supported, and may include metal or other similar material. Backing plate 122 and support member 136 may be made of a non-magnetic material (eg, paramagnetic or non-ferromagnetic material), such as an aluminum material. The cover plate 222 is also made of a nonmagnetic material such as a metal material such as aluminum. Perforated tiles 134 are made of a ceramic material such as quartz, alumina, or other similar material. Dielectric plate 138 is made of quartz, alumina or sapphire material.

[0037]図2Bは、リッドアセンブリ106にある誘電体プレート138に位置決めされたコイル130の一実施形態の上面図である。一実施形態では、図2Bに示すコイル130の構成を使用して、例示したコイル構成が各誘電体プレート138の上に個別に形成されることにより、各平面状コイルが、隣接して位置決めされたコイル130とシャワーヘッド124全体で所望のパターンで直列接続されるようにすることができる。コイル130は、矩形の螺旋形状である導体パターン290を含む。電気的接続は、電気入力端子295A及び電気出力端子295Bを含む。シャワーヘッド124の1又は複数のコイル130は各々、直列及び/又は並列に接続される。 [0037] FIG. 2B is a top view of one embodiment of coil 130 positioned on dielectric plate 138 in lid assembly 106. FIG. In one embodiment, each planar coil is positioned adjacently by individually forming the illustrated coil configuration on each dielectric plate 138 using the configuration of coil 130 shown in FIG. 2B. The entire coil 130 and showerhead 124 can be serially connected in a desired pattern. Coil 130 includes a conductor pattern 290 having a rectangular spiral shape. Electrical connections include electrical input terminals 295A and electrical output terminals 295B. Each of the one or more coils 130 of the showerhead 124 are connected in series and/or in parallel.

[0038]図3Aは、シャワーヘッド124の面板132の一実施形態の底面図である。上述したように、シャワーヘッド124は、各ゾーンへのガス流が独立して制御された1又は複数のゾーンを含むように構成される。例えば、面板132は、中央ゾーン300A、中間ゾーン300B及び300B、並びに外側ゾーン300C及び300Cを含む。 [0038] FIG. 3A is a bottom view of one embodiment of the faceplate 132 of the showerhead 124. As shown in FIG. As noted above, the showerhead 124 is configured to include one or more zones with independently controlled gas flow to each zone. For example, faceplate 132 includes central zone 300A, intermediate zones 300B 1 and 300B 2 , and outer zones 300C 1 and 300C 2 .

[0039]シャワーヘッド124は、中央ゾーン300A、中間ゾーン300B及び300B、並びに外側ゾーン300C及び300Cの各々へのガス流を制御するガス分配マニホールド305を含む。ゾーンへのガス流は、図1に示すフローコントローラ142、143、及び144である複数のフローコントローラ310によって制御される。フローコントローラ310は各々、ニードルバルブ又はマスフローコントローラであってよい。フローコントローラ310は、ガス流を開始又は停止するためのダイヤフラムバルブも含み得る。 [0039] The showerhead 124 includes a gas distribution manifold 305 that controls gas flow to each of the central zone 300A, middle zones 300B1 and 300B2 , and outer zones 300C1 and 300C2 . Gas flow to the zones is controlled by a plurality of flow controllers 310, flow controllers 142, 143, and 144 shown in FIG. Flow controllers 310 may each be a needle valve or a mass flow controller. Flow controller 310 may also include a diaphragm valve to start or stop gas flow.

[0040]図3Bは、シャワーヘッド124の面板132の別の実施形態の部分底面図である。この実施形態では、有孔タイル134は、カバープレート222によって支持されている。締結具250を使用して、有孔カバープレート222が支持部材136に固定され、これらはこの図ではカバープレート222の背後にあるため図示されていない。 [0040] FIG. 3B is a partial bottom view of another embodiment of the faceplate 132 of the showerhead 124. As shown in FIG. In this embodiment, perforated tile 134 is supported by cover plate 222 . Perforated cover plate 222 is secured to support member 136 using fasteners 250, which are behind cover plate 222 in this view and are not shown.

[0041]図4は、シャワーヘッド124内に形成されたガス領域140内へのガス流注入パターンを例示するシャワーヘッド124の別の実施形態を示す概略底面図である。シャワーヘッド124の側面には、基板の長さ400及び幅405が示されている。ガス領域140への前駆体流は、矢印410で示すように一方向に供給することができ、又は矢印415で示すように双方向に供給することができる。前駆体流制御は、フローコントローラ142、143及び144(図1に示す)によって提供され得る。更に、エッジゾーン420、コーナーゾーン425、及び中央ゾーン430等のガス流ゾーンは、フローコントローラ142、143、及び144(図1に示す)によって提供され得る。ガス領域140及び/又はゾーンの各々への前駆体の流量は、開口部220、開口部230及び流量制限装置215(全て図2Aに示す)の1つ又は組み合わせのサイズを変えることによって調整され得る。 [0041] FIG. 4 is a schematic bottom view of another embodiment of the showerhead 124 illustrating the gas flow injection pattern into the gas regions 140 formed within the showerhead 124. As shown in FIG. The length 400 and width 405 of the substrate are shown on the sides of the showerhead 124 . Precursor flow into gas region 140 can be supplied unidirectionally, as indicated by arrows 410 , or bidirectionally, as indicated by arrows 415 . Precursor flow control may be provided by flow controllers 142, 143 and 144 (shown in FIG. 1). Additionally, gas flow zones such as edge zone 420, corner zone 425, and central zone 430 may be provided by flow controllers 142, 143, and 144 (shown in FIG. 1). The flow rate of precursor to each of the gas regions 140 and/or zones can be adjusted by varying the size of one or a combination of openings 220, openings 230 and flow restrictor 215 (all shown in FIG. 2A). .

[0042]各ガス領域140への流量は、同じであってよい、又は異なっていてよい。ガス領域140への流量は、図1に示すマスフローコントローラ142、143及び144によって制御され得る。ガス領域140への流量は、更に、上述したように、流量制限装置215のサイズ設定によって制御され得る。処理領域126への流量は、カバープレート222の開口部230のサイズと同様に、有孔タイル134の開口部220のサイズによって制御され得る。処理領域126に十分なガス流を供給するために、必要に応じて、ガス領域140への双方向流又は一方向流が用いられる。 [0042] The flow rate to each gas region 140 may be the same or different. The flow rate to gas region 140 may be controlled by mass flow controllers 142, 143 and 144 shown in FIG. The flow into gas region 140 may also be controlled by sizing flow restrictor 215, as described above. The flow rate to the processing area 126 can be controlled by the size of the openings 220 in the perforated tiles 134 as well as the size of the openings 230 in the cover plate 222 . Bidirectional or unidirectional flow into gas region 140 is used as needed to provide sufficient gas flow to processing region 126 .

[0043]ガス流を制御する方法は、1)マスフローコントローラ142、143、144からの異なる流量を用いたマルチゾーン(中央/エッジ/コーナー/その他いずれかのゾーン)制御、2)異なるオリフィスサイズ(流量制限装置215のサイズ)による流量制御、3)ガス領域140内への流れ方向制御(一方向又は双方向)、及び4)有孔タイル134の開口部220のサイズ、有孔タイル134の開口部220の数及び/又は有孔タイル134の開口部220の場所による流量制御を含む。本明細書に記載のシャワーヘッド124によって供給されるガスの質量流量により、非均一性の割合(NU%)が約280%(例えば、57%の非均一性(従来技術)から約15%の非均一性に)向上する。 [0043] The method of controlling gas flow includes: 1) multi-zone (central/edge/corner/any other zone) control using different flow rates from mass flow controllers 142, 143, 144, 2) different orifice sizes ( 3) directional flow control (either unidirectional or bidirectional) into the gas region 140; Including flow control by the number of sections 220 and/or the location of the openings 220 in the perforated tile 134 . The mass flow rate of the gas supplied by the showerhead 124 described herein reduces the non-uniformity percentage (NU%) from about 280% (e.g., from 57% non-uniformity (prior art) to about 15%). non-uniformity).

[0044]図5は、図1に示す断面線から見た支持フレーム500の底面断面図である。支持フレーム500は、複数の支持部材136で構成される。図5における支持フレーム500は、導管205の断面に沿って切断されており、流量制限装置215の様々な直径(オリフィスサイズ)を明らかにする。一実施形態では、各流量制限装置215の様々なオリフィスは、所望のガス流特性に基づいて変更又は構成され得る。 [0044] FIG. 5 is a bottom cross-sectional view of support frame 500 taken along the cross-sectional line shown in FIG. The support frame 500 is composed of a plurality of support members 136 . Support frame 500 in FIG. 5 has been cut along the cross-section of conduit 205 to reveal various diameters (orifice sizes) of flow restrictor 215 . In one embodiment, the various orifices of each flow restrictor 215 may be varied or configured based on desired gas flow characteristics.

[0045]この実施形態における流量制限装置215は各々、第1の直径部分505、第2の直径部分510、及び第3の直径部分515を含む。第1の直径部分505、第2の直径部分510、及び第3の直径部分515の各直径は、異なる又は同じである。各直径は、シャワーヘッド124の所望の流れ特性に基づいて選択され得る。一実施形態では、ここでの第1の直径部分505は最小の直径を有し、ここでの第3の直径部分515は最大の直径を有し、第2の直径部分510は、第1の直径部分505と第3の直径部分515との間の直径を有する。図示の実施形態では、第1の直径部分505を有する複数の流量制限装置215は支持フレーム500の中央部分に示され、第3の直径部分515を有する複数の流量制限装置215は支持フレーム500の外側の部分に示されている。 [0045] The flow restrictors 215 in this embodiment each include a first diameter portion 505, a second diameter portion 510, and a third diameter portion 515. As shown in FIG. Each diameter of first diameter portion 505, second diameter portion 510, and third diameter portion 515 may be different or the same. Each diameter can be selected based on the desired flow characteristics of showerhead 124 . In one embodiment, first diameter portion 505 here has the smallest diameter, third diameter portion 515 here has the largest diameter, and second diameter portion 510 has the largest diameter. It has a diameter between diameter portion 505 and a third diameter portion 515 . In the illustrated embodiment, a plurality of flow restrictors 215 having a first diameter portion 505 are shown in the central portion of the support frame 500 and a plurality of flow restrictors 215 having a third diameter portion 515 are shown in the support frame 500. Shown on the outer part.

[0046]更に、第2の直径部分510を有する複数の流量制限装置215が、中央部分と外側部分との間の中間ゾーンに示されている。他の実施形態では、第1の直径部分505、第2の直径部分510、及び第3の直径部分515を有する流量制限装置215の位置は、図5に示すように、支持フレーム500の部分において逆であってよい。あるいは、第1の直径部分505、第2の直径部分510、及び第3の直径部分515を有する流量制限装置215は、ガス領域140を通じた所望の特性及び制御に応じて、支持フレーム500の様々な部分に位置していてよい。幾つかの実施形態では、シャワーヘッド124全体での均一なガス流が望ましい場合がある。しかしながら、他の実施形態では、シャワーヘッド124の各ガス領域140へのガス流は均一でない場合がある。不均一なガス流は、処理チャンバ100の幾つかの物理的構造(複数可)及び/又は形状寸法に起因し得る。例えば、シャワーヘッド124の他の部分におけるガス流と比較して、移送ポート114(図1に示す)に隣接するシャワーヘッド124の部分においてより多くのガス流を有することが望ましい場合がある。 [0046] Additionally, a plurality of flow restrictors 215 having a second diameter portion 510 are shown in the intermediate zone between the central portion and the outer portion. In another embodiment, the location of flow restrictor 215 having first diameter portion 505, second diameter portion 510, and third diameter portion 515 is located at a portion of support frame 500, as shown in FIG. It can be vice versa. Alternatively, flow restrictor 215 , having first diameter portion 505 , second diameter portion 510 and third diameter portion 515 , may be used in various configurations of support frame 500 depending on desired characteristics and control through gas region 140 . may be located in In some embodiments, uniform gas flow across showerhead 124 may be desirable. However, in other embodiments, the gas flow to each gas region 140 of showerhead 124 may not be uniform. Non-uniform gas flow may result from some physical structure(s) and/or geometry of processing chamber 100 . For example, it may be desirable to have more gas flow in the portion of showerhead 124 adjacent transfer port 114 (shown in FIG. 1) compared to gas flow in other portions of showerhead 124 .

[0047]図6は、本明細書に記載のシャワーヘッド124と共に使用され得る入り口200の一実施形態の概略断面図である。入り口200は、図2Aで説明したような流量制限装置215を含む導管205を含む。幾つかの実施形態では、流量制限装置215の出口開口部210の直径600は、約1.4ミリメートル(mm)から約1.6mmの第1のサイズ605Aを含む。他の実施形態では、流量制限装置215の出口開口部210の直径600は、約1.9mmから約2.1mmの第2のサイズ605Bを含む。出口開口部210の直径600は、シャワーヘッド124の寸法(例えば、長さ/幅)にわたって変化する。例えば、第1のサイズ605Aを有する流量制限装置215は、上記の図3Aに記載したように、中央ゾーン300Aで用いられ得る。第2のサイズ605Bを有する流量制限装置215は、上記図3Aで説明したように、中央ゾーン300A以外のシャワーヘッド124のゾーン(例えば、中間ゾーン300B及び300B、並びに外側ゾーン300C及び300C)で用いられ得る。更に、流量制限装置215は、シャワーヘッド124内で異なっていてよい長さ610を含む。幾つかの実施形態では、長さ610は、約11mmから約12mmであり得る第1の長さ615Aを含む。他の実施形態では、流量制限装置215の長さ610は、約22mmから約24mmの第2の長さ615Bを含む。第1の長さ615Aを有する流量制限装置215は、上記の図3Aで説明したように、中央ゾーン300Aで用いられ得る。第2の長さ615Bを有する流量制限装置215は、上記の図3Aに記載したような中央ゾーン300A以外のシャワーヘッド124のゾーン(例えば、中間ゾーン300B及び300B、並びに外側ゾーン300C及び300C)で用いられ得る。なお、上記のような「サイズ」及び/又は「長さ」に関連する「約」という用語は、±0.01mmである。 [0047] Figure 6 is a schematic cross-sectional view of one embodiment of an inlet 200 that may be used with the showerheads 124 described herein. Inlet 200 includes conduit 205 that includes flow restrictor 215 as described in FIG. 2A. In some embodiments, the diameter 600 of the outlet opening 210 of the flow restrictor 215 includes a first size 605A of about 1.4 millimeters (mm) to about 1.6 mm. In other embodiments, the diameter 600 of the outlet opening 210 of the flow restrictor 215 includes a second size 605B from about 1.9 mm to about 2.1 mm. The diameter 600 of the exit opening 210 varies across the dimensions (eg length/width) of the showerhead 124 . For example, a flow restrictor 215 having a first size 605A can be used in central zone 300A, as described above in FIG. 3A. A flow restrictor 215 having a second size 605B may be used in zones of the showerhead 124 other than the central zone 300A (e.g., middle zones 300B 1 and 300B 2 and outer zones 300C 1 and 300C, as described in FIG. 3A above). 2 ). Additionally, the flow restrictor 215 includes a length 610 that may vary within the showerhead 124 . In some embodiments, length 610 includes first length 615A, which can be from about 11 mm to about 12 mm. In other embodiments, the length 610 of the flow restrictor 215 includes a second length 615B of about 22mm to about 24mm. A flow restrictor 215 having a first length 615A may be used in the central zone 300A as described above in FIG. 3A. A flow restrictor 215 having a second length 615B extends to zones of the showerhead 124 other than the central zone 300A as described above in FIG. 300C 2 ). It should be noted that the term "about" in relation to "size" and/or "length" as described above is ±0.01 mm.

[0048]図7A及び7Bは、本明細書に記載のシャワーヘッド124と共に用いられ得る有孔タイル134の1つの実施形態の様々な図である。図7Aは、カバープレート222(図2Aに示す)に面する有孔タイル134の第1の面700の底面図である。図7Bは、有孔タイル134に形成された開口部218のうちの1つの概略断面図である。 [0048] Figures 7A and 7B are various views of one embodiment of a perforated tile 134 that may be used with the showerheads 124 described herein. 7A is a bottom view of a first side 700 of perforated tile 134 facing cover plate 222 (shown in FIG. 2A). FIG. 7B is a schematic cross-sectional view of one of the openings 218 formed in the perforated tile 134. FIG.

[0049]有孔タイル134は、アルミナ(Al)等のセラミック材料でできた本体705を含む。本体705は、第1の面700と、第1の面700と反対側の第2の面710(図7Bに示す)とを含む。第1の面700及び第2の面710は、概ね平行である。少なくとも第2の面710は、約0.005インチ以下の平坦度(形状寸法表示及び公差表示(GD&T)により定義される工学的公差による)を有する。本体705の周縁部720は、第1の面700と第2の面710との間に形成された複数の開口部218を含む。 [0049] The perforated tile 134 includes a body 705 made of a ceramic material such as alumina ( Al2O3 ) . Body 705 includes a first side 700 and a second side 710 opposite first side 700 (shown in FIG. 7B). First surface 700 and second surface 710 are generally parallel. At least the second surface 710 has a flatness (according to engineering tolerances as defined by the Geometrical and Tolerancing (GD&T)) of about 0.005 inch or less. Peripheral edge 720 of body 705 includes a plurality of openings 218 formed between first surface 700 and second surface 710 .

[0050]第1の面700は、第1の面700と本体705の周縁部720との間を接合する凹面715を含む。凹面715は、遷移領域725を含む。遷移領域725は、第1の面700のエッジから始まり、本体705の周縁部720まで延びる鋭角の肩部又は斜面であってよい。遷移領域725は、丸い角部730を含む。本体705の周縁部720は、正方形の角部735を含む。 [0050] First surface 700 includes concave surface 715 that joins between first surface 700 and peripheral edge 720 of body 705 . Concave surface 715 includes a transition region 725 . Transition region 725 may be a sharp shoulder or ramp that begins at the edge of first face 700 and extends to peripheral edge 720 of body 705 . Transition region 725 includes rounded corners 730 . Perimeter 720 of body 705 includes square corners 735 .

[0051]複数の開口部218のうちの1つが、図7Bに示されている。開口部218は、第2の面710に形成された入り口孔又は第1の孔740を含む。開口部218はまた、第1の面700に形成された出口孔又は第2の孔745も含む。第1の孔740と第2の孔745は、段付き孔750によって流体的に接続されている。第1の孔740及び第2の孔745は各々、フレア状の側壁755を含む。フレア状の側壁755は、約90度(例えば、面700及び710の平面から約45度)の角度αを含み得る。 [0051] One of the plurality of openings 218 is shown in FIG. 7B. Aperture 218 includes an entry hole or first hole 740 formed in second surface 710 . Aperture 218 also includes an exit hole or second hole 745 formed in first surface 700 . First hole 740 and second hole 745 are fluidly connected by stepped hole 750 . First hole 740 and second hole 745 each include flared sidewalls 755 . Flared sidewalls 755 may include an angle α of about 90 degrees (eg, about 45 degrees from the plane of surfaces 700 and 710).

[0052]段付き孔750は、第1のオリフィス760及び第2のオリフィス765を含む。第1のオリフィス760は、直径770を含み、第2のオリフィス765は、直径775を含む。直径775は、直径770よりも大きい。第1のオリフィス760と第2のオリフィス765との間に、フレア部780が設けられる。フレア部780は、約90度の角度αを含む。直径770は、約0.017インチから約0.018インチであってよい。 [0052] The stepped bore 750 includes a first orifice 760 and a second orifice 765 . First orifice 760 includes diameter 770 and second orifice 765 includes diameter 775 . Diameter 775 is larger than diameter 770 . A flared portion 780 is provided between the first orifice 760 and the second orifice 765 . Flared portion 780 includes an angle α of approximately 90 degrees. Diameter 770 may be from about 0.017 inch to about 0.018 inch.

[0053]本開示の実施形態は、大面積基板に膜の1又は複数の層を形成することができる、シャワーヘッド及びシャワーヘッドを有するプラズマ堆積チャンバのための方法及び装置を含む。プラズマ均一性だけでなくガス(又は前駆体)流は、個々の有孔タイル134、有孔タイル134の特定のもの専用のコイル130、及び/又はフローコントローラ142、143、144の構成の組み合わせ、並びに流量制限装置215のサイズ及び/又は位置の変化により制御される。 [0053] Embodiments of the present disclosure include methods and apparatus for showerheads and plasma deposition chambers having showerheads capable of forming one or more layers of films on large area substrates. Gas (or precursor) flow, as well as plasma uniformity, can be controlled by individual perforated tiles 134, coils 130 dedicated to specific ones of perforated tiles 134, and/or combinations of configurations of flow controllers 142, 143, 144; and by varying the size and/or position of flow restrictor 215 .

[0054]上記は本開示の実施形態を対象としているが、以下の特許請求の範囲によって決定されるその基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考案することが可能である。 [0054] While the above is directed to embodiments of the present disclosure, other and further embodiments of the present disclosure may be devised without departing from its basic scope as determined by the following claims. It is possible.

Claims (15)

プラズマ堆積チャンバであって、
複数の支持部材のうちの1又は複数に各々結合された複数の有孔タイルを有するシャワーヘッドと、
複数の誘電体プレートであって、前記複数の誘電体プレートのうちの1つは、前記複数の有孔タイルのうちの1つに対応する、複数の誘電体プレートと、
複数の誘導結合器であって、前記複数の誘導結合器のうちの1つの誘導結合器は前記複数の誘電体プレートのうちの1つに対応し、前記支持部材は、前記誘導結合器と前記有孔タイルとの間に形成される領域に前駆体ガスを供給する複数の入り口を含み、前記入り口は各々、前記シャワーヘッド全体で変化する直径を含む流量制限装置を有する、複数の誘導結合器と
を備える、プラズマ堆積チャンバ。
A plasma deposition chamber comprising:
a showerhead having a plurality of perforated tiles each coupled to one or more of the plurality of support members;
a plurality of dielectric plates, one of the plurality of dielectric plates corresponding to one of the plurality of perforated tiles;
a plurality of inductive couplers, wherein one inductive coupler of the plurality of inductive couplers corresponds to one of the plurality of dielectric plates, and the support member comprises the inductive coupler and the A plurality of inductive couplers comprising a plurality of inlets for supplying precursor gases to regions formed between perforated tiles, each of said inlets having a flow restrictor comprising a diameter that varies across said showerhead. and a plasma deposition chamber.
前記入り口は各々、第1の直径及び第2の直径を有する導管を含む、請求項1に記載のチャンバ。 3. The chamber of Claim 1, wherein each of said inlets comprises a conduit having a first diameter and a second diameter. 前記流量制限装置は各々、前記シャワーヘッド全体で変化する長さを含む、請求項1に記載のチャンバ。 3. The chamber of claim 1, wherein each of said flow restrictors includes a length that varies across said showerhead. 前記誘導結合器の各々に関連する誘電体プレートを更に備え、前記誘電体プレートは前記領域の一方の側の境界である、請求項1に記載のチャンバ。 3. The chamber of claim 1, further comprising a dielectric plate associated with each of said inductive couplers, said dielectric plate bounding one side of said region. 前記複数の有孔タイル及び前記複数の支持部材は各々、界面部分を含む、請求項1に記載のチャンバ。 3. The chamber of Claim 1, wherein said plurality of perforated tiles and said plurality of support members each include an interface portion. 前記有孔タイルの各々の周囲に位置決めされたカバープレートを更に備える、請求項1に記載のチャンバ。 3. The chamber of claim 1, further comprising a cover plate positioned around each of said perforated tiles. 前記カバープレートは、その中に形成された開口部を含む、請求項6に記載のチャンバ。 7. The chamber of Claim 6, wherein the cover plate includes an opening formed therein. 各有孔タイルは、前記カバープレートに形成された開口部に整列する開口部を含む、請求項7に記載のチャンバ。 8. The chamber of claim 7, wherein each perforated tile includes openings aligned with openings formed in the cover plate. 前記シャワーヘッドは、中央ゾーン、前記中央ゾーンに隣接する中間ゾーン、及び前記中間ゾーンに隣接する外側ゾーンを含む個別のガス供給ゾーンに分割されている、請求項1に記載のチャンバ。 3. The chamber of Claim 1, wherein the showerhead is divided into separate gas delivery zones including a central zone, an intermediate zone adjacent to the central zone, and an outer zone adjacent to the intermediate zone. プラズマ堆積チャンバ用のシャワーヘッドであって、
複数の第1の支持面及び複数の第2の支持面を含む支持部材であって、前記複数の第1の支持面は、第1の方向において前記複数の第2の支持面から第1の距離に配置される、支持部材と、
複数の有孔タイルと複数の誘電体プレートとを含む複数のガス供給アセンブリであって、前記複数のガス供給アセンブリは各々、
前記複数の第1の支持面のうちの第1の支持面に配置された有孔タイルと、
前記複数の第2の支持面のうちの第2の支持面に配置された誘電体プレートと
を含み、前記誘電体プレートの面と前記有孔タイルの面との間にガス領域が画定される、複数のガス供給アセンブリと、
前記複数のガス供給アセンブリのガス領域に前駆体ガスを供給する複数の入り口であって、前記入り口は各々、前記シャワーヘッド全体で変化する長さを含む流量制限装置を有する、複数の入り口と、
前記シャワーヘッド内の前記複数のガス供給アセンブリの1又は複数の上に配置されたコイルと
を備える、シャワーヘッド。
A showerhead for a plasma deposition chamber, comprising:
A support member including a plurality of first support surfaces and a plurality of second support surfaces, wherein the plurality of first support surfaces extends in a first direction from the plurality of second support surfaces to the first support surface. a support member positioned at a distance;
a plurality of gas distribution assemblies including a plurality of perforated tiles and a plurality of dielectric plates, each of the plurality of gas distribution assemblies comprising:
a perforated tile positioned on a first one of the plurality of first support surfaces;
a dielectric plate positioned on a second one of the plurality of second support surfaces, wherein a gas region is defined between a surface of the dielectric plate and a surface of the perforated tile. , a plurality of gas supply assemblies, and
a plurality of inlets for supplying precursor gases to gas regions of the plurality of gas delivery assemblies, each inlet having a flow restrictor including a varying length across the showerhead;
and coils positioned over one or more of the plurality of gas distribution assemblies within the showerhead.
前記入り口は各々、第1の直径及び第2の直径を有する導管を含む、請求項10に記載のシャワーヘッド。 11. The showerhead of Claim 10, wherein each inlet comprises a conduit having a first diameter and a second diameter. 前記流量制限装置は各々、前記シャワーヘッド全体で変化する直径を含む、請求項10に記載のシャワーヘッド。 11. The showerhead of claim 10, wherein each flow restrictor includes a diameter that varies across the showerhead. 前記誘電体プレートは、前記ガス領域の一方の側の境界である、請求項10に記載のシャワーヘッド。 11. The showerhead of claim 10, wherein the dielectric plate bounds one side of the gas region. 前記複数の有孔タイルの各々と前記支持部材とは、界面部分を含む、請求項10に記載のシャワーヘッド。 11. The showerhead of Claim 10, wherein each of the plurality of perforated tiles and the support member includes an interface portion. 前記有孔タイルの各々の周囲に位置決めされたカバープレートを更に備える、請求項10に記載のシャワーヘッド。 11. The showerhead of Claim 10, further comprising a cover plate positioned around each of said perforated tiles.
JP2022536555A 2019-12-17 2019-12-17 High density plasma chemical vapor deposition chamber Pending JP2023507111A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2019/066929 WO2021126172A1 (en) 2019-12-17 2019-12-17 High density plasma enhanced chemical vapor deposition chamber

Publications (1)

Publication Number Publication Date
JP2023507111A true JP2023507111A (en) 2023-02-21

Family

ID=76477758

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022536555A Pending JP2023507111A (en) 2019-12-17 2019-12-17 High density plasma chemical vapor deposition chamber

Country Status (5)

Country Link
JP (1) JP2023507111A (en)
KR (1) KR20220114044A (en)
CN (1) CN114787415A (en)
TW (1) TW202136569A (en)
WO (1) WO2021126172A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230162947A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. High density plasma enhanced process chamber
US20240087847A1 (en) * 2022-09-09 2024-03-14 Applied Materials, Inc. Symmetric antenna arrays for high density plasma enhanced process chamber
CN115261820B (en) * 2022-09-20 2023-01-20 拓荆科技(上海)有限公司 Reaction cavity structure and semiconductor equipment thereof

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100675097B1 (en) * 2000-11-15 2007-01-29 주성엔지니어링(주) Apparatus for producing inductively coupled plasma
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
JP6339866B2 (en) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 Plasma processing apparatus and cleaning method
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10087523B2 (en) * 2016-05-20 2018-10-02 Lam Research Corporation Vapor delivery method and apparatus for solid and liquid precursors
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
CN112534557A (en) * 2018-08-22 2021-03-19 应用材料公司 High density plasma enhanced chemical vapor deposition chamber

Also Published As

Publication number Publication date
KR20220114044A (en) 2022-08-17
WO2021126172A1 (en) 2021-06-24
TW202136569A (en) 2021-10-01
CN114787415A (en) 2022-07-22

Similar Documents

Publication Publication Date Title
KR102649738B1 (en) Film stress control for plasma-enhanced chemical vapor deposition
JP2023507111A (en) High density plasma chemical vapor deposition chamber
WO2017052855A1 (en) Frame with non-uniform gas flow clearance for improved cleaning
JP7121446B2 (en) High density plasma chemical vapor deposition chamber
WO2015016980A1 (en) Gas diffuser hole design for improving edge uniformity
CN112074624A (en) Pressure skew system for controlling center-to-edge pressure changes
US20180340257A1 (en) Diffuser for uniformity improvement in display pecvd applications
KR102224586B1 (en) Coating material for processing chambers
US20200098549A1 (en) Heat conductive spacer for plasma processing chamber
US20230272530A1 (en) Large-area high-density plasma processing chamber for flat panel displays
CN113811978B (en) Large area high density plasma processing chamber for flat panel display
US20230162947A1 (en) High density plasma enhanced process chamber
US20230162948A1 (en) Multi-antenna unit for large area inductively coupled plasma processing apparatus
TW202410158A (en) Plasma showerhead with improved uniformity
CN115692152A (en) Substrate processing apparatus and substrate processing method
WO2023192582A1 (en) Plasma showerhead with improved uniformity
KR20170125650A (en) Dielectric window supporting structure for inductively coupled plasma processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220809

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240220