JP2023089105A - Methods for synthesizing and using ald precursors of molybdenum or tungsten containing thin films - Google Patents

Methods for synthesizing and using ald precursors of molybdenum or tungsten containing thin films Download PDF

Info

Publication number
JP2023089105A
JP2023089105A JP2023064038A JP2023064038A JP2023089105A JP 2023089105 A JP2023089105 A JP 2023089105A JP 2023064038 A JP2023064038 A JP 2023064038A JP 2023064038 A JP2023064038 A JP 2023064038A JP 2023089105 A JP2023089105 A JP 2023089105A
Authority
JP
Japan
Prior art keywords
precursor
substrate
contacting
chalcogen
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023064038A
Other languages
Japanese (ja)
Inventor
ティーナ サルネット
Sarnet Tiina
ティモ ハタンパー
Hatanpaeae Timo
ミッコ リタラ
Mikko Ritala
マルック レスケラ
Markku Leskela
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2023089105A publication Critical patent/JP2023089105A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G39/00Compounds of molybdenum
    • C01G39/06Sulfides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N30/00Investigating or analysing materials by separation into components using adsorption, absorption or similar phenomena or using ion-exchange, e.g. chromatography or field flow fractionation
    • G01N30/02Column chromatography
    • G01N30/62Detectors specially adapted therefor
    • G01N30/72Mass spectrometers

Abstract

To provide precursors and methods for forming thin films comprising molybdenum or tungsten by atomic layer deposition.SOLUTION: Processes for forming Mo and W containing thin films, such as MoS2, WS2, MoSe2, and WSe2 thin films are provided. Methods are also provided for synthesizing Mo or Wβ-diketonate precursors. Additionally, methods are provided for forming 2D materials containing Mo or W.SELECTED DRAWING: Figure 1

Description

関連出願の相互参照
本出願は、米国特許法第119条に基づき、2015年5月27日に出願された米国仮特許出願第62/167,220号及び2015年6月17日に出願された米国仮特許出願第62/167,220号の優先権を主張し、当該出願の全内容は引用することによりここに組み込まれる。
CROSS-REFERENCE TO RELATED APPLICATIONS This application is filed June 17, 2015 and U.S. Provisional Patent Application No. 62/167,220, filed May 27, 2015, under 35 U.S.C. No. 62/167,220, the entire contents of which are hereby incorporated by reference.

共同研究協約の当事者
本願で特許請求される発明は、ヘルシンキ大学(the University of Helsinki)とASM Microchemistry Oyとの間の共同研究協約によって、又は共同研究協約のために、及び/又は共同研究協約に関連してなされた。当協約は、特許請求される発明がなされた日及びその日以前に発効しており、特許請求される発明は、当協約の範囲内で取り組まれた活動の結果としてなされたものである。
Parties to a Joint Research Agreement The invention claimed in this application is made by or for and/or to a joint research agreement between the University of Helsinki and ASM Microchemistry Oy. made in relation to This Agreement was in force on and before the date the claimed invention was made, and the claimed invention was made as a result of activities undertaken within the scope of this Agreement.

本出願は、概ね、原子層成膜によってモリブデン又はタングステンを含む薄膜を形成するための前駆体及び方法に関する。このような膜は、例えば、電子デバイスにおける二次元(2D)材料としての用途を見出すことができる。 The present application relates generally to precursors and methods for forming thin films comprising molybdenum or tungsten by atomic layer deposition. Such films may find use, for example, as two-dimensional (2D) materials in electronic devices.

関連技術
モリブデンを含むあらゆる種類の薄膜の原子層堆積(ALD)の従来のプロセスは、精選した少数の既知のMoCl、Mo(CO)等のモリブデン前駆体、並びにMo(NBu)(NMe及びMo(NBu)(NEt等のアルキルアミン前駆体に制限されていた。最近報告されたMoS薄膜の成膜のための前駆体の組み合わせには、Mo(CO)とHS、Mo(CO)とMeSSMe、及びMoClとHSが含まれる。しかし、これらの従来のモリブデン前駆体は、取り扱いが困難であることが判明する可能性がある。例えば、Mo(CO)は、モリブデンを含有する結晶薄膜を成膜するには低すぎるであろう狭い成膜温度範囲を有する猛毒物質である。一方、MoClは、成功裏にMoS膜を成膜するように、追加の滞留時間が必要と思われる。
Related Art Conventional processes for atomic layer deposition (ALD) of all kinds of thin films containing molybdenum use a select few known molybdenum precursors such as MoCl 5 , Mo(CO) 6 as well as Mo(N t Bu) 2 It has been limited to alkylamine precursors such as (NMe 2 ) 2 and Mo(N t Bu) 2 (NEt 2 ) 2 . Recently reported precursor combinations for the deposition of MoS2 thin films include Mo(CO) 6 and H2S , Mo(CO) 6 and MeSSMe, and MoCl5 and H2S . However, these conventional molybdenum precursors can prove difficult to handle. For example, Mo(CO) 6 is a highly toxic substance with a narrow deposition temperature range that would be too low to deposit molybdenum-containing crystalline thin films. MoCl 5 , on the other hand, appears to require additional residence time to successfully deposit MoS 2 films.

いくつかのMoアルキルアミン前駆体は、+VIの酸化状態を有するMoを含有することができ、モリブデンを含有するあらゆる種類の薄膜の成膜中に問題を引き起こし得る。Moがより好ましい+IVの酸化状態を有するMoアルキルアミン前駆体は、一般的に不安定であり、使用が困難である。更に、Moアルキルアミン前駆体は、比較的温度に敏感であり、低温で分解してしまう。結晶膜の成長を促進するのには比較的高い温度が通常必要であるので、これはMoアルキルアミン前駆体の分解を引き起こす可能性がある。この分解は、モリブデンを含有するあらゆる種類の薄膜の結晶化を遅らせ、又は妨げる可能性さえある炭素等の不純物を生成する可能性がある。 Some Mo alkylamine precursors can contain Mo with an oxidation state of +VI, which can cause problems during the deposition of all kinds of molybdenum-containing thin films. Mo alkylamine precursors with an oxidation state of +IV, where Mo is more preferred, are generally unstable and difficult to use. In addition, Moalkylamine precursors are relatively temperature sensitive and decompose at low temperatures. This can lead to decomposition of the Moalkylamine precursors, as relatively high temperatures are usually required to promote crystalline film growth. This decomposition can produce impurities such as carbon that can retard or even prevent the crystallization of all types of molybdenum-containing thin films.

モリブデン(III)β-ジケトネートは、化学蒸着(CVD)プロセスを用いてMo含有薄膜を成膜させるのに利用されてきたが、ALDタイプのプロセスでの使用については広範囲には研究されていない。以前に開示されたモリブデン(III)β-ジケトネートの合成プロセスは、Mo(CO)、KMoCl、及び(NH[MoCl(HO)]を使用する。これらの化合物のそれぞれは重大な欠点を有しており、取り扱いが困難であることが判明する可能性がある。例えば、上述のように、Mo(CO)は猛毒で揮発性が高く、使用される手順の困難が増す。KMoClの実験室合成は困難であり、電気化学的又は高温のプロセスを必要とする。 Molybdenum(III) β-diketonates have been utilized to deposit Mo-containing thin films using chemical vapor deposition (CVD) processes, but have not been extensively investigated for use in ALD type processes. A previously disclosed process for the synthesis of molybdenum (III) β-diketonates uses Mo(CO) 6 , K 3 MoCl 6 and (NH 4 ) 2 [MoCl 5 (H 2 O)]. Each of these compounds has significant drawbacks and can prove difficult to handle. For example, as mentioned above, Mo(CO) 6 is highly toxic and highly volatile, adding to the difficulty of the procedures used. Laboratory synthesis of K3MoCl6 is difficult and requires electrochemical or high temperature processes.

遷移金属ジカルコゲナイド材料、特にMo及びWジカルコゲナイド等の2D遷移金属ジカルコゲナイド材料は、様々な用途の望ましい電気特性を有する。更に、別の二次元材料であるグラフェンとは異なり、いくつかの二次元遷移金属ジカルコゲナイドは、直接的なバンドギャップを有し、半導体性である。したがって、デバイスの小型化への応用のために、Mo及びWジカルコゲナイド等の二次元遷移金属ジカルコゲナイドが検討されている。 Transition metal dichalcogenide materials, especially 2D transition metal dichalcogenide materials such as Mo and W dichalcogenides, have desirable electrical properties for a variety of applications. Moreover, unlike graphene, another two-dimensional material, some two-dimensional transition metal dichalcogenides have direct bandgaps and are semiconducting. Therefore, two-dimensional transition metal dichalcogenides such as Mo and W dichalcogenides are being investigated for application to miniaturization of devices.

いくつかの態様では、Mo又はW含有薄膜を形成するプロセスが提供される。いくつかの実施形態では、Mo又はW含有薄膜が、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むプロセスで形成される。このサイクルは、最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相カルコゲン前駆体と接触させることと、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去することと、場合によっては所望の厚さのMo又はW含有薄膜が形成されるまで接触させる工程及び除去する工程を繰り返すことと、を含む。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する。いくつかの実施形態では、カルコゲン前駆体は、基材表面上のMo又はW前駆体と反応する。 In some aspects, processes are provided for forming Mo or W containing thin films. In some embodiments, a Mo or W containing thin film is formed on a substrate within a reaction chamber in a process comprising at least one cycle. The cycle comprises contacting the substrate with a vapor phase Mo or W precursor such that at most a first Mo or W precursor monolayer is formed on the substrate surface; removing the W precursor and reaction by-products, if any; contacting the substrate with a vapor phase chalcogen precursor; excess chalcogen precursor and reaction by-products, if any; , removing it, and optionally repeating the contacting and removing steps until a desired thickness of Mo- or W-containing thin film is formed. In some embodiments, Mo or W in the Mo or W precursor has an oxidation state below +IV but not 0. In some embodiments, chalcogen precursors react with Mo or W precursors on the substrate surface.

いくつかの実施形態では、プロセスは原子層堆積(ALD)プロセスである。いくつかの実施形態では、プロセスは2つ以上の連続サイクルを含む。いくつかの実施形態では、Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である。いくつかの実施形態では、Mo又はW前駆体中のMo又はWの酸化状態は+IIIである。いくつかの実施形態では、カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTSeを含む。 In some embodiments, the process is an atomic layer deposition (ALD) process. In some embodiments, the process includes two or more consecutive cycles. In some embodiments, the Mo or W containing thin film is a Mo or W sulfide, selenide, or telluride thin film. In some embodiments, the oxidation state of Mo or W in the Mo or W precursor is +III. In some embodiments, the chalcogen precursor comprises H2S , H2Se , H2Te , ( CH3 ) 2S , ( CH3 ) 2Se , or ( CH3 ) 2TSe .

いくつかの態様では、Mo若しくはW硫化物、セレン化物、又はテルル化物薄膜を形成する原子層堆積(ALD)プロセスが提供される。いくつかの実施形態によれば、Mo若しくはW硫化物、セレン化物又はテルル化物薄膜は、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むALDプロセスで形成される。このサイクルは、最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相カルコゲン前駆体と接触させることと、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去することと、所望の厚さのMo又はW含有薄膜が形成されるまで接触させる工程及び除去する工程を繰り返すことと、を含む。いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの二座配位子を含み得る。いくつかの実施形態では、カルコゲン前駆体は、基材表面上のMo又はW前駆体と反応する。 In some aspects, atomic layer deposition (ALD) processes for forming Mo or W sulfide, selenide, or telluride thin films are provided. According to some embodiments, a Mo or W sulfide, selenide or telluride thin film is formed on a substrate in a reaction chamber with an ALD process comprising at least one cycle. The cycle comprises contacting the substrate with a vapor phase Mo or W precursor such that at most a first Mo or W precursor monolayer is formed on the substrate surface; removing the W precursor and reaction by-products, if any; contacting the substrate with a vapor phase chalcogen precursor; excess chalcogen precursor and reaction by-products, if any; , removing it, and repeating the contacting and removing steps until a desired thickness of Mo- or W-containing thin film is formed. In some embodiments, the Mo or W precursor may contain at least one bidentate ligand. In some embodiments, chalcogen precursors react with Mo or W precursors on the substrate surface.

いくつかの実施形態では、二座配位子は、O、S、又はN原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子は、2つのO原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子は、O原子及びN原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子は、2つのN原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子はβ-ジケトナト配位子である。いくつかの実施形態では、β-ジケトナト配位子は、アセチルアセトナト(acac)配位子である。いくつかの実施形態では、β-ジケトナト配位子は、2,2,6,6-テトラメチル-3,5-ヘプタンジオナト(thd)配位子である。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は3つの二座配位子を含む。 In some embodiments, the bidentate ligand is attached to the Mo or W atom through an O, S, or N atom. In some embodiments, the bidentate ligand is attached to the Mo or W atom through two O atoms. In some embodiments, the bidentate ligand is attached to the Mo or W atom through an O and N atom. In some embodiments, the bidentate ligand is attached to the Mo or W atom through two N atoms. In some embodiments, the bidentate ligand is a β-diketonato ligand. In some embodiments, the β-diketonato ligand is an acetylacetonato (acac) ligand. In some embodiments, the β-diketonato ligand is a 2,2,6,6-tetramethyl-3,5-heptanedionato (thd) ligand. In some embodiments, the Mo or W precursor comprises at least two bidentate ligands. In some embodiments, the Mo or W precursor contains three bidentate ligands.

いくつかの態様では、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料を形成する原子層堆積(ALD)プロセスが提供される。いくつかの実施形態によれば、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料は、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むALDプロセスで形成される。このサイクルは、最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相硫黄、セレン又はテルル前駆体と接触させることと、過剰な硫黄、テルル又はセレン前駆体及び反応副産物がある場合には、それを除去することと、を含む。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWβ-ジケトネート前駆体である。いくつかの実施形態では、硫黄、セレン又はテルル前駆体は、基材表面上のMo又はW前駆体と反応する。 In some aspects, atomic layer deposition (ALD) processes for forming Mo or W sulfide, selenide, or telluride 2D materials are provided. According to some embodiments, a Mo or W sulfide, selenide, or telluride 2D material is formed on a substrate in a reaction chamber with an ALD process that includes at least one cycle. The cycle comprises contacting the substrate with a vapor phase Mo or W precursor such that at most a first Mo or W precursor monolayer is formed on the substrate surface; removing W precursors and reaction by-products, if any; contacting the substrate with gas phase sulfur, selenium or tellurium precursors; removing excess sulfur, tellurium or selenium precursors and reaction; and removing by-products, if any. In some embodiments, the Mo or W precursor is a Mo or W β-diketonate precursor. In some embodiments, sulfur, selenium or tellurium precursors react with Mo or W precursors on the substrate surface.

いくつかの態様では、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料を形成するプロセスが提供される。いくつかの実施形態によれば、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料は、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むサイクルプロセスで形成される。このサイクルは、最大でMo又はW含有材料の単層、好ましくは単層の約50%以下、好ましくは単層の約25%以下、より好ましくは単層の約10%未満が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、基材をパージガスに曝すことと、及び/又は過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相硫黄、セレン又はテルル前駆体と接触させることと、基材をパージガスに曝すことと、及び/又は過剰な硫黄、テルル又はセレン前駆体及び反応副生成物がある場合には、それを除去することと、を含む。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWβ-ジケトネート前駆体である。いくつかの実施形態では、硫黄、セレン又はテルル前駆体は、基材表面上に成膜されたMo又はW含有材料と反応する。 In some aspects, processes are provided for forming Mo or W sulfide, selenide, or telluride 2D materials. According to some embodiments, a Mo or W sulfide, selenide, or telluride 2D material is formed on a substrate within a reaction chamber in a cyclic process comprising at least one cycle. The cycle is such that up to a monolayer of Mo or W containing material, preferably no more than about 50% of the monolayer, preferably no more than about 25% of the monolayer, more preferably less than about 10% of the monolayer, is on the substrate surface. and exposing the substrate to a purge gas, and/or if there is excess Mo or W precursor and reaction by-products, such that the substrate is formed in contacting the substrate with vapor phase sulfur, selenium or tellurium precursors; exposing the substrate to a purge gas; and/or removing excess sulfur, tellurium or selenium precursors and and removing reaction by-products, if any. In some embodiments, the Mo or W precursor is a Mo or W β-diketonate precursor. In some embodiments, sulfur, selenium or tellurium precursors react with Mo or W containing materials deposited on the substrate surface.

いくつかの実施形態では、Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である。いくつかの実施形態では、Mo又はW前駆体を含むMo又はW原子の酸化状態は+IIIである。いくつかの実施形態では、カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTeを含む。いくつかの実施形態では、Mo又はW前駆体はMo(thd)であり、カルコゲン前駆体はHSである。いくつかの実施形態では、Mo又はW前駆体はW(thd)であり、カルコゲン前駆体はHSである。いくつかの実施形態では、2D材料はMoSを含む。 In some embodiments, the Mo or W containing thin film is a Mo or W sulfide, selenide, or telluride thin film. In some embodiments, the oxidation state of Mo or W atoms, including Mo or W precursors, is +III. In some embodiments, the chalcogen precursor comprises H2S , H2Se , H2Te , ( CH3 ) 2S , ( CH3 ) 2Se , or ( CH3 ) 2Te . In some embodiments, the Mo or W precursor is Mo(thd) 3 and the chalcogen precursor is H2S . In some embodiments, the Mo or W precursor is W(thd) 3 and the chalcogen precursor is H2S . In some embodiments, the 2D material comprises MoS2 .

いくつかの態様では、Mo又はWβ-ジケトネート前駆体を作製する方法が提供される。いくつかの実施形態によれば、Mo又はWβ-ジケトネート前駆体は、式MX(R)n、(式中、nは0~4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供することと、アルカリ金属化合物をβ-ジケトナト化合物と反応させることにより第1の生成物を形成することと、続いて第1の生成物を第1の反応物質に加えることと、により形成される。いくつかの実施形態では、式ML、(式中、MはMo又はWであり、Lはβ-ジケトナト配位子である)を有するMo又はWβ-ジケトネート前駆体が形成される。 In some aspects, methods of making Mo or W β-diketonate precursors are provided. According to some embodiments, the Mo or W β-diketonate precursor has the formula MX 3 (R)n 3 , where n is a number from 0 to 4, M is Mo or W, X is a halide and R is a solvent; reacting an alkali metal compound with a β-diketonate compound to form a first product; and adding the first product to the first reactant. In some embodiments, Mo or W β-diketonate precursors are formed having the formula ML 3 , where M is Mo or W and L is a β-diketonato ligand.

いくつかの実施形態では、第1の反応物質を提供することは、Mo又はWハロゲン化物を還元剤で還元することにより第1の中間生成物を形成することと、続いて溶媒を第1の生成物に添加することにより第2の中間生成物を形成することと、それにより第1の反応物質を形成することと、を更に含み得る。いくつかの実施形態では、Mo又はWハロゲン化物はMoClであり、β-ジケトン化合物はHthdであり、形成されたMo又はWβ-ジケトネート前駆体はMo(thd)である。 In some embodiments, providing a first reactant comprises reducing a Mo or W halide with a reducing agent to form a first intermediate product, followed by a solvent It can further include forming a second intermediate product by adding to the product, thereby forming the first reactant. In some embodiments, the Mo or W halide is MoCl 5 , the β-diketone compound is Hthd, and the Mo or W β-diketonate precursor formed is Mo(thd) 3 .

いくつかの態様では、Mo又はWβ-ジケトネート化合物を形成する方法が提供される。いくつかの実施形態によれば、Mo又はWβ-ジケトネート化合物は、式MX(R)n、(式中、nは0~4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供することと、アルカリ金属化合物をβ-ジケトナト化合物と反応させることにより第1の生成物を形成することと、続いて第1の生成物を第1の反応物質と反応させることと、により形成される。いくつかの実施形態では、式ML、(式中、Mは、+IIIの酸化状態を有するMo又はWであり、Lはβ-ジケトナト配位子である)を有するMo又はWβ-ジケトネート化合物が形成される。いくつかの実施形態では、Mo又はWβ-ジケトネート化合物中のMo又はWは、+IIIの酸化状態を有する。 In some aspects, methods of forming Mo or W β-diketonate compounds are provided. According to some embodiments, the Mo or W β-diketonate compound has the formula MX 3 (R)n 3 , where n is a number from 0 to 4, M is Mo or W, X is a halide and R is a solvent), reacting an alkali metal compound with a β-diketonato compound to form a first product, followed by and reacting the first product with the first reactant. In some embodiments, a Mo or W β-diketonate compound having the formula ML 3 , where M is Mo or W with an oxidation state of +III and L is a β-diketonato ligand It is formed. In some embodiments, Mo or W in the Mo or W β-diketonate compound has a +III oxidation state.

いくつかの態様では、Mo又はW含有材料を形成するプロセスが提供される。いくつかの実施形態によれば、Mo又はW含有材料は、反応チャンバー内の基材上に、少なくとも1つの成膜サイクルを含むプロセスで形成され、このサイクルは、基材を気相Mo又はW前駆体及び第2の気相カルコゲン前駆体と交互にかつ連続して接触させることを含む。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する。 In some aspects, processes are provided for forming Mo- or W-containing materials. According to some embodiments, a Mo- or W-containing material is formed on a substrate in a reaction chamber in a process that includes at least one deposition cycle, which cycle converts the substrate to vapor phase Mo or W. Alternately and sequentially contacting the precursor and the second vapor phase chalcogen precursor. In some embodiments, Mo or W in the Mo or W precursor has an oxidation state below +IV but not 0.

いくつかの実施形態では、成膜は2回以上繰り返される。いくつかの実施形態では、基材を気相Mo又はW前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する。いくつかの実施形態では、基材を気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去する。いくつかの実施形態では、基材をMo又はW気相前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、基材をパージガスと接触させる。いくつかの実施形態では、基材をカルコゲン気相前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、基材をパージガスと接触させる。いくつかの実施形態では、Mo又はW含有材料はMo又はW元素を含む。いくつかの実施形態では、Mo又はW含有材料はMo又はWの酸化物材料を含む。いくつかの実施形態では、Mo又はW含有材料はMo又はWの窒化物材料を含む。いくつかの実施形態では、Mo又はW含有材料はMo又はWのシリサイド材料を含む。 In some embodiments, deposition is repeated two or more times. In some embodiments, after contacting the substrate with the gas phase Mo or W precursor and before contacting the substrate with the gas phase chalcogen precursor, excess Mo or W precursor and reaction by-products are removed. If there is, remove it. In some embodiments, excess chalcogen precursor and reaction by-products, if any, are removed after contacting the substrate with the vapor phase chalcogen precursor and before initiating another deposition cycle. to remove In some embodiments, the substrate is contacted with a purge gas after contacting the substrate with the Mo or W gas phase precursor and before contacting the substrate with the gas phase chalcogen precursor. In some embodiments, the substrate is contacted with a purge gas after contacting the substrate with the chalcogen gas phase precursor and before initiating another deposition cycle. In some embodiments the Mo or W containing material comprises the Mo or W element. In some embodiments, the Mo or W containing material comprises a Mo or W oxide material. In some embodiments, the Mo or W containing material comprises a Mo or W nitride material. In some embodiments, the Mo or W containing material comprises a Mo or W silicide material.

本発明は、発明を実施するための形態から、及び本発明を例示することを意図し、本発明を限定することを意図するものではない添付図面から、よりよく理解されるであろう。 The invention will be better understood from the detailed description and from the accompanying drawings, which are intended to illustrate the invention and are not intended to limit the invention.

Mo又はW含有薄膜を成膜する方法を一般的に例示するプロセスフロー図である。1 is a process flow diagram generally illustrating a method of depositing Mo or W containing thin films; FIG. 金属β-ジケトネート前駆体を合成する方法を一般的に例示するプロセスフロー図である。1 is a process flow diagram generally illustrating a method of synthesizing metal β-diketonate precursors. FIG. 本明細書に記載の手順に従って合成されたMo(thd)の試料の質量スペクトルである。2 is a mass spectrum of a sample of Mo(thd) 3 synthesized according to the procedures described herein. 単結晶X線回折により決定されたMo(thd)の分子構造を例示する。Figure 3 illustrates the molecular structure of Mo(thd) 3 determined by single crystal X-ray diffraction. Mo(acac)、Mo(hfac)、及びMo(thd)の熱重量曲線を例示する。Figure 3 illustrates thermogravimetric curves for Mo(acac) 3 , Mo(hfac) 3 , and Mo(thd) 3 . MoS薄膜の電界放出形走査電子顕微鏡(FESEM)画像である。 2 is a Field Emission Scanning Electron Microscope (FESEM) image of MoS2 thin films. MoS薄膜の1サイクル当たりの成長速度対Mo(thd)及びHS前駆体のパルス長のグラフである。Fig . 2 is a graph of growth rate per cycle of MoS2 thin films versus pulse length of Mo(thd) 3 and H2S precursors; 膜厚対成膜サイクル数のグラフである。4 is a graph of film thickness versus number of deposition cycles; 様々なMo(thd)前駆体パルス長を用いて500℃で成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。A series of field emission scanning electron microscope (FESEM) images of MoS2 thin films deposited at 500° C. using various Mo(thd) 3 precursor pulse lengths. 様々なHS前駆体パルス長を用いて500℃で成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。4 is a series of Field Emission Scanning Electron Microscope (FESEM) images of MoS2 thin films deposited at 500° C. using various H2S precursor pulse lengths. 500℃で10~50成膜サイクルにより成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。Figure 2 is a series of Field Emission Scanning Electron Microscope (FESEM) images of MoS2 thin films deposited at 500°C with 10-50 deposition cycles. 500℃で100~2000成膜サイクルにより成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。2 is a series of Field Emission Scanning Electron Microscope (FESEM) images of MoS 2 thin films deposited at 500° C. with 100-2000 deposition cycles. エネルギー分散型X線(EDX)分析により測定されたMo(thd)前駆体パルス長さを変化させて成膜されたMoS薄膜の組成のグラフである。4 is a graph of the composition of MoS2 thin films deposited with varying Mo(thd) 3 precursor pulse lengths measured by energy dispersive X-ray (EDX) analysis. エネルギー分散型X線(EDX)分析により測定されたHS前駆体パルス長さを変化させて成膜されたMoS薄膜の組成のグラフである。Figure 2 is a graph of the composition of MoS2 thin films deposited with varying H2S precursor pulse lengths measured by energy dispersive X-ray (EDX) analysis; MoS膜厚対成膜サイクル数、及びMoとSの元素分率対成膜サイクル数を例示する。MoS 2 film thickness versus number of deposition cycles, and elemental fractions of Mo and S versus number of deposition cycles are illustrated. 様々なカルコゲン及びMo前駆体パルス長さで成膜されたMoS薄膜の微小角入射X線回折(GIXRD)パターンを示す。Figure 2 shows grazing incidence X-ray diffraction (GIXRD) patterns of MoS2 thin films deposited with various chalcogen and Mo precursor pulse lengths. MoS薄膜のラマンスペクトルである。Raman spectra of MoS2 thin films. 様々なカルコゲン及びMo前駆体パルス長さで成膜されたMoS薄膜のラマンスペクトルを例示する。Figure 4 illustrates Raman spectra of MoS2 thin films deposited with various chalcogen and Mo precursor pulse lengths. X線光電子分光法(XPS)により分析された2つのMoS薄膜の元素組成を例示する。Figure 3 illustrates the elemental composition of two MoS2 thin films analyzed by X-ray photoelectron spectroscopy (XPS). 原子間力顕微鏡(AFM)を用いて分析されたMoS2薄膜の表面粗さを例示する。Figure 3 illustrates the surface roughness of MoS2 thin films analyzed using atomic force microscopy (AFM). MoS薄膜の成長速度、及びMoとSの元素比率対成膜温度を例示する。Figure 3 illustrates the growth rate of MoS 2 thin films and the elemental ratio of Mo and S versus deposition temperature. 350℃~500℃で成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。A series of Field Emission Scanning Electron Microscope (FESEM) images of MoS2 thin films deposited between 350°C and 500°C. 自然酸化物を含むシリコン基材上に成膜されたMoS薄膜の収差補正走査形トンネル電子顕微鏡(AC-STEM)画像である。Fig . 2 is an aberration-corrected scanning tunneling electron microscope (AC-STEM) image of a MoS2 thin film deposited on a silicon substrate containing native oxide.

後述するように、Mo及びW含有薄膜を、原子層堆積(ALD)型プロセスにより基材上に成膜することができる。いくつかの実施形態では、Mo又はWカルコゲン化物薄膜、特にMo若しくはWの硫化物又はセレン化物薄膜を、ALDタイプのプロセスにより基材上に成膜することができる。ALDタイプのプロセスは、前駆体化学物質の制御された表面反応に基づいている。気相反応は、基材を前駆体と交互にかつ連続して接触させることにより回避される。気相の反応物質は、例えば、反応物質パルス間の反応チャンバーから過剰な反応物質及び/又は反応物質副生成物を除去することにより、基材表面上で互いに分離される。 As described below, Mo and W containing thin films can be deposited on substrates by atomic layer deposition (ALD) type processes. In some embodiments, Mo or W chalcogenide thin films, particularly Mo or W sulfide or selenide thin films, can be deposited on a substrate by an ALD type process. ALD-type processes are based on controlled surface reactions of precursor chemicals. Gas phase reactions are avoided by alternately and continuously contacting the substrate with the precursor. Vapor phase reactants are separated from each other on the substrate surface, for example, by removing excess reactants and/or reactant by-products from the reaction chamber between reactant pulses.

適切な基材材料は、絶縁材料、誘電材料、結晶材料、エピタキシャル、ヘテロエピタキシャル、又は酸化物等の単結晶材料を含み得る。例えば、基材はΑ1、サファイア、酸化ケイ素、又はA1N等の絶縁窒化物を含み得る。更に、基材材料及び/又は基材表面は、当業者によって選択され、その上に二次元結晶成長を強化、増加、又は最大化することができる。いくつかの実施形態では、Mo及びW含有薄膜又は材料が成膜される基材表面は、Si、Ge、III-V化合物、例えばGaAs及びInGaAs、並びにII-VI化合物等の半導体材料を含まない。いくつかの実施形態では、Mo及びW含有薄膜又は材料が成膜される基材表面は、絶縁材料以外の材料も含み得る。いくつかの実施形態では、Mo又はW含有薄膜の成膜後、Mo及びW含有薄膜が、絶縁材料以外の材料を含む基材の少なくとも一部から除去される。いくつかの実施形態では、Mo及びW含有薄膜又は材料、好ましくはMo若しくはWカルコゲン化物薄膜又は材料が成膜される基材表面は、硫黄、セレン又はテルル等のカルコゲン、最も好ましくは硫黄を含む。いくつかの実施形態では、Mo及びW含有薄膜又は材料が成膜される基材表面は、カルコゲンを含む表面基、好ましくは-S-H基等のカルコゲン-水素結合を有する表面基を含む。 Suitable substrate materials may include insulating materials, dielectric materials, crystalline materials, epitaxial, heteroepitaxial, or monocrystalline materials such as oxides. For example, the substrate may comprise Al 2 O 3 , sapphire, silicon oxide, or insulating nitrides such as AlN. Additionally, the substrate material and/or substrate surface can be selected by one skilled in the art to enhance, increase, or maximize two-dimensional crystal growth thereon. In some embodiments, the substrate surface on which the Mo and W containing thin film or material is deposited is free of semiconductor materials such as Si, Ge, III-V compounds such as GaAs and InGaAs, and II-VI compounds. . In some embodiments, the substrate surface on which the Mo and W containing thin film or material is deposited may also include materials other than insulating materials. In some embodiments, after deposition of the Mo or W containing thin film, the Mo and W containing thin film is removed from at least a portion of the substrate comprising materials other than the insulating material. In some embodiments, the substrate surface on which the Mo and W containing film or material, preferably the Mo or W chalcogenide film or material is deposited, comprises sulfur, a chalcogen such as selenium or tellurium, most preferably sulfur. . In some embodiments, the substrate surface on which the Mo and W containing thin film or material is deposited comprises surface groups comprising chalcogen, preferably surface groups with chalcogen-hydrogen bonds such as --SH groups.

要約すると、基材は、一般的に減圧下で、適切な成膜温度に加熱される。成膜温度は、一般的に、反応物質の熱分解温度未満に維持されるが、反応物質の凝縮を回避し、所望の表面反応のための活性化エネルギーを提供するのに十分高いレベルで維持される。もちろん、任意の所定のALD反応の適切な温度ウィンドウは、表面終端及び含まれる反応物質種に依存する。ここで、温度は、成膜される膜のタイプ及び特定の前駆体に依存して変化するが、約650℃以下であることが好まく、より好ましくは約500℃未満である。温度ウィンドウは、好ましくは約250℃~約600℃、より好ましくは約350℃~約550℃、最も好ましくは約375℃~約500℃である。場合によっては、反応温度は約250℃を超える、好ましくは約350℃を超える、最も好ましくは約375℃を超える。 Briefly, the substrate is heated to a suitable deposition temperature, typically under reduced pressure. The deposition temperature is generally maintained below the thermal decomposition temperature of the reactants, but at a level high enough to avoid condensation of the reactants and to provide the activation energy for the desired surface reactions. be done. Of course, the appropriate temperature window for any given ALD reaction depends on the surface termination and reactant species involved. Here, the temperature will vary depending on the type of film being deposited and the particular precursor, but is preferably no greater than about 650°C, more preferably less than about 500°C. The temperature window is preferably from about 250°C to about 600°C, more preferably from about 350°C to about 550°C, most preferably from about 375°C to about 500°C. Optionally, the reaction temperature is above about 250°C, preferably above about 350°C, and most preferably above about 375°C.

いくつかの実施形態では、成膜温度は、反応物質の分解温度を上まわってもよいが、膜の合理的に表面制御された成長、及び成膜サイクル当たりで材料の約1単層以下の成長速度を維持するのに十分低くてもよい。いくつかの実施形態では、成膜サイクル成長速度は、1サイクル当たり成膜される材料の約1単層の約50%以下、好ましくは約25%未満、より好ましくは約10%未満であることができる。 In some embodiments, the deposition temperature may exceed the decomposition temperature of the reactants, but still provide reasonably surface-controlled growth of the film and less than or equal to about one monolayer of material per deposition cycle. May be low enough to maintain growth rate. In some embodiments, the deposition cycle growth rate is no greater than about 50%, preferably less than about 25%, more preferably less than about 10% of about one monolayer of material deposited per cycle. can be done.

いくつかの実施形態では、成膜プロセスは、純粋なALDプロセスではなくてもよい。いくつかの実施形態では、カルコゲン前駆体は、成膜プロセスの間、反応空間を通して連続的に又は実質的に連続的に流れ得る。例えば、基材が金属前駆体と接触している間、反応空間を通るカルコゲン前駆体の流量を減少させ得る。カルコゲン前駆体が連続的に流れ得るいくつかの実施形態では、金属前駆体のパルス当たりの膜の成長速度は、成膜される材料の約1の単層以下である。カルコゲン前駆体が連続的に流れるいくつかの実施形態では、金属前駆体のパルス当たりの成長速度は、積層される材料の単層の約50%以下、好ましくは約25%未満、より好ましくは約10%未満である。 In some embodiments, the deposition process may not be a pure ALD process. In some embodiments, the chalcogen precursor may flow continuously or substantially continuously through the reaction space during the deposition process. For example, the flow rate of the chalcogen precursor through the reaction space can be reduced while the substrate is in contact with the metal precursor. In some embodiments in which the chalcogen precursor can flow continuously, the growth rate of the film per pulse of the metal precursor is about 1 monolayer or less of the deposited material. In some embodiments in which the chalcogen precursor flows continuously, the growth rate per pulse of the metal precursor is about 50% or less, preferably less than about 25%, more preferably about less than 10%.

いくつかの実施形態では、Mo及びW含有薄膜の成長速度は、約2Å/サイクル未満、約1.5Å/サイクル未満、約1Å/サイクル未満、又は約0.5Å/サイクル未満でさえある。いくつかの実施形態では、Mo及びW含有ジカルコゲナイド薄膜の成長速度は、約0.025Å/サイクル~約0.5Å/サイクルであることができる。他の実施形態では、Mo及びW含有ジカルコゲナイド薄膜、例えばMoS薄膜、の成長速度は、約0.05Å/サイクル~約0.3Å/サイクルである。 In some embodiments, the growth rate of Mo and W containing thin films is less than about 2 Å/cycle, less than about 1.5 Å/cycle, less than about 1 Å/cycle, or even less than about 0.5 Å/cycle. In some embodiments, the growth rate of Mo and W containing dichalcogenide thin films can be from about 0.025 Å/cycle to about 0.5 Å/cycle. In other embodiments, the growth rate of Mo and W containing dichalcogenide thin films, such as MoS2 thin films, is between about 0.05 Å/cycle and about 0.3 Å/cycle.

いくつかの実施形態では、基材表面に前処理プロセスを行うことができる。いくつかの実施形態では、前処理プロセスは、Mo又はW含有薄膜を成膜させる前に、その場で、又はその場以外で、基材を前処理反応物質に曝すことを含む。いくつかの実施形態では、前処理プロセスは、基材表面を以下の前処理反応物質、(NHS、HS、HCl、HBr、Cl、及びHFの少なくとも1つに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、プラズマ、原子、又はラジカルに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、カルコゲンを含むプラズマ、原子、又はラジカルに、例えば、硫黄、セレン若しくはテルル、好ましくは硫黄を含むプラズマ、原子、又はラジカル等に、曝すことを含み得る。いくつかの実施形態では、プラズマ、原子、又はラジカルはテルルを含み得る。いくつかの実施形態では、プラズマ、原子又はラジカルはセレンを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、その後の成膜プロセスに存在するカルコゲンを含むプラズマ、原子、又はラジカルに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、カルコゲン-水素結合を含むカルコゲン化合物から形成されるプラズマ、原子、又はラジカル、例えば、HSから形成されるプラズマ、原子又はラジカル等に曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、少なくとも1つの前処理反応物質に約1秒~約600秒間、好ましくは約1秒~約60秒間曝すことを含み得る。前処理プロセスは、蒸気形態及び/又は液体形態の前処理反応物質を利用し得る。いくつかの実施形態では、前処理プロセスは、後続の成膜プロセスと同じ温度及び/又は圧力で行われ得る。いくつかの実施形態では、前処理プロセスは、前処理プロセスが後続の成膜プロセスで使用されるよりも長いパルス時間又は曝露時間を要することを除いて、後続の成膜プロセスに類似し得る。いくつかの実施形態では、前処理プロセスは、基材表面を、前処理反応物質に曝して、-S-H表面終端のような所望の表面終端を形成することを含み得る。いくつかの実施形態では、所望の表面終端、例えば-S-H表面終端を形成することは、Mo又はW含有薄膜又は材料の二次元成長を促進し得る。いくつかの実施形態では、前処理プロセスは、基材を、S、Se、及びTeを含まないプラズマ、原子、又はラジカル、例えば水素を含むプラズマ、原子、若しくはラジカル、例えばHから形成されるプラズマ、に曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材を、酸素プラズマ、酸素原子、又は酸素ラジカルに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材、例えばAlNを含む基材を、窒素プラズマ、窒素原子、又は窒素ラジカルに曝すことを含み得る。いくつかの実施形態では、Mo又はW含有薄膜又は材料の成膜の前に、基材表面を洗浄するために、前処理プロセスを用いることができる。 In some embodiments, the substrate surface can be subjected to a pretreatment process. In some embodiments, the pretreatment process includes exposing the substrate to a pretreatment reactant, either in situ or ex situ, prior to depositing the Mo or W containing thin film. In some embodiments, the pretreatment process includes exposing the substrate surface to at least one of the following pretreatment reactants ( NH4 ) 2S , H2S , HCl, HBr, Cl2 , and HF. can include In some embodiments, the pretreatment process can include exposing the substrate surface to plasma, atoms, or radicals. In some embodiments, the pretreatment process exposes the substrate surface to a chalcogen-containing plasma, atoms, or radicals, such as sulfur, selenium, or tellurium, preferably sulfur-containing plasma, atoms, or radicals. can include exposing. In some embodiments, the plasma, atoms, or radicals may contain tellurium. In some embodiments, the plasma, atoms or radicals may contain selenium. In some embodiments, the pretreatment process can include exposing the substrate surface to a chalcogen-containing plasma, atoms, or radicals present in subsequent deposition processes. In some embodiments, the pretreatment process includes exposing the substrate surface to plasma, atoms, or radicals formed from chalcogen compounds that include chalcogen-hydrogen bonds, such as plasma, atoms, or radicals formed from H 2 S. and the like. In some embodiments, the pretreatment process can include exposing the substrate surface to at least one pretreatment reactant for about 1 second to about 600 seconds, preferably about 1 second to about 60 seconds. The pretreatment process may utilize pretreatment reactants in vapor form and/or liquid form. In some embodiments, pretreatment processes may occur at the same temperature and/or pressure as subsequent deposition processes. In some embodiments, the pretreatment process can be similar to the subsequent deposition process, except that the pretreatment process requires longer pulse or exposure times than used in the subsequent deposition process. In some embodiments, a pretreatment process can include exposing the substrate surface to a pretreatment reactant to form a desired surface termination, such as a --SH surface termination. In some embodiments, forming a desired surface termination, such as a --SH surface termination, can facilitate two-dimensional growth of Mo or W containing thin films or materials. In some embodiments, the pretreatment process forms the substrate from a plasma, atoms, or radicals free of S, Se, and Te, such as a plasma, atoms, or radicals containing hydrogen, such as H2. Plasma. In some embodiments, the pretreatment process can include exposing the substrate to oxygen plasma, oxygen atoms, or oxygen radicals. In some embodiments, a pretreatment process can include exposing a substrate, such as a substrate comprising AlN, to nitrogen plasma, nitrogen atoms, or nitrogen radicals. In some embodiments, a pretreatment process can be used to clean the substrate surface prior to deposition of Mo or W containing films or materials.

基材表面は、気相の第1の反応物質と接触する。いくつかの実施形態では、気相の第1の反応物質のパルスが、基材を含む反応空間に供給される。いくつかの実施形態では、基材は、気相の第1の反応物質を含む反応空間に移動される。好ましくは、第1反応物質の約1以下の単層が自己制御で基材表面上に吸着されるように条件を選択する。適切な接触時間は、特定の状況に基づいて当業者が容易に決定することができる。過剰な第1反応物質及び反応副生成物がある場合には、不活性ガスでパージすることにより、又は第1の反応物質の存在から基材を除去することにより、基材表面から除去される。 The substrate surface is contacted with the gas phase first reactant. In some embodiments, a pulse of gas phase first reactant is supplied to a reaction space containing a substrate. In some embodiments, the substrate is transferred to a reaction space containing a gas phase first reactant. Preferably, the conditions are selected such that no more than about one monolayer of the first reactant is adsorbed onto the substrate surface in a self-limiting manner. Appropriate contact times can be readily determined by those skilled in the art based on the particular circumstances. Any excess first reactant and reaction by-products are removed from the substrate surface by purging with an inert gas or by removing the substrate from the presence of the first reactant .

パージとは、真空ポンプを用いてチャンバーを排気することにより、及び/又は反応器内のガスをアルゴン若しくは窒素などの不活性ガスで置換することにより、気相前駆体物質及び/又は気相副生成物を基材表面から除去することを意味する。典型的なパージ時間は、約0.05~20秒、より好ましくは約0.2~10秒、更に好ましくは約0.5~5秒である。しかし、非常に高いアスペクト比の構造若しくは複雑な表面形態を有する他の構造が必要な場合、又は高度な共形ステップカバレッジが必要な場合、又はバッチ反応器等の異なる反応器タイプが使用される場合等、必要に応じて他のパージ時間が利用され得る。 Purging is performed by evacuating the chamber using a vacuum pump and/or replacing the gas in the reactor with an inert gas such as argon or nitrogen to remove the gas phase precursor material and/or the gas phase secondary material. It is meant to remove the product from the substrate surface. A typical purge time is about 0.05-20 seconds, more preferably about 0.2-10 seconds, more preferably about 0.5-5 seconds. However, if very high aspect ratio structures or other structures with complex surface morphology are required, or if a high degree of conformal step coverage is required, or a different reactor type such as a batch reactor is used. Other purge times may be utilized as desired.

基材表面は、気相の第2の気体反応物質と接触する。いくつかの実施形態では、第2の気体反応物質のパルスが、基材を含む反応空間に供給される。気相の第2の気体反応物質は、反応チャンバーの入口から出口まで実質的に連続した流れで反応チャンバー内に供給され得る。いくつかの実施形態では、反応チャンバーからの出口流、例えばポンプラインは閉じられていない。いくつかの実施形態では、反応チャンバーからの出口流、例えば、反応チャンバーからポンプラインへの流れ、更にポンプの前のポンプラインを通る流れは、実質的に閉じられないが、制限され得る。いくつかの実施形態では、基材は、気相の第2の反応物質を含む反応空間に移動される。表面反応の過剰な第2の反応物質及び気体の副生成物がある場合には、これらは基材表面から除去される。いくつかの実施形態では、反応物質の滞留時間は存在しない。いくつかの実施形態では、気相の反応物質は反応空間内で静的ではなく、気相の反応物質は基材と接触している。気相の反応物質は、反応物質が基材に対して流れていない場合、又は反応物質が、開放された出口がない反応空間に1つの入口から流入している場合には、静的であることができる。 The substrate surface is contacted with a second gaseous reactant in the vapor phase. In some embodiments, a pulse of the second gaseous reactant is supplied to the reaction space containing the substrate. A gas phase second gas reactant may be fed into the reaction chamber in a substantially continuous flow from the inlet to the outlet of the reaction chamber. In some embodiments, the exit flow from the reaction chamber, eg, the pump line, is not closed. In some embodiments, outlet flow from the reaction chamber, such as flow from the reaction chamber to the pump line and also flow through the pump line prior to the pump, is not substantially closed, but can be restricted. In some embodiments, the substrate is transferred to a reaction space containing a gas phase second reactant. Any excess second reactants and gaseous by-products of the surface reaction are removed from the substrate surface. In some embodiments, there is no residence time for reactants. In some embodiments, the vapor phase reactant is not static within the reaction space and the vapor phase reactant is in contact with the substrate. Vapor phase reactants are static if the reactants are not flowing against the substrate or if the reactants are flowing from one inlet into a reaction space with no open outlets. be able to.

接触させる工程及び除去する工程は、各サイクルが約単分子層以下に堆積しながら所望の厚さの薄膜が基材上に選択的に形成されるまで繰り返される。第1の気相Mo又はW前駆体を接触させる工程及び除去する工程を、第1の前駆体相、Mo若しくはW前駆体相、又はMo若しくはW相と呼ぶことができる。第2の気相前駆体を接触させる工程及び除去する工程を、第2の前駆体相、カルコゲン前駆体相、又はカルコゲン相と呼ぶことができる。全体として、これらの2つの相は、成膜サイクルを構成することができる。基材表面を他の反応物質と交互にかつ連続して接触させることを含む追加の相を加えて、より複雑な材料、例えば三元材料を形成することができる。 The contacting and removing steps are repeated until a thin film of the desired thickness is selectively formed on the substrate with each cycle depositing about a monolayer or less. The steps of contacting and removing the first gas phase Mo or W precursor can be referred to as the first precursor phase, the Mo or W precursor phase, or the Mo or W phase. The steps of contacting and removing the second gas phase precursor can be referred to as the second precursor phase, the chalcogen precursor phase, or the chalcogen phase. Collectively, these two phases can constitute a deposition cycle. Additional phases, including alternating and sequential contacting of the substrate surface with other reactants, can be added to form more complex materials, such as ternary materials.

上述したように、各サイクルの各段階は自己制御することが好ましい。反応を受ける構造表面を飽和させるために、過剰の反応物質前駆体が各段階において供給される。表面飽和は、(例えば、物理的サイズ又は「立体障害」の制限にさらされている)すべての利用可能な反応性部位の反応物質の占有を確実にし、したがって優れたステップカバレージ及び均一性を確実にする。典型的には、各サイクルで材料の1分子層未満が成膜されるが、いくつかの実施形態では、1サイクル中に1層よりも多い分子層が成膜される。 As mentioned above, each step of each cycle is preferably self-regulating. Excess reactant precursors are supplied at each stage to saturate the structural surfaces undergoing reaction. Surface saturation ensures reactant occupancy of all available reactive sites (e.g., subject to physical size or "steric hindrance" limitations), thus ensuring excellent step coverage and uniformity. to Typically, less than one monolayer of material is deposited in each cycle, but in some embodiments more than one monolayer is deposited during one cycle.

過剰な反応物質の除去は、反応空間の内容物の一部を排気すること、及び/又は反応空間をヘリウム、窒素又は他の不活性ガスでパージすることを含み得る。いくつかの実施形態では、パージすることは、不活性キャリアガスを反応空間に流し続けながら、反応性ガスの流れを止めることを含み得る。 Removal of excess reactants may include evacuating a portion of the contents of the reaction space and/or purging the reaction space with helium, nitrogen, or other inert gas. In some embodiments, purging can include stopping the flow of reactive gas while the inert carrier gas continues to flow into the reaction space.

ALDタイプのプロセスで使用される前駆体は、前駆体が基材表面と接触する前に気相であれば、標準的な条件(室温及び大気圧)下で固体、液体又は気体の材料であってもよい。基材表面を気化した前駆体と接触させることは、前駆体蒸気が所定の時間、基材表面と接触することを意味する。典型的には、接触時間は約0.05~20秒、より好ましくは約0.2~10秒、更により好ましくは約0.5~5秒である。いくつかの実施形態では、気相の第2の気体接触時間は、気相の第1の気体反応物質接触時間と同じオーダーの長さが好ましい。いくつかの実施形態では、気相の第2の気体接触時間は、気相の第1の気体反応物質接触時間よりも約100倍以下長いことが好ましい。 Precursors used in ALD-type processes can be solid, liquid or gaseous materials under standard conditions (room temperature and atmospheric pressure), provided that the precursors are in the gas phase prior to contact with the substrate surface. may Contacting the substrate surface with the vaporized precursor means that the precursor vapor contacts the substrate surface for a predetermined period of time. Typically, the contact time is about 0.05-20 seconds, more preferably about 0.2-10 seconds, still more preferably about 0.5-5 seconds. In some embodiments, the gas phase second gas contact time is preferably of the same order of magnitude as the gas phase first gas reactant contact time. In some embodiments, the vapor phase second gas contact time is preferably about 100 times or less greater than the vapor phase first gas reactant contact time.

しかし、基材のタイプ及びその表面積によって、接触時間は20秒よりも更に長くてもよい。接触時間は、場合によっては数分のオーダーでよい場合がある。当業者は、特定の状況に基づいて最適な接触時間を決定し得る。いくつかの実施形態では、カルコゲン前駆体の接触時間は、約60秒未満、好ましくは約30秒未満、より好ましくは約10秒未満、最も好ましくは約5秒未満である。 However, depending on the type of substrate and its surface area, the contact time may be even longer than 20 seconds. Contact times may be on the order of minutes in some cases. One skilled in the art can determine the optimum contact time based on the particular circumstances. In some embodiments, the chalcogen precursor contact time is less than about 60 seconds, preferably less than about 30 seconds, more preferably less than about 10 seconds, and most preferably less than about 5 seconds.

当業者は、前駆体の質量流量を決定することもできる。いくつかの実施形態では、Mo又はW前駆体の流量は、好ましくは約1~1000sccm、より好ましくは約100~500sccmである。 A person skilled in the art can also determine the mass flow rate of the precursor. In some embodiments, the Mo or W precursor flow rate is preferably about 1-1000 seem, more preferably about 100-500 seem.

反応チャンバー内の圧力は、典型的には約0.01~約50ミリバール、より好ましくは約0.1~約10ミリバールである。特定の状況が与えられれば、当業者によって決定され得るが、しかし、場合によっては圧力はこの範囲よりも高い又は低いであろう。 The pressure within the reaction chamber is typically from about 0.01 to about 50 mbar, more preferably from about 0.1 to about 10 mbar. Given the particular circumstances, the pressure may be higher or lower than this range, as can be determined by one of ordinary skill in the art.

膜の成膜を開始する前に、基材は典型的には適切な成長温度に加熱される。成長温度は、形成される薄膜の種類、前駆体の物理的特性等により異なる。成長温度は、好ましくは約650℃以下であり、より好ましくは約500℃以下である。成長温度ウィンドウは、好ましくは約250℃~約600℃であり、より好ましくは約350℃~約550℃であり、最も好ましくは約375℃~約500℃である。場合によっては、成長温度は約250℃より高く、好ましくは約350℃より高く、最も好ましくは約375℃より高い。成長温度は、アモルファス薄膜が形成されるように、成膜材料の結晶化温度未満であることができ、又は、結晶薄膜が形成されるように結晶化温度を超えることができる。好ましい成膜温度は、限定するものではないが、反応物質前駆体、圧力、流速、反応器の配置、成膜された薄膜の結晶化温度、及び成膜される材料の性質を含む基材の組成等の多くの因子により変化し得る。当業者は、特定の成長温度を選択することができる。サーマルバジェット、即ち、成膜中、及び本発明の膜の成膜後の更なるプロセスにおける任意の時点の、反応温度及び場合によってはアニール温度は、好ましくは約800℃未満、より好ましくは約650℃未満、最も好ましくは約600℃未満、場合によっては約500℃未満であることに留意されたい。 Prior to initiating film deposition, the substrate is typically heated to a suitable growth temperature. The growth temperature varies depending on the type of thin film to be formed, the physical properties of the precursor, and the like. The growth temperature is preferably about 650° C. or less, more preferably about 500° C. or less. The growth temperature window is preferably from about 250°C to about 600°C, more preferably from about 350°C to about 550°C, and most preferably from about 375°C to about 500°C. In some cases, the growth temperature is greater than about 250°C, preferably greater than about 350°C, and most preferably greater than about 375°C. The growth temperature can be below the crystallization temperature of the deposition material, such that an amorphous thin film is formed, or it can be above the crystallization temperature, such that a crystalline thin film is formed. Preferred deposition temperatures are those of the substrate including, but not limited to, reactant precursors, pressure, flow rate, reactor geometry, crystallization temperature of the deposited thin film, and properties of the material being deposited. It can vary depending on many factors such as composition. A person skilled in the art can select a particular growth temperature. The thermal budget, ie the reaction temperature and optionally the annealing temperature at any point during deposition and further processing after deposition of the films of the present invention, is preferably less than about 800° C., more preferably about 650° C. C., most preferably less than about 600.degree. C., and sometimes less than about 500.degree.

いくつかの実施形態では、成膜されたMo又はW含有薄膜は、任意の成膜後処理プロセスを受けることができる。いくつかの実施形態では、例えば、成膜後処理プロセスは、アニールプロセス、例えばフォーミングガスアニールプロセスを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料表面をプラズマに曝すことを含み得る。いくつかの他の実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料表面をプラズマに曝すことを含まない。 In some embodiments, the deposited Mo- or W-containing thin film can undergo any post-deposition treatment process. In some embodiments, for example, a post-deposition treatment process can include an annealing process, such as a forming gas annealing process. In some embodiments, the post-deposition treatment process can include exposing the Mo or W containing thin film or material surface to plasma. In some other embodiments, the post deposition treatment process does not include exposing the Mo or W containing thin film or material surface to plasma.

いくつかの実施形態では、成膜後処理プロセスは、成膜されたMo又はW含有薄膜又は、材料を成膜後処理反応物質に、その場で又はその場以外のいずれかで曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料表面を、以下の成膜後処理反応物質:(NHS又はHSの少なくとも1つに曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、カルコゲンを含むプラズマ、例えば硫黄を含むプラズマ等に曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、カルコゲン-水素結合を含むカルコゲン化合物から形成されたプラズマ、例えばHSから形成されたプラズマ等に曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、カルコゲンを含むプラズマ、例えば硫黄を含むプラズマ等に曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、少なくとも1つの成膜後処理反応物質に約1秒~約600秒間、好ましくは約1秒~約60秒間曝すことを含み得る。成膜後処理プロセスは、蒸気形態及び/又は液体形態で成膜後処理反応物質を利用し得る。いくつかの実施形態では、成膜後処理プロセスは、後続の成膜プロセスとほぼ同じ温度及び/又は圧力で行われ得る。いくつかの実施形態では、成膜後処理プロセスは、前の成膜プロセスで使用されるよりも長いパルス時間又は曝露時間を必要とすることを除いて、前の成膜プロセスに類似し得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、水素を含むプラズマ、原子、又はラジカル、例えばHから形成されたプラズマ等に曝すことを含む。 In some embodiments, the post-deposition treatment process includes exposing the deposited Mo- or W-containing thin film or material to a post-deposition treatment reactant, either in situ or ex-situ. obtain. In some embodiments, the post deposition treatment process includes exposing the Mo or W containing thin film or material surface to at least one of the following post deposition treatment reactants: ( NH4 ) 2S or H2S . can include In some embodiments, the post-deposition treatment process can include exposing the Mo or W containing film or material to a chalcogen containing plasma, such as a sulfur containing plasma. In some embodiments, the post-deposition treatment process includes exposing the Mo- or W-containing thin film or material to a plasma formed from a chalcogen compound containing chalcogen-hydrogen bonds, such as a plasma formed from H 2 S. can include In some embodiments, the post-deposition treatment process can include exposing the Mo or W containing film or material to a chalcogen containing plasma, such as a sulfur containing plasma. In some embodiments, the post deposition treatment process includes exposing the Mo or W containing film or material to at least one post deposition treatment reactant for about 1 second to about 600 seconds, preferably about 1 second to about 60 seconds. can include exposing. Post deposition treatment processes may utilize post deposition treatment reactants in vapor form and/or liquid form. In some embodiments, post-deposition treatment processes may occur at approximately the same temperature and/or pressure as subsequent deposition processes. In some embodiments, the post-deposition treatment process can be similar to the previous deposition process, except that it requires longer pulse or exposure times than used in the previous deposition process. In some embodiments, the post-deposition treatment process includes exposing the Mo- or W-containing thin film or material to a hydrogen-containing plasma, such as a plasma formed from atoms or radicals, such as H2 .

使用され得る適切な反応器の例としては、市販のALD装置、例えば、アリゾナ州フェニックス ASM America, Inc.、日本東京都 ASM Japan KK、及びオランダAlmere ASM Europe B.V. から市販されている、F-120(登録商標)反応器、Eagle(登録商標)XP8、Pulsar(登録商標)反応器、及びAdvance(登録商標)400 Series反応器が挙げられる。これらのALD反応器に加えて、適切な装置を備えたCVD反応器及び前駆体をパルスするための手段を含む、薄膜のALD成長が可能な多くの他の種類の反応器を使用することができる。いくつかの実施形態では、フロー型ALD反応器が使用される。好ましくは、反応物質は、前駆体のための共有ラインが最小化されるように、反応チャンバーに到達するまで別々に保持される。しかし、2004年8月30日に出願された米国特許出願第10/929,348号及び2001年4月16日に出願された同第09/836,674号に記載されているような前反応チャンバーの使用等の他の構成も可能であり、これらの開示は参照により本明細書に組み込まれる。 Examples of suitable reactors that can be used include commercially available ALD equipment such as ASM America, Inc., Phoenix, Ariz. , ASM Japan KK, Tokyo, Japan, and Almere ASM Europe B.V. V. and F-120® reactors, Eagle® XP8, Pulsar® reactors, and Advance® 400 Series reactors commercially available from Epson. In addition to these ALD reactors, many other types of reactors capable of ALD growth of thin films can be used, including CVD reactors with appropriate equipment and means for pulsing the precursors. can. In some embodiments, a flow ALD reactor is used. Preferably, the reactants are kept separate until they reach the reaction chamber so that shared lines for precursors are minimized. However, prior reactions such as those described in U.S. patent application Ser. Other configurations, such as the use of chambers, are also possible, the disclosures of which are incorporated herein by reference.

いくつかの実施形態では、適切な反応器はバッチ式反応器であってもよく、約25より多い基材、約50より多い基材又は約100より多い基材を含み得る。いくつかの実施形態では、適切な反応器はミニバッチ式反応器であってもよく、約2~約20の基材、約3~約15の基材又は約4~約10の基材を含み得る。 In some embodiments, a suitable reactor may be a batch reactor and may contain more than about 25 substrates, more than about 50 substrates, or more than about 100 substrates. In some embodiments, a suitable reactor may be a mini-batch reactor, containing from about 2 to about 20 substrates, from about 3 to about 15 substrates, or from about 4 to about 10 substrates. obtain.

成長プロセスを、クラスタツールに接続された反応器又は反応空間で任意に実施することができる。クラスタツールでは、各反応空間が1つのタイプのプロセス専用であるため、各モジュール内の反応空間の温度を一定に保つことができ、各運転の前に基材をプロセス温度まで加熱する反応器と比較してスループットが向上する。 The growth process can optionally be performed in a reactor or reaction space connected to the cluster tool. In a cluster tool, since each reaction space is dedicated to one type of process, the temperature of the reaction spaces within each module can be kept constant, and the reactors and reactors heating the substrate to process temperature before each run. Throughput is improved in comparison.

独立型反応器にはロードロックが装備されている。その場合、各運転と運転との間に反応空間を冷却する必要はない。 A stand-alone reactor is equipped with a load lock. In that case, there is no need to cool the reaction space between each run.

好ましい実施形態によれば、図1に示すように、Mo又はW含有薄膜は、少なくとも1つの成膜サイクル10を含むALDタイプのプロセスにより基材上に形成され、成膜サイクルは、 According to a preferred embodiment, as shown in FIG. 1, a Mo or W containing thin film is formed on a substrate by an ALD type process comprising at least one deposition cycle 10, the deposition cycle comprising:

工程12において、基材表面を、気化したMo又はW前駆体と接触させて、基材上にMo又はW前駆体の最大で単分子層を形成することと、
工程13において、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを表面から除去することと、
工程14において、基材表面を気化したカルコゲン前駆体と接触させることと、
工程15において、過剰なカルコゲン前駆体及びMo又はW前駆体層とカルコゲン前駆体との間の反応で形成された任意の気体の副生成物を、表面から除去することと、を含む。
In step 12, contacting the substrate surface with the vaporized Mo or W precursor to form up to a monolayer of Mo or W precursor on the substrate;
removing excess Mo or W precursors and reaction by-products, if any, from the surface in step 13;
In step 14, contacting the substrate surface with the vaporized chalcogen precursor;
Step 15 includes removing from the surface excess chalcogen precursor and any gaseous by-products formed in the reaction between the Mo or W precursor layer and the chalcogen precursor.

所望の厚さのMo又はW含有薄膜が形成されるまで、接触させる工程及び除去する工程16を繰り返すことができる。 The contacting and removing steps 16 can be repeated until a desired thickness of Mo or W containing thin film is formed.

例示の成膜サイクルは、基材表面をMo又はW前駆体と接触させることから始まるが、他の実施形態では、成膜サイクルは、基材表面をカルコゲン前駆体と接触させることから始まる。基材表面が第1の前駆体と接触し、前駆体が反応しない場合には、次の前駆体が提供されるときにプロセスが始まることは、当業者には理解されるであろう。いくつかの実施形態では、窒素又はアルゴン等の不活性キャリアガスを流し続けながら、Mo又はW前駆体の流れを停止することにより、反応物質及び反応副生成物を基材表面から除去することができる。 An exemplary deposition cycle begins with contacting the substrate surface with a Mo or W precursor, although in other embodiments the deposition cycle begins with contacting the substrate surface with a chalcogen precursor. Those skilled in the art will appreciate that if the substrate surface contacts the first precursor and the precursor does not react, the process begins when the next precursor is provided. In some embodiments, reactants and reaction by-products can be removed from the substrate surface by stopping the flow of the Mo or W precursor while continuing to flow an inert carrier gas such as nitrogen or argon. can.

いくつかの実施形態では、不活性キャリアガスを流し続けながら、第2の反応物質の流れを停止することにより、反応物質及び反応副生成物を基材表面から除去することができる。いくつかの実施形態では、所望の順序で所望の時間、異なる反応物質が基材表面に交互にかつ連続して接触するように、基材は移動される。いくつかの実施形態では、除去する工程は行われない。いくつかの実施形態では、チャンバーの様々な部分から反応物質を除去しなくてもよい。いくつかの実施形態では、基材は、第1の前駆体を含むチャンバーの一部から、第2の前駆体を含むチャンバーの別の部分に移動される。いくつかの実施形態では、基材は、第1の反応チャンバーから第2の異なる反応チャンバーに移動される。 In some embodiments, reactants and reaction byproducts can be removed from the substrate surface by stopping the flow of the second reactant while the inert carrier gas continues to flow. In some embodiments, the substrate is moved such that the different reactants alternately and sequentially contact the substrate surface in the desired order and for the desired time. In some embodiments, no removing step is performed. In some embodiments, reactants may not be removed from various portions of the chamber. In some embodiments, the substrate is moved from one portion of the chamber containing the first precursor to another portion of the chamber containing the second precursor. In some embodiments, the substrate is moved from a first reaction chamber to a second, different reaction chamber.

いくつかの実施形態では、成膜されたMo又はW含有膜は、ジカルコゲナイド薄膜を含み得る。いくつかの実施形態では、成膜された薄膜は、モリブデンジカルコゲナイド又はタングステンジカルコゲナイドを含み得る。いくつかの実施形態では、成膜された薄膜は、MoS、WS、MoSe、WSe、MoTe、又はWTeを含み得る。簡略化のために、これらのジカルコゲナイドは、その一般的な化学量論を有するように表されている。しかし、いかなるMo又はW含有膜又は材料の正確な化学量論は、関与する元素の酸化状態に基づいて変化するであろうことが理解されるであろう。したがって、他の化学量論が明白に考慮される。 In some embodiments, the deposited Mo- or W-containing film may comprise a dichalcogenide thin film. In some embodiments, the deposited thin film can include molybdenum dichalcogenide or tungsten dichalcogenide. In some embodiments, the deposited thin film may comprise MoS2 , WS2 , MoSe2 , WSe2 , MoTe2 , or WTe2 . For simplicity, these dichalcogenides are represented as having their common stoichiometry. However, it will be appreciated that the exact stoichiometry of any Mo or W containing film or material will vary based on the oxidation state of the elements involved. Other stoichiometries are therefore expressly contemplated.

本明細書において「ジカルコゲナイド」という用語が使用され、これらのジカルコゲナイドは、Mo又はW等の金属原子のS、Se又はTe等のカルコゲン原子に対する比が1:2の一般的な化学量論を有することが示されているが、膜の化学量論は変化し得る。例えば、金属原子のカルコゲン原子に対する比は、使用される分析技術及び/又はプロセス条件により変化し得る。いくつかの実施形態では、金属原子のカルコゲン原子に対する比は、約1:3~約2:1、好ましくは約1:2.5~約1:1、より好ましくは約1:2であることができる。いくつかの実施形態では、ジカルコゲナイド膜は、約20at%~約50at%、好ましくは約25at%~約40at%のMo又はWを含み得る。いくつかの実施形態では、ジカルコゲナイド膜は、約30at%~約75at%、好ましくは約35at%~約70at%のカルコゲン(S、Se又はTe)を含み得る。 The term "dichalcogenides" is used herein and these dichalcogenides have a common stoichiometry of 1:2 ratio of metal atoms such as Mo or W to chalcogen atoms such as S, Se or Te. , the film stoichiometry can vary. For example, the ratio of metal atoms to chalcogen atoms can vary depending on the analytical technique and/or process conditions used. In some embodiments, the ratio of metal atoms to chalcogen atoms is from about 1:3 to about 2:1, preferably from about 1:2.5 to about 1:1, more preferably about 1:2. can be done. In some embodiments, the dichalcogenide film may comprise Mo or W from about 20 at% to about 50 at%, preferably from about 25 at% to about 40 at%. In some embodiments, the dichalcogenide film may comprise from about 30 at% to about 75 at%, preferably from about 35 at% to about 70 at% chalcogen (S, Se or Te).

いくつかの実施形態では、Mo又はW含有ジカルコゲナイド膜は、Mo、W及びカルコゲン以外の元素を、好ましくはMo、W及びカルコゲン以外の水素を含む元素を、合計で約35at%未満、より好ましくは、合計で約25at%未満含有し得る。いくつかの実施形態では、膜は、約20at%未満の炭素、好ましくは約15at%未満の炭素、及び最も好ましくは約10at%未満の炭素を含有し得る。いくつかの実施形態では、膜は、約15at%未満の水素、好ましくは約10at%未満の水素、及び最も好ましくは約5at%未満の水素を含有し得る。いくつかの実施形態では、膜は、約10at%未満の酸素、好ましくは約5at%未満の酸素、及び最も好ましくは約3at%未満の酸素を含有し得る。いくつかの実施形態では、膜は、Mo若しくはW、カルコゲン、水素、炭素又は酸素以外の元素を約10at%未満、好ましくは約5at%未満、及び最も好ましくは約3at%未満含有し得る。上記の元素を含有するMo又はW含有膜は、2D材料のような異なる用途にも適していることに留意されたい。 In some embodiments, the Mo- or W-containing dichalcogenide film contains elements other than Mo, W and chalcogens, preferably Mo, W and hydrogen-containing elements other than chalcogens totaling less than about 35 at%, more preferably may contain less than about 25 at% in total. In some embodiments, the film may contain less than about 20 at% carbon, preferably less than about 15 at% carbon, and most preferably less than about 10 at% carbon. In some embodiments, the film may contain less than about 15 at% hydrogen, preferably less than about 10 at% hydrogen, and most preferably less than about 5 at% hydrogen. In some embodiments, the film may contain less than about 10 at% oxygen, preferably less than about 5 at% oxygen, and most preferably less than about 3 at% oxygen. In some embodiments, the film may contain less than about 10 at%, preferably less than about 5 at%, and most preferably less than about 3 at% of elements other than Mo or W, chalcogen, hydrogen, carbon or oxygen. Note that Mo- or W-containing films containing the above elements are also suitable for different applications such as 2D materials.

いくつかの実施形態では、成膜されたMo又はW含有膜は、簡略化のためにMo又はWを含むとして本明細書に記載されているが、Mo及びWの両方を含み得る。いくつかの実施形態では、成膜されたMo又はW含有膜は、Mo、W、カルコゲン(S、Te若しくはSe)、酸素、窒素又はシリコン以外の追加元素を含み得る。いくつかの実施形態では、成膜されたMo又はW含有膜は、ドーパントを含み得る。いくつかの実施形態では、成膜されたMo又はW含有膜は、カルコゲン(S、Te若しくはSe)、酸素、窒素又はシリコンの群の2つ以上の元素を含み得る。いくつかの実施形態では、成膜されたMo又はWカルコゲン化物含有膜は、カルコゲン(S、Te又はSe)の群の2つ以上の元素を含み得る。いくつかの実施形態では、本開示の薄膜は、任意の数の金属を含み得る。いくつかの実施形態によれば、Mo又はW含有膜は、2つ以上の金属を含み得る。いくつかの実施形態では、Mo又はW含有薄膜中へ追加の金属又は金属(複数)を組み込むために、1つ又は複数の堆積サイクルに追加の成膜相が加えられる。追加の金属相又は金属相(複数)は、第1の金属相の後でもよいし、若しくはカルコゲン相の後でもよく、又は両方の相の後でもよい。いくつかの実施形態では、2つ以上の異なる金属前駆体を、成膜サイクルの同じ金属相に同時に供給することができる。いくつかの実施形態では、異なる金属を含む金属前駆体を異なる成膜サイクルで使用し得る。例えば、第1の金属前駆体は、1つ又は複数の成膜サイクルで使用される唯一の金属前駆体であり、第2の異なる金属を含む第2の金属前駆体は、1つ又は複数の他の成膜サイクルで使用され得る。 In some embodiments, the deposited Mo- or W-containing film may contain both Mo and W, although described herein as containing Mo or W for simplicity. In some embodiments, the deposited Mo- or W-containing films may contain additional elements other than Mo, W, chalcogen (S, Te or Se), oxygen, nitrogen, or silicon. In some embodiments, the deposited Mo- or W-containing films may include dopants. In some embodiments, the deposited Mo- or W-containing films may contain two or more elements from the group chalcogen (S, Te or Se), oxygen, nitrogen, or silicon. In some embodiments, the deposited Mo or W chalcogenide-containing films may contain two or more elements of the group of chalcogens (S, Te or Se). In some embodiments, thin films of the present disclosure can include any number of metals. According to some embodiments, a Mo or W containing film may contain more than one metal. In some embodiments, additional deposition phases are added to one or more deposition cycles to incorporate additional metal or metals into the Mo or W containing thin film. The additional metal phase or phases may be after the first metal phase, or after the chalcogen phase, or after both phases. In some embodiments, two or more different metal precursors can be supplied simultaneously to the same metal phase of the deposition cycle. In some embodiments, metal precursors containing different metals may be used in different deposition cycles. For example, a first metal precursor is the only metal precursor used in one or more deposition cycles, and a second metal precursor comprising a second, different metal is one or more It can be used in other deposition cycles.

再び図1を参照すると、いくつかの実施形態は、基材表面に適用される工程11における任意の前処理プロセスを含み得る。前処理プロセスは、1つ又は複数の工程を含み得る。前処理において、Mo又はW含有薄膜が成膜される基材表面は、1つ又は複数の前処理反応物質及び/又は温度若しくは圧力等の特定の条件に曝され得る。前処理は、基材表面を清浄化し、不純物を除去し、自然酸化物を除去し、及び所望の表面終端を与えることを含むいくつもの理由で使用され得る。いくつかの実施形態では、前処理は、基材表面を1つ又は複数の前処理反応物質、例えば、(NHS、HS、HCl、HBr、Cl、又はHFに曝すことを含む。いくつかの実施形態では、前処理プロセスは、次の成膜プロセスとほぼ同じ温度で行われる。 Referring again to FIG. 1, some embodiments may include an optional pretreatment process in step 11 applied to the substrate surface. A pretreatment process may include one or more steps. In pretreatment, the substrate surface on which the Mo- or W-containing thin film is to be deposited may be exposed to one or more pretreatment reactants and/or specific conditions such as temperature or pressure. Pretreatment can be used for a number of reasons, including cleaning the substrate surface, removing impurities, removing native oxides, and providing the desired surface termination. In some embodiments, pretreatment includes exposing the substrate surface to one or more pretreatment reactants, such as ( NH4 ) 2S , H2S , HCl, HBr, Cl2 , or HF. including. In some embodiments, the pretreatment process occurs at approximately the same temperature as the subsequent deposition process.

以下に記載するように、多くの異なる前駆体を使用して、Mo又はW含有薄膜を成膜することができる。好ましくは、Mo又はW前駆体はM(thd)の式を有し、式中、MはMo又はWの1つであり、thdは2,2,6,6-テトラメチル-3,5-ヘプタンジオナトである。好ましくは、カルコゲン前駆体は、HS又はHSeのいずれかである。好ましい実施形態では、Mo又はW前駆体はMo(thd)であり、カルコゲン前駆体はHSであり、得られたMo又はW含有薄膜はMoS薄膜である。 As described below, many different precursors can be used to deposit Mo- or W-containing thin films. Preferably, the Mo or W precursor has the formula M(thd) 3 where M is one of Mo or W and thd is 2,2,6,6-tetramethyl-3,5 - is a heptanedionate. Preferably, the chalcogen precursor is either H2S or H2Se . In a preferred embodiment, the Mo or W precursor is Mo(thd) 3 , the chalcogen precursor is H2S and the resulting Mo or W containing thin film is MoS2 thin film.

いくつかの実施形態では、MoS薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、 In some embodiments, the MoS 2 thin film is formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:

基材表面を気化したMo(thd)と接触させて基材上に最大でMo(thd)の単分子層を形成することと、
過剰なMo(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSと接触させることと、
過剰なHS、及びMo(thd)層とHSとの間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
contacting the substrate surface with vaporized Mo(thd) 3 to form a monolayer of up to Mo(thd) 3 on the substrate;
removing excess Mo(thd) 3 and reaction by-products, if any, from the surface;
contacting the substrate surface with vaporized H2S ;
removing excess H2S and any gaseous by-products formed in the reaction between the Mo(thd) 3 layer and H2S from the surface.

所望の厚さのMoS薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of MoS 2 thin film is formed.

いくつかの実施形態では、MoSe薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo(thd)と接触させて基材上に最大でMo(thd)の単分子層を形成することと、
過剰なMo(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSeと接触させることと、
過剰なHSe、及びMo(thd)層とHSeとの間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
In some embodiments, the MoSe2 thin film is formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:
contacting the substrate surface with vaporized Mo(thd) 3 to form a monolayer of up to Mo(thd) 3 on the substrate;
removing excess Mo(thd) 3 and reaction by-products, if any, from the surface;
contacting the substrate surface with vaporized H 2 Se;
removing excess H2Se and any gaseous by-products formed in the reaction between the Mo(thd) 3 layer and H2Se from the surface.

所望の厚さのMoSe薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of MoSe 2 thin film is formed.

いくつかの実施形態では、WS薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、
基材表面を気化したW(thd)と接触させて基材上に最大でW(thd)の単分子層を形成することと、
過剰なW(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSと接触させることと、
過剰なHS及びW(thd)層とHSとの間の反応で形成された気体の副生成物を表面から除去することと、を含む。
In some embodiments, the WS2 thin film is formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:
contacting the substrate surface with vaporized W(thd) 3 to form a monolayer of up to W(thd) 3 on the substrate;
removing excess W(thd) 3 and reaction by-products, if any, from the surface;
contacting the substrate surface with vaporized H2S ;
removing excess H2S and gaseous by-products formed in the reaction between the W(thd) 3 layer and H2S from the surface.

所望の厚さのWS薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of WS2 thin film is formed.

いくつかの実施形態では、WSe薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、
基材表面を気化したW(thd)と接触させて基材上に最大でW(thd)の単分子層を形成することと、
過剰なW(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSeと接触させることと、
過剰なHSe、及びW(thd)層とHSeとの間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
In some embodiments, the WSe2 thin film is formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:
contacting the substrate surface with vaporized W(thd) 3 to form a monolayer of up to W(thd) 3 on the substrate;
removing excess W(thd) 3 and reaction by-products, if any, from the surface;
contacting the substrate surface with vaporized H 2 Se;
removing excess H2Se and any gaseous by-products formed in the reaction between the W(thd) 3 layer and H2Se from the surface.

所望の厚さのWSe薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of WSe2 thin film is formed.

Mo又はW前駆体
以下の前駆体のいずれかを、本明細書に開示される様々なALDプロセスで使用することができる。いくつかの実施形態では、Mo又はW前駆体は金属有機化合物である。いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの多座配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの二座配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つの二座配位子を有し、他の配位子を有さない。いくつかの実施形態では、Mo又はW前駆体は、O、N又はS原子を介して、より好ましくは少なくとも1つのO原子を介して、Mo又はWに結合する少なくとも1つの多座配位子を有する。いくつかの実施形態では、Mo又はW前駆体は、O及びNの両原子を介して、Mo又はWに結合する少なくとも1つの多座配位子を有する。より好ましくは、β-ジケトネート化合物が使用される。いくつかの実施形態では、ケトイミネート化合物が使用される。いくつかの実施形態では、M(acac)、M(thd)、M(tfac)、M(bac)、M(hfac)、又はM(fod)化合物が使用され、式中、MはMo又はWであり、acacはアセチルアセトナト又は2,4-ペンタンジオナトであり、thdは2,2,6,6-テトラメチル-3,5-ヘプタンジオナトであり、tfacはトリフルオロアセチルアセトナト又は1,1,1-トリフルオロ-2,4-ペンタンジオナトであり、bacはベンゾイルアセトナト、CCOCHCOCH、又は1-フェニル-1,3-ブタンジオナトであり、hfacはヘキサフルオロアセチルアセトナト又は1,1,1,5,5,5-ヘキサフルオロ-2,4-ペンタンジオナトであり、fodは2,2-ジメチル-6,6,7,7,8,8,8-ヘプタフルオロオクタン-3,5-ジオナトである。いくつかの実施形態では、Mo又はW前駆体は金属ハロゲン化物を含まない。いくつかの実施形態では、Mo又はW前駆体は、2つ以上のMo又はW原子を有する。いくつかの実施形態では、Mo又はW前駆体は2つ以上のMo又はW原子を有し、2つ以上のMo又はW原子は互いに結合する。いくつかの実施形態では、有機金属Mo又はW前駆体は、任意の他の元素又は化合物に結合していない酸素に直接結合した少なくとも1つの配位した有機配位子及びMo又はWを有する。いくつかの実施形態では、Mo又はW前駆体は、O、N又はS原子を介して、好ましくは少なくとも1つのO原子を介して、Mo又はWに結合した少なくとも1つの配位子を有し得る。
Mo or W Precursors Any of the following precursors can be used in the various ALD processes disclosed herein. In some embodiments, the Mo or W precursor is a metal organic compound. In some embodiments, the Mo or W precursor may contain at least one multidentate ligand. In some embodiments, the Mo or W precursor may contain at least one bidentate ligand. In some embodiments, the Mo or W precursor has three bidentate ligands and no other ligands. In some embodiments, the Mo or W precursor has at least one multidentate ligand attached to Mo or W via an O, N or S atom, more preferably via at least one O atom. have In some embodiments, the Mo or W precursor has at least one multidentate ligand attached to Mo or W through both O and N atoms. More preferably, β-diketonate compounds are used. In some embodiments, ketoiminate compounds are used. In some embodiments, M(acac) 3 , M(thd) 3 , M(tfac) 3 , M(bac) 3 , M(hfac) 3 , or M(fod) 3 compounds are used, wherein , M is Mo or W, acac is acetylacetonato or 2,4-pentanedionato, thd is 2,2,6,6-tetramethyl-3,5-heptanedionato, tfac is trifluoro acetylacetonato or 1,1,1-trifluoro-2,4-pentanedionato, bac is benzoylacetonato, C 6 H 5 COCHCOCH 3 , or 1-phenyl-1,3-butanedionato, hfac is hexafluoroacetylacetonato or 1,1,1,5,5,5-hexafluoro-2,4-pentanedionato and fod is 2,2-dimethyl-6,6,7,7,8, 8,8-heptafluorooctane-3,5-dionato. In some embodiments, the Mo or W precursors are metal halide free. In some embodiments, the Mo or W precursor has two or more Mo or W atoms. In some embodiments, the Mo or W precursor has two or more Mo or W atoms and the two or more Mo or W atoms are bonded together. In some embodiments, the organometallic Mo or W precursor has at least one coordinated organic ligand and Mo or W bonded directly to oxygen that is not bonded to any other element or compound. In some embodiments, the Mo or W precursor has at least one ligand bonded to Mo or W via an O, N or S atom, preferably via at least one O atom. obtain.

いくつかの実施形態では、Mo又はW前駆体は、Mo又はWβ-ジケトネート化合物、Mo又はWシクロペンタジエニル化合物、Mo又はWカルボニル化合物及びそれらの組み合わせからなる群から選択され得る。いくつかの実施形態では、Mo又はW前駆体は1つ又は複数の金属ハロゲン化物を含まない。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWへ直接結合する1つ又は複数の金属ハロゲン化物を含まない。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWへ直接結合しない1つ又は複数のハロゲン配位子を含まない。好ましい実施形態では、Mo又はW前駆体はMo(thd)又はW(thd)である。いくつかの実施形態では、Mo又はW前駆体はカルボニル(CO)配位子を含まない。いくつかの実施形態では、Mo又はW前駆体は6個のカルボニル(CO)配位子を含まない。いくつかの実施形態では、Mo又はW前駆体は、1、2、3、4又は5個のカルボニル(CO)配位子を有する。いくつかの実施形態では、Mo又はW前駆体はβ-ジケトネートであり、Mo(thd)でもW(thd)でもない。 In some embodiments, the Mo or W precursor may be selected from the group consisting of Mo or W β-diketonate compounds, Mo or W cyclopentadienyl compounds, Mo or W carbonyl compounds and combinations thereof. In some embodiments, the Mo or W precursor does not contain one or more metal halides. In some embodiments, the Mo or W precursor does not contain one or more metal halides that bond directly to Mo or W. In some embodiments, the Mo or W precursor does not contain one or more halogen ligands that do not bond directly to Mo or W. In preferred embodiments, the Mo or W precursor is Mo(thd) 3 or W(thd) 3 . In some embodiments, the Mo or W precursors do not contain carbonyl (CO) ligands. In some embodiments, the Mo or W precursor does not contain 6 carbonyl (CO) ligands. In some embodiments, the Mo or W precursor has 1, 2, 3, 4 or 5 carbonyl (CO) ligands. In some embodiments, the Mo or W precursor is a β-diketonate and is neither Mo(thd) 3 nor W(thd) 3 .

Moは、+VI、+V、+IV、+III、+II、+I、0、-I、及び-IIを含むいくつかの酸化状態を有する。Wは、+VI、+V、+IV、+III、+II、+I、0、-I、及び-IIを含むいくつかの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIIの酸化状態を有し、例えばMoはMo(thd)中に+IIIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、例えばCpMo(CO)Cl、MeMo(PMe、CpW(CO)Cl、及びMeW(PMe中に、+IIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは+Iの酸化状態を有し、例えば、MoはMo(hfac)中に+Iの酸化状態を有する。いくつかの実施形態では、得られた薄膜中のMo又はWは、+IVの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体に由来するMo又はWは、得られた薄膜の形成中に酸化される。いくつかの実施形態では、Mo又はW前駆体中の、例えば、CpMoH、Mo(NMe、Mo(SBu)、及びMo(SCNMe、又はCpWH、W(NMe、W(SBu)、及びW(SCNMe等の中のMo又はWが+IVの酸化状態を有することが有益であることができる。 Mo has several oxidation states including +VI, +V, +IV, +III, +II, +I, 0, -I, and -II. W has several oxidation states, including +VI, +V, +IV, +III, +II, +I, 0, -I, and -II. In some embodiments, Mo or W in the Mo or W precursor has a +III oxidation state, eg Mo has a +III oxidation state in Mo(thd) 3 . In some embodiments, Mo or W in the Mo or W precursor is, for example, CpMo(CO) 3Cl , Me2Mo ( PMe3 ) 4 , CpW(CO) 3Cl , and Me2W ( PMe3 ) in 4 has an oxidation state of +II. In some embodiments, Mo or W in the Mo or W precursor has a +I oxidation state, eg, Mo has a +I oxidation state in Mo(hfac). In some embodiments, Mo or W in the resulting thin film has a +IV oxidation state. In some embodiments, Mo or W from the Mo or W precursor is oxidized during formation of the resulting thin film. In some embodiments, for example, Cp2MoH2 , Mo( NMe2 ) 4 , Mo( StBu ) 4 , and Mo( S2CNMe2 ) 4 , or Cp2 in the Mo or W precursors . It can be beneficial for Mo or W in WH 2 , W(NMe 2 ) 4 , W(S t Bu) 4 , W(S 2 CNMe 2 ) 4 and the like to have a +IV oxidation state.

Mo又はW前駆体中のMo又はWの適切又は望ましい酸化状態は、特定の条件及び状況によることができ、ある特定の状況でのMo又はW前駆体中のMo又はWの最適な酸化状態は、当業者によって決定され得る。 The appropriate or desirable oxidation state of Mo or W in the Mo or W precursor can depend on certain conditions and circumstances, and the optimal oxidation state of Mo or W in the Mo or W precursor in certain circumstances is , can be determined by one skilled in the art.

いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIIより高い酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IVの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+Iから+IIIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IVから+VIまでの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+Vの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+VIの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、-IIの酸化状態を有さない。 In some embodiments, Mo or W in the Mo or W precursor does not have an oxidation state higher than +III. In some embodiments, Mo or W in the Mo or W precursor does not have a +IV oxidation state. In some embodiments, Mo or W in the Mo or W precursor has an oxidation state of +I to +III. In some embodiments, Mo or W in the Mo or W precursor does not have oxidation states from +IV to +VI. In some embodiments, Mo or W in the Mo or W precursor does not have a +V oxidation state. In some embodiments, Mo or W in the Mo or W precursor does not have a +VI oxidation state. In some embodiments, Mo or W in the Mo or W precursor does not have a +II oxidation state. In some embodiments, Mo or W in the Mo or W precursor has a +III oxidation state. In some embodiments, Mo or W in the Mo or W precursor does not have the -II oxidation state.

したがって、いくつかの実施形態では、Mo又はW前駆体中のMo又はWは+Iから+IIIまでの酸化状態を含むことができ、得られる薄膜の形成中に酸化されることができると、Mo又はWは+IVの酸化状態を有する。 Thus, in some embodiments, Mo or W in the Mo or W precursor can include oxidation states from +I to +III, and can be oxidized during formation of the resulting thin film, such as Mo or W has an oxidation state of +IV.

いかなる特定の理論に縛られることを望むものではないが、前駆体の金属の酸化状態が成膜された膜の金属の酸化状態に近いほど、所望の相、結晶構造、結晶化度、又は配向性の膜を成膜するに必要なエネルギー及び/又は時間はより少ない。更に、前駆体の金属が成膜された膜の金属の酸化状態に対してより低い酸化状態を有する場合、所望の相、結晶構造、結晶性、又は配向性の膜を成膜するに必要なエネルギー又は時間は、より少ないと考えられている。例えば、成膜された膜の金属が+IVの酸化状態を有する場合、前駆体の金属は、+III等の+IV未満の酸化状態を有し、従って、典型的なALDプロセスとは異なり、膜の成膜中に酸化を受けることが望ましい場合がある。 Without wishing to be bound by any particular theory, it is believed that the closer the oxidation state of the precursor metal to that of the deposited film, the closer to the desired phase, crystal structure, crystallinity, or orientation. Less energy and/or time is required to deposit a flexible film. Furthermore, if the precursor metal has a lower oxidation state relative to that of the metal in the deposited film, then the amount of oxygen required to deposit a film of the desired phase, crystal structure, crystallinity, or orientation is Energy or time is considered less. For example, if the metal in the deposited film has an oxidation state of +IV, the precursor metal has an oxidation state below +IV, such as +III, thus unlike typical ALD processes, the film growth It may be desirable to undergo oxidation in the film.

いくつかの実施形態では、Mo又はW前駆体は、本明細書で二座配位子と呼ばれる、2つの原子を介してMo又はWに結合する少なくとも1つの配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、少なくともO、N又はS原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、第1のサイトでO原子及び第2のサイトで第2のO原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、第1のサイトでO原子及び第2のサイトでN原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、配位子が第1のサイトでN原子及び第2のサイトで第2のN原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は3つの二座配位子を含む。 In some embodiments, the Mo or W precursor comprises at least one ligand that binds to Mo or W through two atoms, referred to herein as a bidentate ligand. In some embodiments, the Mo or W precursor comprises at least one bidentate ligand attached to Mo or W through at least an O, N or S atom. In some embodiments, the Mo or W precursor has at least one bidentate ligand attached to Mo or W via an O atom at a first site and a second O atom at a second site. include. In some embodiments, the Mo or W precursor comprises at least one bidentate ligand attached to Mo or W via an O atom at a first site and an N atom at a second site. In some embodiments, the Mo or W precursor comprises at least one bidentate ligand wherein the ligand is attached to Mo or W via an N atom at a first site and a second N atom at a second site. Contains ligands. In some embodiments, the Mo or W precursor comprises at least two bidentate ligands. In some embodiments, the Mo or W precursor contains three bidentate ligands.

いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの、β-ジケトナト配位子である二座配位子を含む。いくつかの実施形態では、少なくとも1つの二座配位子はacac配位子である。いくつかの実施形態では、少なくとも1つの二座配位子はthd配位子である。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つのβ-ジケトナト配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つのβ-ジケトナト配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つのthd配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つのacac配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つのthd配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つのacac配位子を含み得る。 In some embodiments, the Mo or W precursor comprises at least one bidentate ligand that is a β-diketonato ligand. In some embodiments, at least one bidentate ligand is an acac ligand. In some embodiments, at least one bidentate ligand is a thd ligand. In some embodiments, the Mo or W precursor may contain at least two β-diketonato ligands. In some embodiments, the Mo or W precursor may contain three β-diketonato ligands. In some embodiments, the Mo or W precursor may contain at least two thd ligands. In some embodiments, the Mo or W precursor may contain at least two acac ligands. In some embodiments, a Mo or W precursor may contain three thd ligands. In some embodiments, the Mo or W precursor can contain three acac ligands.

いくつかの実施形態では、Mo又はW前駆体は、溶媒なしで気化する。好ましい実施形態では、Mo又はW前駆体は、有機溶媒等の溶媒と混合されない。 In some embodiments, the Mo or W precursors are vaporized without solvent. In preferred embodiments, the Mo or W precursors are not mixed with solvents such as organic solvents.

いくつかの実施形態では、Mo又はWβ-ジケトネートは、任意の種類のMo又はW含有薄膜を成膜するためにALDタイプのプロセスで使用され得る。いくつかの実施形態では、Mo又はWβ-ジケトネートを用いて、Mo若しくはW元素膜、Mo若しくはW酸化物膜、Mo若しくはW窒化物膜、又はMo若しくはWシリサイド膜を成膜することができる。具体的には、任意の種類のMo又はW含有薄膜を成膜するために、ALDタイプのプロセスでMo(thd)及びW(thd)を使用し得る。 In some embodiments, Mo or W β-diketonates can be used in ALD type processes to deposit any kind of Mo or W containing thin films. In some embodiments, Mo or W β-diketonates can be used to deposit Mo or W elemental films, Mo or W oxide films, Mo or W nitride films, or Mo or W silicide films. Specifically, Mo(thd) 3 and W(thd) 3 can be used in an ALD type process to deposit any kind of Mo or W containing thin film.

いくつかの実施形態では、Mo又はW元素薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ-ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ-ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ-ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面をH若しくは水素プラズマ、ラジカル、又は原子等の第2の反応物質と接触させることと、
過剰な第2の反応物質、及びMo又はWβ-ジケトネート前駆体層と第2の反応物質との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
In some embodiments, the Mo or W elemental thin film can be formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:
contacting the substrate surface with the vaporized Mo or W β-diketonate precursor to form up to one monolayer of Mo or W β-diketonate precursor on the substrate;
removing excess Mo or W β-diketonate precursors and reaction by-products, if any, from the surface;
contacting the substrate surface with a second reactant such as H2 or hydrogen plasma, radicals, or atoms;
removing from the surface excess second reactant and any gaseous by-products formed in the reaction between the Mo or W β-diketonate precursor layer and the second reactant.

所望の厚さのMo又はW元素薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of Mo or W element thin film is formed.

いくつかの実施形態では、Mo又はW酸化物薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ-ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ-ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ-ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を、水、オゾン、又は酸素プラズマ、ラジカル、若しくは原子等の酸素前駆体と接触させることと、
過剰な酸素前駆体、及びMo又はWのβ-ジケトネート前駆体層と酸素前駆体との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
In some embodiments, the Mo or W oxide thin film can be formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:
contacting the substrate surface with the vaporized Mo or W β-diketonate precursor to form up to one monolayer of Mo or W β-diketonate precursor on the substrate;
removing excess Mo or W β-diketonate precursors and reaction by-products, if any, from the surface;
contacting the substrate surface with water, ozone, or an oxygen precursor such as oxygen plasma, radicals, or atoms;
removing from the surface excess oxygen precursor and any gaseous by-products formed in the reaction between the Mo or W β-diketonate precursor layer and the oxygen precursor.

所望の厚さのMo又はW酸化物薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of Mo or W oxide thin film is formed.

いくつかの実施形態では、Mo又はW窒化物薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ-ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ-ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ-ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を、窒素を含む前駆体と接触させることと、
過剰な酸素前駆体、及びMo又はWのβ-ジケトネート前駆体層と窒素を含む前駆体との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
In some embodiments, the Mo or W nitride thin film can be formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:
contacting the substrate surface with the vaporized Mo or W β-diketonate precursor to form up to one monolayer of Mo or W β-diketonate precursor on the substrate;
removing excess Mo or W β-diketonate precursors and reaction by-products, if any, from the surface;
contacting the substrate surface with a nitrogen-containing precursor;
removing from the surface excess oxygen precursor and any gaseous by-products formed in the reaction between the Mo or W β-diketonate precursor layer and the nitrogen-containing precursor.

所望の厚さのMo又はW窒化物薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of Mo or W nitride film is formed.

いくつかの実施形態では、窒素を含む適切な前駆体は、NHを含み得る。いくつかの実施形態では、窒素を含む適切な前駆体は、Nプラズマ、N原子、若しくはNラジカル、又はN及びH含有プラズマ、N及びH含有原子、若しくはN及びH含有ラジカル等の窒素含有プラズマを含み得る。 In some embodiments, a suitable nitrogen-containing precursor may include NH3 . In some embodiments, a suitable precursor comprising nitrogen is a nitrogen-containing plasma, such as N plasma, N atoms, or N radicals, or N and H containing plasma, N and H containing atoms, or N and H containing radicals. can include

いくつかの実施形態では、Mo又はWシリサイド薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ-ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ-ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ-ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を、ケイ素を含む前駆体と接触させることと、
過剰なケイ素を含む前駆体、及びMo又はWのβ-ジケトネート前駆体層とケイ素を含む前駆体との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
In some embodiments, the Mo or W silicide thin film can be formed on the substrate by an ALD-type process comprising at least one deposition cycle, the at least one deposition cycle comprising:
contacting the substrate surface with the vaporized Mo or W β-diketonate precursor to form up to one monolayer of Mo or W β-diketonate precursor on the substrate;
removing excess Mo or W β-diketonate precursors and reaction by-products, if any, from the surface;
contacting the substrate surface with a silicon-containing precursor;
removing from the surface excess silicon-containing precursor and any gaseous by-products formed in the reaction between the Mo or W β-diketonate precursor layer and the silicon-containing precursor; include.

所望の厚さのMo又はWシリサイド薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。 The contacting and removing steps can be repeated until a desired thickness of Mo or W silicide film is formed.

いくつかの実施形態では、Mo又はW含有材料は、基材上に少なくとも1つの成膜サイクルを含むプロセスで形成され、この成膜サイクルは、基材を気相Mo又はW前駆体及び第2の気相カルコゲン前駆体と交互にかつ連続して接触させることを含む。いくつかの実施形態では、成膜サイクルを2回以上繰り返し得る。いくつかの実施形態では、成膜サイクルは連続して2回以上繰り返し得る。いくつかの実施形態では、基材を気相Mo又はW前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することができる。いくつかの実施形態では、基材を気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、過剰なカルコゲン前駆体及び反応副生成物がある場合、それを除去し得る。いくつかの実施形態では、基材をMo又はW気相前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、基材をパージガスと接触させ得る。いくつかの実施形態では、基材をカルコゲン気相前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、基材をパージガスと接触させ得る。 In some embodiments, the Mo- or W-containing material is formed on a substrate in a process that includes at least one deposition cycle, which deposits the substrate by depositing a vapor phase Mo or W precursor and a second vapor phase chalcogen precursor alternately and continuously. In some embodiments, the deposition cycle may be repeated two or more times. In some embodiments, the deposition cycle may be repeated two or more times in succession. In some embodiments, after contacting the substrate with the gas phase Mo or W precursor and before contacting the substrate with the gas phase chalcogen precursor, excess Mo or W precursor and reaction by-products are removed. If there is, it can be removed. In some embodiments, excess chalcogen precursor and reaction by-products, if any, are removed after contacting the substrate with the vapor phase chalcogen precursor and before initiating another deposition cycle. can. In some embodiments, the substrate may be contacted with a purge gas after contacting the substrate with the Mo or W gas phase precursor and before contacting the substrate with the gas phase chalcogen precursor. In some embodiments, the substrate may be contacted with a purge gas after contacting the substrate with the chalcogen gas phase precursor and before initiating another deposition cycle.

Mo又はWβ-ジケトネート前駆体の合成
本明細書に記載のALDプロセスにおいて使用されるMo又はW前駆体のいくつかを製造する方法も提供される。いくつかの実施形態では、M(L)の式を有する前駆体が合成され、式中、MはMo又はWであり、Lは好ましくはβ-ジケトナト配位子、最も好ましくはacac、hfac、又はthdである。いくつかの実施形態では、合成されるMo又はW前駆体は、MがMo又はWであるM(thd)の式を有する。いくつかの実施形態では、合成される前駆体はMo(thd)であり、他の実施形態ではW(thd)である。
Synthesis of Mo or W β-diketonate Precursors Also provided are methods of making some of the Mo or W precursors used in the ALD processes described herein. In some embodiments, precursors are synthesized having the formula M(L) 3 where M is Mo or W and L is preferably a β-diketonato ligand, most preferably acac, hfac , or thd. In some embodiments, the Mo or W precursor synthesized has a formula of M(thd) 3 where M is Mo or W. In some embodiments, the precursor synthesized is Mo(thd) 3 and in other embodiments W(thd) 3 .

いくつかの実施形態では、全ての取り扱い及び操作は、空気も、酸素も及び水分も含まない雰囲気中で行われ得る。いくつかの実施形態では、全ての取り扱い及び操作は、不活性ガス雰囲気、例えばN又はAr雰囲気中で行われ得る。 In some embodiments, all handling and manipulations may be performed in an atmosphere free of air, oxygen and moisture. In some embodiments, all handling and manipulations may be performed in an inert gas atmosphere, such as N2 or Ar atmosphere.

図2は、Mo又はWβ-ジケトネート前駆体20を形成する方法を一般的に例示するプロセスフロー図である。いくつかの実施形態では、Mo又はWβ-ジケトネート前駆体を作製する方法は、 FIG. 2 is a process flow diagram generally illustrating a method of forming a Mo or W β-diketonate precursor 20. As shown in FIG. In some embodiments, the method of making a Mo or W β-diketonate precursor comprises:

工程21において還元剤でMo又はWハロゲン化物を還元することにより第1の生成物を形成することと、 reducing the Mo or W halide with a reducing agent in step 21 to form a first product;

続いて、溶媒を第1の生成物に加えることにより、工程22において第2の生成物を形成することと、それにより、第2の生成物MX(R、n=0-4、(式中、MはMo又はW、Xはハロゲン化物、及びRは溶剤)を形成することと、
アルカリ金属化合物、例えば、BuLi、MeLi、NaH、又はKHをβ-ジケトンと反応させることにより工程23において第3の生成物を形成することと、
続いて、ステップ24で第3の生成物を第2の生成物に加えることと、それにより、式M(L)、(式中、MはMo又はW、Lはβ-ジケトナト配位子)を有するMo又はWβ-ジケトネート前駆体を工程25で形成することと、を含む。
subsequently adding a solvent to the first product to form a second product in step 22, thereby forming a second product MX 3 (R 1 ) n , n=0-4 , where M is Mo or W, X is a halide, and R 1 is a solvent;
forming a third product in step 23 by reacting an alkali metal compound such as BuLi, MeLi, NaH, or KH with a β-diketone;
followed by adding a third product to the second product in step 24, thereby forming a compound of the formula M(L) 3 , where M is Mo or W and L is a β-diketonato ligand ) in step 25.

いくつかの実施形態では、工程21のMo又はWハロゲン化物は、好ましくは、無水Mo又はWハロゲン化物である。いくつかの実施形態では、工程21のMo又はWハロゲン化物は式MX、(式中、MはMo又はWであり、Xはハロゲン化物、好ましくはClである)を有する。いくつかの実施形態では、工程21の金属ハロゲン化物は式MX又はMX、(式中、MはWであり、Xはハロゲン化物である)を有し得る。いくつかの実施形態では、還元剤、好ましくは有機溶媒、例えばエーテルで還元する前に、工程21のMo又はWハロゲン化物を溶媒に添加する。好ましい実施形態では、溶剤はEtOである。 In some embodiments, the Mo or W halide of step 21 is preferably an anhydrous Mo or W halide. In some embodiments, the Mo or W halide of step 21 has the formula MX 5 , where M is Mo or W and X is the halide, preferably Cl. In some embodiments, the metal halide of step 21 can have the formula MX 4 or MX 6 , where M is W and X is a halide. In some embodiments, the Mo or W halide of step 21 is added to the solvent prior to reduction with a reducing agent, preferably an organic solvent such as ether. In preferred embodiments, the solvent is Et2O .

いくつかの実施形態では、還元剤は金属Sn等の金属を含む。いくつかの実施形態では、還元剤は有機種、好ましくはビス(トリアルキルシリル)6員環系又は関連化合物、例えば1,4-ビス(トリメチルシリル)-1,4-ジヒドロピラジン(DHP)等を含む。好ましくは、還元剤は、粉末又はSnペレット等のペレットの形態で提供される。いくつかの実施形態では、Mo又はWハロゲン化物を還元することは、Mo又はWハロゲン化物を含む溶液に還元剤を加えることにより、第1の生成物を形成することを含む。好ましい実施形態では、Mo又はWハロゲン化物を還元することは、MClのEtO溶液にSnペレットを加えることにより、MCl(EtO)、(式中、MはMo又はWである)を形成することを含む。 In some embodiments, the reducing agent comprises a metal such as metal Sn. In some embodiments, the reducing agent is an organic species, preferably a bis(trialkylsilyl) six-membered ring system or related compounds such as 1,4-bis(trimethylsilyl)-1,4-dihydropyrazine (DHP). include. Preferably, the reducing agent is provided in the form of powder or pellets such as Sn pellets. In some embodiments, reducing the Mo or W halide comprises adding a reducing agent to a solution comprising the Mo or W halide to form the first product. In a preferred embodiment, reducing the Mo or W halide is by adding Sn pellets to a solution of MCl 5 in Et 2 O to MCl 4 (Et 2 O) 2 , where M is Mo or W is).

いくつかの実施形態では、Mo又はWのハロゲン化物と還元剤との混合物を第1の持続時間攪拌する。いくつかの実施形態では、反応が完了するまで混合物を撹拌する。MCl(EtO)、(式中、MはMo又はWである)を含む所望の第1の生成物が形成された後、混合物を沈降させることができる。いくつかの実施形態では、反応が完了した後、第1の生成物を、第1の生成物において望ましくない任意の溶媒、副生成物、過剰反応物、又は任意の他の化合物から分離及び単離し得る。 In some embodiments, the mixture of Mo or W halide and reducing agent is stirred for a first duration. In some embodiments, the mixture is stirred until the reaction is complete. After formation of the desired first product comprising MCl 4 (Et 2 O) 2 , where M is Mo or W, the mixture can be allowed to settle. In some embodiments, after the reaction is complete, the first product is separated and isolated from any solvent, by-products, excess reactants, or any other compounds that are undesirable in the first product. can let go

いくつかの実施形態では、溶媒を第1の生成物に加えることにより、第2の生成物を形成する。好ましい実施形態では、溶剤はTHFである。いくつかの実施形態では、混合物を第2の持続時間撹拌する。いくつかの実施形態では、反応が完了するまで混合物を撹拌する。所望の第2の生成物が形成された後、混合物を沈降させることができる。いくつかの実施形態では、反応が完了した後、第2の生成物を、第2の生成物において望ましくない任意の溶媒、副生成物、過剰反応物、又は任意の他の化合物から分離及び単離し得る。好ましい実施形態では、第2の生成物を形成することは、THFを第1の生成物に加えることにより、MCl(THF)、(式中、MはMo又はWである)を形成することを含み得る。 In some embodiments, solvent is added to the first product to form the second product. In preferred embodiments, the solvent is THF. In some embodiments, the mixture is stirred for a second duration. In some embodiments, the mixture is stirred until the reaction is complete. After the desired second product is formed, the mixture can be allowed to settle. In some embodiments, after the reaction is complete, the second product is separated and isolated from any solvent, by-products, excess reactants, or any other compounds that are undesirable in the second product. can let go In a preferred embodiment, forming the second product comprises adding THF to the first product to form MCl 3 (THF) 3 , where M is Mo or W can include

いくつかの実施形態において、第2の生成物MoCl(THF)は、Stoffelbachら「Improved Preparations of Molybdenum Coordination Compounds from Tetrachlorobis(diethyl ether)molybdenum(IV).」、Eur. J. Inorg. Chem. 10/2001: 2699-2703に開示された方法従って形成され、その全体は参照により本明細書に組み込まれる。 In some embodiments, the second product MoCl 3 (THF) 3 is prepared according to Stoffelbach et al. . J. Inorg. Chem. 10/2001: 2699-2703, which is incorporated herein by reference in its entirety.

いくつかの実施形態では、第3の生成物は、アルカリ金属化合物をβ-ジケトンと反応させることにより形成される。好ましい実施形態では、アルカリ金属化合物はブチルリチウムを含む。いくつかの実施形態では、アルカリ金属化合物は、例えば、KH、NaH、又はMeLiを含み得る。いくつかの実施形態では、アルカリ金属化合物は、アルカン溶液、好ましくはヘキサン溶液として提供され得る。いくつかの実施形態では、アルカリ金属化合物を溶媒に加えてもよい。いくつかの実施形態では、溶媒は、複素環式溶媒を含み得る。好ましい実施形態では、溶剤はTHFである。 In some embodiments, the third product is formed by reacting an alkali metal compound with a β-diketone. In preferred embodiments, the alkali metal compound comprises butyllithium. In some embodiments, alkali metal compounds can include, for example, KH, NaH, or MeLi. In some embodiments, the alkali metal compound may be provided as an alkane solution, preferably a hexane solution. In some embodiments, an alkali metal compound may be added to the solvent. In some embodiments, the solvent can include heterocyclic solvents. In preferred embodiments, the solvent is THF.

いくつかの実施形態では、β-ジケトン化合物は、Hthd、Hacac、Htfac(ここで、Htfacはトリフルオロアセチルアセトン)、Hfod(ここで、fodは2,2-ジメチル-6,6,7,7,8,8,8-ヘプタフルオロ-3,5-オクタンジオン)、又はHhfac、好ましくは、Hthdを含み得る。いくつかの実施形態では、アルカリ金属化合物をβ-ジケトン化合物と反応させることは、β-ジケトン化合物をアルカリ金属化合物を含む溶液に加えることにより、式ML(式中、Mはアルカリ金属、及びLはβ-ジケトナト配位子)を有する第3の生成物を形成することを含み得る。好ましい実施形態では、ヘキサン中のブチルリチウムをTHFに加えて溶液を形成する。そして、Hthdを溶液に加えてブチルリチウムと反応させ、それによりLithdを含む第3の生成物を形成する。 In some embodiments, the β-diketone compound is Hthd, Hacac, Htfac (where Htfac is trifluoroacetylacetone), Hfod (where fod is 2,2-dimethyl-6,6,7,7, 8,8,8-heptafluoro-3,5-octanedione), or Hhfac, preferably Hthd. In some embodiments, reacting an alkali metal compound with a β-diketone compound comprises formula M 1 L, wherein M 1 is an alkali metal , and L is a β-diketonato ligand). In a preferred embodiment, butyllithium in hexane is added to THF to form a solution. Hthd is then added to the solution to react with the butyllithium, thereby forming a third product containing Lithd.

いくつかの実施形態では、溶液は、場合によっては、反応が完了する前に、間に、及び/又は後に、任意に冷却される。いくつかの実施形態では、β-ジケトン化合物を、アルカリ金属化合物を加える前に冷却し得る。いくつかの実施形態では、反応が完了するまで溶液を撹拌してもよい。いくつかの実施形態では、反応によって生成された任意の気体の副生成物を、例えばバブラーを介して排出し得る。 In some embodiments, the solution is optionally cooled before, during, and/or after the reaction is complete. In some embodiments, the β-diketone compound may be cooled prior to adding the alkali metal compound. In some embodiments, the solution may be stirred until the reaction is complete. In some embodiments, any gaseous by-products produced by the reaction may be vented, for example, via a bubbler.

いくつかの実施形態では、第3の生成物を第2の生成物に加え、それにより、式ML、(式中、MはMo又はW、Lはβ-ジケトナト配位子)を有するMo又はWβ-ジケトネート前駆体を形成する。いくつかの実施形態では、第3の生成物を加える前に第2の生成物を溶媒に加えてもよい。好ましい実施形態では、溶剤はTHFである。いくつかの実施形態では、第3の生成物を混合物に加えることにより、Mo又はWβ-ジケトネート前駆体を形成する。いくつかの実施形態では、第3の生成物は、式ML(式中、Mはアルカリ金属、及びLはβ-ジケトナト配位子)を有し得る。いくつかの実施形態では、第3の生成物は、第3の生成物を含む溶液を含み得る。好ましい実施形態では、MCl(THF)のTHF懸濁液にLithdを加えて、それによりM(thd)(式中、MはMo又はW)を形成する。 In some embodiments, a third product is added to the second product, thereby resulting in a Mo or form a Wβ-diketonate precursor. In some embodiments, the second product may be added to the solvent before adding the third product. In preferred embodiments, the solvent is THF. In some embodiments, the Mo or W β-diketonate precursor is formed by adding a third product to the mixture. In some embodiments, the third product can have the formula M 1 L, where M 1 is an alkali metal and L is a β-diketonato ligand. In some embodiments, the third product may comprise a solution containing the third product. In a preferred embodiment, Lithd is added to a THF suspension of MCl 3 (THF) 3 thereby forming M(thd) 3 (where M is Mo or W).

いくつかの実施形態では、第3の生成物を加える前に第2の生成物を冷却してもよい。いくつかの実施形態では、第3の生成物を加えた後、混合物を室温に温める。いくつかの実施形態では、混合物を第2の持続時間撹拌する。いくつかの実施形態では、反応が完了するまで混合物を撹拌する。 In some embodiments, the second product may be cooled before adding the third product. In some embodiments, the mixture is warmed to room temperature after the third product is added. In some embodiments, the mixture is stirred for a second duration. In some embodiments, the mixture is stirred until the reaction is complete.

反応がほぼ完了した後、最終生成物を、最終生成物において望ましくない任意の溶媒、副生成物、過剰反応物、又は任意の他の化合物から分離及び単離し得る。 After the reaction is substantially complete, the final product can be separated and isolated from any solvent, by-products, excess reactants, or any other compounds that are undesirable in the final product.

いくつかの実施形態では、Mo又はWβ-ジケトネート前駆体を作製する方法は、
工程21において、無水MoClをSnで還元することにより第1の生成物を形成することと、
続いて、テトラヒドロフラン(THF)を含む溶媒を第1の生成物へ加えることにより、工程22で第2の生成物MoCl(THF)を形成することと、それにより第2の生成物MoCl(THF)を形成することと、
ブチルリチウムをHthdと反応させることにより、工程23において、第3の生成物Lithdを形成することと、
続いて、ステップ24で第3の生成物Lithdを第2の生成物MoCl(THF)に加えることと、それにより工程25で前駆体Mo(thd)を形成すること、とを含む。
In some embodiments, the method of making a Mo or W β-diketonate precursor comprises:
reducing anhydrous MoCl 5 with Sn to form a first product in step 21;
Subsequently, adding a solvent comprising tetrahydrofuran (THF) to the first product to form a second product MoCl 3 (THF) 3 in step 22, thereby forming a second product MoCl 3 forming (THF) 3 ;
forming a third product Lithd in step 23 by reacting butyllithium with Hthd;
This is followed by adding a third product Lithd to the second product MoCl 3 (THF) 3 in step 24 thereby forming precursor Mo(thd) 3 in step 25 .

実施例1
Mo(thd)を以下のプロセスにより合成した。すべての取り扱いと操作は、標準シュレンクテクニック(Schlenk techniques)と不活性ガス(N又はAr)グローブボックスを使用して、空気と水分を厳密に排除して行った。
Example 1
Mo(thd) 3 was synthesized by the following process. All handling and manipulation was performed with strict exclusion of air and moisture using standard Schlenk techniques and an inert gas ( N2 or Ar) glove box.

最初に、無水MoCl5.00g(18.3mmol)とSnペレット10g(84mmol)をEtO50ml中に懸濁させた。混合物を室温で1時間撹拌して、溶液及び固体を形成した。固体をシュレンク瓶の底に沈降させ、大部分のEtO溶液をAr圧力及びテフロン(登録商標)のキャピラリーチューブを用いて除去した。 First, 5.00 g (18.3 mmol) of anhydrous MoCl 5 and 10 g (84 mmol) of Sn pellets were suspended in 50 ml of Et 2 O. The mixture was stirred at room temperature for 1 hour to form a solution and a solid. The solids were allowed to settle to the bottom of a Schlenk bottle and most of the Et 2 O solution was removed using Ar pressure and a Teflon capillary tube.

次に、THF50mlを加え、混合物を室温で3時間撹拌して固体のMoCl(THF)を形成した。Ar圧力及びテフロンのキャピラリーチューブを用いてTHF/MoCl(THF)懸濁液を別のシュレンク瓶へ移すことにより、MoCl(THF)を過剰のSnから分離した。そして、固体MoCl(THF)をシュレンク焼結体を用いて懸濁液から濾過し、EtOで洗浄した。 Then 50 ml of THF was added and the mixture was stirred at room temperature for 3 hours to form solid MoCl 3 (THF) 3 . MoCl 3 (THF) 3 was separated from excess Sn by transferring the THF/MoCl 3 (THF) 3 suspension to another Schlenk bottle using Ar pressure and a Teflon capillary tube. Solid MoCl 3 (THF) 3 was then filtered from the suspension using a Schlenk sinter and washed with Et 2 O.

次に、Lithd溶液を調製した。THF30mlをCO/アセトン浴で冷却し、1.6BuLiのヘキサン溶液4.48mlを加えた。次に、Hthd1.321g(7.168mmol)をシリンジを用いてこの溶液へゆっくりと加えた。次いで、この溶液を室温で2時間撹拌した。気体の副生成物を、溶液を含むシュレンク瓶から水銀バブラーを通して排出した。 Next, a Lithd solution was prepared. 30 ml of THF was cooled in a CO 2 /acetone bath and 4.48 ml of 1.6 BuLi in hexane was added. Then 1.321 g (7.168 mmol) of Hthd was slowly added to this solution using a syringe. The solution was then stirred at room temperature for 2 hours. Gaseous by-products were vented from the Schlenk flask containing the solution through a mercury bubbler.

次に、固体MoCl(THF)をTHF20mlに懸濁した。次に、この懸濁液をCO/アセトン浴で冷却し、テフロンのキャピラリーとAr圧力を用いて、先に調製したLithd溶液を加えた。得られた溶液を室温に温め、一晩撹拌した。 Solid MoCl 3 (THF) 3 was then suspended in 20 ml THF. The suspension was then cooled with a CO 2 /acetone bath and the previously prepared Lithd solution was added using a Teflon capillary and Ar pressure. The resulting solution was warmed to room temperature and stirred overnight.

次に、水浴及び真空を用いてTHF溶媒を蒸発させた。得られた固体生成物を昇華装置に移し、160℃~180℃、0.5mbarで昇華させた。得られたMo(thd)昇華物をグローブボックス内で捕集した。 The THF solvent was then evaporated using a water bath and vacuum. The solid product obtained was transferred to a sublimation apparatus and sublimed at 160° C.-180° C. and 0.5 mbar. The resulting Mo(thd) 3 sublimate was collected in a glove box.

合成した化合物を、質量分析法を用いて分析した。図3に示すように、Mo(thd)に対応する同位体パターンを有する分子イオンがm/z647に見られる。Mo(thd)に加えて、酸素を有するフラグメントイオン、例えば、[Mo(thd)、[Mo(thd)O]、[Mo(thd)-Bu]、及び[Mo(thd)O等に対応するいくつかのピークが見られる。しかし、これらのピークは、質量分析計へ試料を導入中にMo(thd)化合物が空気に曝されるためと思われる。 The synthesized compounds were analyzed using mass spectrometry. As shown in FIG. 3, a molecular ion with an isotopic pattern corresponding to Mo(thd) 3 is found at m/z 647. In addition to Mo(thd) 3 , fragment ions with oxygen, such as [Mo(thd) 2 O 2 ] + , [Mo(thd)O] + , [Mo(thd) 2 O 2 -Bu] + , and [Mo(thd)O 2 ] + etc. are seen. However, these peaks are likely due to exposure of the Mo(thd) 3 compound to air during sample introduction into the mass spectrometer.

合成された化合物の分子構造を、単結晶X線回折(SCXRD)を用いて解析した。合成されたMo(thd)化合物の構造を図4に例示する。 The molecular structure of the synthesized compound was analyzed using single crystal X-ray diffraction (SCXRD). The structures of the synthesized Mo(thd) 3 compounds are illustrated in FIG.

Mo(thd)を合成するために使用した手順と同様の手順を用いてMo(acac)及びMo(hfac)も合成した。Mo(acac)及びMo(hfac)をそれぞれ合成するために、Hthdの代わりにHacac及びHhfacを使用した。熱重量分析(TGA)を用いて、3つのMoβ-ジケトネート化合物の熱的特性を調べた。図5に示すように、Mo(thd)及びMo(hfac)の熱重量分析曲線は、温度が上昇するにつれてこれらの化合物の蒸発を示し、Mo(acac)の熱重量分析曲線は、温度が上昇するにつれてこの化合物が主に分解されることを示している。 Mo(acac) 3 and Mo(hfac) 3 were also synthesized using procedures similar to those used to synthesize Mo(thd) 3 . Hacac and Hhfac were used instead of Hthd to synthesize Mo(acac) 3 and Mo(hfac) 3 respectively. Thermogravimetric analysis (TGA) was used to investigate the thermal properties of the three Moβ-diketonate compounds. As shown in FIG. 5, the thermogravimetric curves of Mo(thd) 3 and Mo(hfac) 3 show the evaporation of these compounds with increasing temperature, and the thermogravimetric curve of Mo(acac) 3 is It shows that this compound is mainly decomposed as the temperature increases.

カルコゲン前駆体
多くのカルコゲン前駆体が、本明細書に開示されるALDプロセスにおいて使用され得ることは、当業者に理解されるであろう。いくつかの実施形態では、カルコゲン前駆体は、以下のリストから選択される。HS、HSe、HTe、(CHS、(NH4)2S、ジメチルスルホキシド((CH3)2SO)、(CHSe、(CHTe、元素又は原子のS、Se、Te、カルコゲン-水素結合を含む他の前駆体、例えば、H、HSe、HTe、又は式R-Y-H(式中、Rは置換又は非置換の炭化水素、好ましくはC-Cのアルキル又は置換されたアルキル、例えば、アルキルシリル基、より好ましくは直鎖状又は分岐状C~Cアルキル基等であり、YはS、Se又はTeであることができる)を有するカルコゲノール。いくつかの実施形態では、カルコゲン前駆体は、式R-S-H、(式中、Rは置換又は非置換の炭化水素、好ましくはC1~C8のアルキル基、より好ましくは直鎖状又は分岐状のC~Cのアルキル基であることができる)を有するチオールである。いくつかの実施形態では、カルコゲン前駆体は、式(RSi)Y、(式中、RSiはアルキルシリル基であり、YはSe又はTeであることができる)を有する。いくつかの実施形態では、カルコゲン前駆体は、S又はSeを含む。いくつかの好ましい実施形態では、カルコゲン前駆体は、Sを含む。いくつかの実施形態では、カルコゲン前駆体は、硫黄元素などのカルコゲン元素を含んでいてもよい。いくつかの実施形態では、カルコゲン前駆体はTeを含まない。いくつかの実施形態では、カルコゲン前駆体はSeを含む。いくつかの実施形態では、カルコゲン前駆体は、S、Se又はTeを含む前駆体から選択される。いくつかの実施形態では、カルコゲン前駆体は、H、(式中、nは4~10である)を含む。
Chalcogen Precursors It will be appreciated by those skilled in the art that many chalcogen precursors can be used in the ALD processes disclosed herein. In some embodiments, the chalcogen precursor is selected from the list below. H2S , H2Se , H2Te , ( CH3 ) 2S , (NH4)2S, dimethylsulfoxide ((CH3)2SO), ( CH3 ) 2Se , ( CH3 ) 2Te , elements or atoms S, Se, Te, other precursors containing chalcogen-hydrogen bonds, such as H 2 S 2 , H 2 Se 2 , H 2 Te 2 , or formula RYH, where R is substituted or unsubstituted hydrocarbon, preferably C 1 -C 8 alkyl or substituted alkyl, such as alkylsilyl group, more preferably linear or branched C 1 -C 5 alkyl group, etc., and Y is S , Se or Te). In some embodiments, the chalcogen precursor has the formula R—S—H, where R is a substituted or unsubstituted hydrocarbon, preferably a C1-C8 alkyl group, more preferably linear or branched can be a C 1 -C 5 alkyl group having the shape of a thiol. In some embodiments, the chalcogen precursor has the formula (R 3 Si) 2 Y, where R 3 Si is an alkylsilyl group and Y can be Se or Te. In some embodiments, the chalcogen precursor comprises S or Se. In some preferred embodiments, the chalcogen precursor comprises S. In some embodiments, the chalcogen precursor may include elemental chalcogen, such as elemental sulfur. In some embodiments, the chalcogen precursor is Te free. In some embodiments, the chalcogen precursor comprises Se. In some embodiments, the chalcogen precursor is selected from precursors containing S, Se or Te. In some embodiments, the chalcogen precursor comprises H 2 S n , where n is 4-10.

適切なカルコゲン前駆体は、少なくとも1つのカルコゲン-水素結合を含む限り、多くのカルコゲン含有化合物を含み得る。いくつかの実施形態では、カルコゲン前駆体は、カルコゲンプラズマ、カルコゲン原子又はカルコゲンラジカルを含み得る。励起されたカルコゲン前駆体が望ましいいくつかの実施形態では、プラズマが反応チャンバー内又は反応チャンバーの上流で生成されてもよい。いくつかの実施形態では、カルコゲン前駆体は、プラズマ、原子又はラジカル等の励起されたカルコゲン前駆体を含まない。いくつかの実施形態では、カルコゲン前駆体は、HS等のカルコゲン-水素結合を含むカルコゲン前駆体から形成されたカルコゲンプラズマ、カルコゲン原子又はカルコゲンラジカルを含み得る。いくつかの実施形態では、カルコゲン前駆体は、カルコゲンプラズマ、カルコゲン原子又はカルコゲンラジカル、例えば硫黄、セレン又はテルルを含むプラズマ、好ましくは硫黄を含むプラズマを含み得る。いくつかの実施形態では、プラズマ、原子、又はラジカルはテルルを含む。いくつかの実施形態では、プラズマ、原子、又はラジカルはセレンを含む。 Suitable chalcogen precursors can include many chalcogen-containing compounds, so long as they contain at least one chalcogen-hydrogen bond. In some embodiments, the chalcogen precursors can include chalcogen plasma, chalcogen atoms, or chalcogen radicals. In some embodiments where an excited chalcogen precursor is desired, a plasma may be generated within or upstream of the reaction chamber. In some embodiments, the chalcogen precursor does not include excited chalcogen precursors such as plasma, atoms or radicals. In some embodiments, the chalcogen precursors may comprise chalcogen plasmas, chalcogen atoms or chalcogen radicals formed from chalcogen precursors containing chalcogen-hydrogen bonds such as H 2 S. In some embodiments, the chalcogen precursor may comprise a chalcogen plasma, a plasma containing chalcogen atoms or chalcogen radicals such as sulfur, selenium or tellurium, preferably a plasma containing sulfur. In some embodiments, the plasma, atoms, or radicals comprise tellurium. In some embodiments the plasma, atoms or radicals comprise selenium.

実施例2
MoCl及びHSを前駆体として使用し、前駆体の滞留時間なしにフロー型反応器(ASM America F-120反応器)内で、150℃~500℃の反応温度で種々の成膜実験を行った。Al、ZnS、ソーダライムガラス、Si、及びIr等のさまざまな基材を使用した。成膜実験が行われた後、MoもSも、膜の成長を示すであろう量では基材上で検出されなかった。EDXを試料上で行い、微量のMoのみが示された。Sは試料上で全く検出されなかった。このプロセスは、Browningら「Atomic layer deposition of MoS thin films」で報告されており、その全体は参照により本明細書に組み込まれる。本発明者らは、Browningの論文に記載されているプロセス条件に関して、合理的に同様の条件で同様の実験を行ったが、テストは成功せず、おそらくその中で開示されたプロセスの非ロバスト性を示した。
Example 2
Various deposition experiments using MoCl 5 and H 2 S as precursors at reaction temperatures between 150° C. and 500° C. in a flow-type reactor (ASM America F-120 reactor) without residence time of the precursors. did Various substrates were used such as Al 2 O 3 , ZnS, soda lime glass, Si, and Ir. Neither Mo nor S were detected on the substrate in amounts that would indicate film growth after the film deposition experiments were performed. EDX was performed on the sample and showed only traces of Mo. No S was detected on the sample. This process is reported in Browning et al., "Atomic layer deposition of MoS2 thin films," which is incorporated herein by reference in its entirety. We performed similar experiments under conditions reasonably similar to those described in the Browning paper, but the tests were unsuccessful, presumably due to the non-robustness of the process disclosed therein. showed sex.

実施例3
Mo前駆体としてのMo(thd)及びカルコゲン前駆体としてのHSを利用して、本明細書に開示されるALDプロセスに従ってMoS薄膜を成膜した。MoSを、シリコン、二硫化チタン、アルミナ、及びソーダライムガラス基材上に成膜した。基材を、約175℃~約500℃の範囲の成膜温度で、Mo(thd)及びHSの交互パルスと接触させた。
Example 3
MoS 2 thin films were deposited according to the ALD process disclosed herein utilizing Mo(thd) 3 as the Mo precursor and H 2 S as the chalcogen precursor. MoS 2 was deposited on silicon, titanium disulfide, alumina, and soda lime glass substrates. The substrate was contacted with alternating pulses of Mo(thd) 3 and H 2 S at deposition temperatures ranging from about 175°C to about 500°C.

175℃~350℃の成膜温度では、MoSの成膜は観察されなかった。基材上の膜の量は、約375℃より高い成膜温度で増加するようであった。最も高い成長速度は約500℃の成膜温度で達成された。この成膜温度で、基材は紫色又は褐色のMoS膜で覆われた。 No deposition of MoS 2 was observed at deposition temperatures between 175°C and 350°C. The amount of film on the substrate appeared to increase at deposition temperatures above about 375°C. The highest growth rate was achieved at a deposition temperature of approximately 500°C. At this deposition temperature, the substrate was covered with a purple or brown MoS 2 film.

得られたMoS膜はFESEMで特徴付けられ、膜の形態は、膜が成膜された基材とは無関係に実質的に同一であることが見出された。一部の膜の表面に薄片状の構造が観察されたが、断面FESEM画像は、図6に示すように、膜が緻密であり、亀裂やピンホールがないことを明らかにした。 The MoS 2 films obtained were characterized by FESEM and the morphology of the films was found to be substantially the same regardless of the substrate on which the films were deposited. A flaky structure was observed on the surface of some of the films, but cross-sectional FESEM images revealed that the films were dense and free of cracks and pinholes, as shown in FIG.

図7に示すように、ALD MoS膜の成長速度は、Mo(thd)及びHSの両方のパルス長が約0.5~1秒の場合、約0.2Å/サイクルで飽和した。膜の厚さは、図8に示すように、実質的に直線的に増加することが観察されたが、500成膜サイクル後にわずかに急な勾配によって示されるように、インキュベーション期間が存在し得る。 As shown in FIG. 7, the growth rate of ALD MoS 2 films saturated at about 0.2 Å/cycle for both Mo(thd) 3 and H 2 S pulse lengths of about 0.5-1 s. . Film thickness was observed to increase substantially linearly, as shown in FIG. 8, although there may be an incubation period as indicated by the slightly steeper slope after 500 deposition cycles. .

Mo(thd)パルス長の増加は成長速度に影響しなかったが、膜の形態は影響を受けた。図9は、0.2秒及び0.5秒のパルスで成膜された膜が鋭い薄片状構造を含むほぼ同一の表面を有することを例示する。膜厚の差は見られなかったが、1秒以上のMo(thd)パルス長は粒子を含む表面をもたらした。得られた膜は1000成膜サイクルを用いて成膜されたが、0.2秒、0.5秒、及び1秒のMo(thd)パルス長を用いて成膜された膜の2000サイクル成膜された膜と比較すると、同様の表面構造は、4秒のMo(thd)パルス長でも観察された。したがって、Mo(thd)のパルス長も影響を及ぼしやすいため、膜厚は表面の構造的な違いに影響し得る。 Increasing the Mo(thd) 3 pulse length did not affect the growth rate, but the film morphology was affected. FIG. 9 illustrates that films deposited with 0.2 second and 0.5 second pulses have nearly identical surfaces containing sharp platelet-like structures. Mo(thd) 3 pulse lengths of 1 s or longer resulted in particle-laden surfaces, although no difference in film thickness was observed. The resulting films were deposited using 1000 deposition cycles, whereas 2000 cycles of films deposited using Mo(thd) 3 pulse lengths of 0.2 s, 0.5 s and 1 s A similar surface structure was also observed with a Mo(thd) 3 pulse length of 4 s when compared to the deposited films. Therefore, the Mo(thd) 3 pulse length is also likely to have an effect, so the film thickness can affect the structural difference of the surface.

Sパルス長を変化させると、膜表面構造において同様の差異をもたらしたが、ここではより短いHSパルス長により、薄片のない表面が得られた。図10に示すように、0.2秒のHSパルス長は、粒子を含む表面を有する膜をもたらした。より長いパルスは、縁の鋭い薄片を含む表面をもたらした。 Varying the H 2 S pulse length produced similar differences in the film surface structure, but here a shorter H 2 S pulse length resulted in a flake-free surface. As shown in Figure 10, a H2S pulse length of 0.2 seconds resulted in a film with a surface containing particles. Longer pulses resulted in surfaces containing flakes with sharp edges.

MoS膜の成長は、10~2000の成膜サイクルで成膜されたMoS膜について視覚的に解析された。0.5秒のパルス時間及び1秒のパージ時間でMo前駆体としてMo(thd)を使用し、一方、パルス時間0.5秒、パージ時間1秒でカルコゲン前駆体としてHSを使用した。全ての試料の成膜温度は500℃であった。図11Aは、10~50サイクルで成膜されたMoS膜を例示し、図11Bは、100~2000サイクルで成膜されたMoS膜を示す。膜の表面構造が結晶質であり、ほぼ1500成膜サイクルまでほぼ平坦であるように見える膜表面上の鋭い薄片の存在は、厚さに左右されているように思われる。2000成膜サイクル後、隆起した薄片構造は膜の全表面を覆う。 MoS 2 film growth was visually analyzed for MoS 2 films deposited from 10 to 2000 deposition cycles. Mo(thd) 3 was used as Mo precursor with a pulse time of 0.5 sec and purge time of 1 sec, while H2S was used as chalcogen precursor with a pulse time of 0.5 sec and purge time of 1 sec. bottom. The deposition temperature for all samples was 500°C. FIG. 11A illustrates MoS 2 films deposited between 10 and 50 cycles, and FIG. 11B shows MoS 2 films deposited between 100 and 2000 cycles. The surface structure of the film is crystalline and the presence of sharp flakes on the film surface, which appears to be nearly flat up to approximately 1500 deposition cycles, appears to be thickness dependent. After 2000 deposition cycles, the raised flaky structures cover the entire surface of the film.

成膜したMoS膜の組成をEDXにより分析した。Mo3565の理論的な膜組成は、Oxford INCAソフトウェアを使用して、シリコン基材上のMoS膜からなる理論的試料のスペクトルを合成することにより得られた。図12Aに示すように、Mo(thd)パルス長を変化させながら、500℃で成膜されたMoS膜の組成をEDXで分析した。図12Bに示すように、HSパルス長を変化させながら、500℃で成膜されたMoS膜の組成をEDXで分析した。両方の場合において、測定されたMoS膜組成は、理論組成と同様であると決定された。 The composition of the deposited MoS2 films was analyzed by EDX. The theoretical film composition of Mo 35 S 65 was obtained by synthesizing spectra of theoretical samples consisting of MoS 2 films on silicon substrates using Oxford INCA software. The composition of MoS 2 films deposited at 500° C. was analyzed by EDX while changing the Mo(thd) 3 pulse length, as shown in FIG. 12A. The composition of the MoS 2 film deposited at 500° C. was analyzed by EDX while varying the H 2 S pulse length, as shown in FIG. 12B. In both cases, the measured MoS2 film composition was determined to be similar to the theoretical composition.

ALDプロセスにより500℃で成膜されたMoS2膜試料の元素比率も測定し、図13に例示した。MoS2膜は、250~2000成膜サイクルを有するALDプロセスにより成膜された。成膜サイクルの数が増加するにつれて、MoS2膜の測定された元素比率はMoリッチからSリッチになった。2000成膜サイクルを有するALDプロセスにより成膜されたMoS2試料は、Mo4258の測定された組成を有していた。インキュベーション期間が存在し得るが、成膜された膜厚は、図13に例示するように、ほぼ直線的に増加することが観察された。 The element ratios of the MoS2 film sample deposited at 500° C. by the ALD process were also measured and illustrated in FIG. The MoS2 films were deposited by an ALD process with 250-2000 deposition cycles. As the number of deposition cycles increased, the measured elemental proportions of MoS2 films went from Mo-rich to S-rich. A MoS2 sample deposited by an ALD process with 2000 deposition cycles had a measured composition of Mo42S58 . Although there may be an incubation period, the deposited film thickness was observed to increase approximately linearly, as illustrated in FIG.

図14に例示されるように、2組の成膜されたMoS膜試料の組成を微小角入射X線回折(GIXRD)により分析した。1組の試料MoS膜を、硫黄前駆体パルスを0.5秒で一定に保ちながら、Mo前駆体パルス時間を0.2秒から4秒まで変化させたALDプロセスにより成膜した。第2組の試料MoS膜を、Mo前駆体パルスを0.5秒で一定に保ちながら、硫黄前駆体パルス時間を0.2秒から2秒まで変化させたALDプロセスにより成膜した。試料を500℃で成膜した。図14に示す(002)のピークの強度は、Mo前駆体パルス時間が減少するにつれて増加し、硫黄前駆体パルス時間が増加するにつれて増加した。 The composition of two sets of deposited MoS2 film samples was analyzed by grazing incidence X-ray diffraction (GIXRD), as illustrated in FIG. A set of sample MoS 2 films were deposited by an ALD process in which the Mo precursor pulse time was varied from 0.2 seconds to 4 seconds while the sulfur precursor pulse was kept constant at 0.5 seconds. A second set of sample MoS 2 films were deposited by an ALD process in which the sulfur precursor pulse time was varied from 0.2 seconds to 2 seconds while the Mo precursor pulse was kept constant at 0.5 seconds. The samples were deposited at 500°C. The intensity of the (002) peak shown in FIG. 14 increased with decreasing Mo precursor pulse time and increased with increasing sulfur precursor pulse time.

ラマン分光法を用いて成膜された膜の相を同定した。MoSは、Mo及びS原子の面内振動については383cm-1に、S原子の面外振動については406cm-1に特徴的なピークを有する。図15に示すように、成膜されたMoS薄膜のこれらの両方のピークがはっきりと見える。 Raman spectroscopy was used to identify the phases of the deposited films. MoS 2 has characteristic peaks at 383 cm −1 for in-plane vibrations of Mo and S atoms and at 406 cm −1 for out-of-plane vibrations of S atoms. Both these peaks of the deposited MoS2 thin film are clearly visible, as shown in FIG.

図16に例示するように、2組の成膜されたMoS膜試料の相を、ラマン分光法を用いて解析した。1組の試料MoS膜を、硫黄前駆体パルスを0.5秒で一定に保ちながら、Mo前駆体パルス時間を0.2秒から4秒まで変化させたALDプロセスにより成膜した。第2組の試料MoS膜を、Mo前駆体パルスを0.5秒で一定に保ちながら、硫黄前駆体パルス時間を0.2秒から2秒まで変化させたALDプロセスにより成膜した。試料を500℃で成膜した。面内及び面外のMoSピークの強度は、Mo前駆体パルス時間の減少とともに増加することが観察され、硫黄前駆体パルス時間の増加とともに増加することが観察された。 The phases of two sets of deposited MoS2 film samples were analyzed using Raman spectroscopy, as illustrated in FIG. A set of sample MoS 2 films were deposited by an ALD process in which the Mo precursor pulse time was varied from 0.2 seconds to 4 seconds while the sulfur precursor pulse was kept constant at 0.5 seconds. A second set of sample MoS 2 films were deposited by an ALD process in which the sulfur precursor pulse time was varied from 0.2 seconds to 2 seconds while the Mo precursor pulse was kept constant at 0.5 seconds. The samples were deposited at 500°C. The in-plane and out-of-plane MoS 2 peak intensities were observed to increase with decreasing Mo precursor pulse time and were observed to increase with increasing sulfur precursor pulse time.

図17に例示するように、ALDプロセスにより成膜された2つのMoS薄膜試料の元素組成を、X線光電子分光法(XPS)を用いて調べた。1つの試料は、0.2秒のMo前駆体パルスでALDプロセスにより成膜され、一方、第2の試料は、4秒のMo前駆体パルス時間でALDプロセスにより成膜された。 The elemental composition of two MoS2 thin film samples deposited by the ALD process was investigated using X-ray photoelectron spectroscopy (XPS), as illustrated in FIG. One sample was deposited by an ALD process with a 0.2 second Mo precursor pulse, while a second sample was deposited by an ALD process with a 4 second Mo precursor pulse time.

図18に例示するように、Mo前駆体としてMo(thd)を使用し、10~50成膜サイクルを有するALDプロセスにより成膜されたMoS膜試料の表面粗さも、原子間力顕微鏡(AFM)を用いて調べた。10サイクル及び50サイクルにより成膜された試料の粗さは0.41nmであることが判明し、一方、25サイクルにより成膜された試料の粗さは0.44nmであることが判明した。膜の表面の形体は、すべての試料について10nm未満のサイズであったが、表面の形体のサイズは成膜サイクル数と共に増加するように見えた。 The surface roughness of MoS 2 film samples deposited by ALD process using Mo(thd) 3 as Mo precursor and having 10-50 deposition cycles, as exemplified in FIG. AFM). The roughness of the samples deposited by 10 cycles and 50 cycles was found to be 0.41 nm, while the roughness of the samples deposited by 25 cycles was found to be 0.44 nm. The surface features of the films were less than 10 nm in size for all samples, but the size of the surface features appeared to increase with the number of deposition cycles.

図19は、Mo前駆体としてMo(thd)を使用し、ALDプロセスにより425℃~500℃の温度で成膜されたMoS膜の成長速度及び元素比率を例示する。成長速度は成膜温度の上昇と共に増加することが観察され、最高成長速度は500℃の成膜温度で観察された。成膜された膜の組成は、成膜温度により変化した。 FIG. 19 illustrates the growth rate and elemental proportions of MoS 2 films deposited at temperatures between 425° C. and 500° C. by an ALD process using Mo(thd) 3 as the Mo precursor. The growth rate was observed to increase with increasing deposition temperature, with the highest growth rate observed at a deposition temperature of 500°C. The composition of the deposited film changed depending on the deposition temperature.

図20に例示するように、MoS膜の成長は、ALDにより成膜されたMoS膜について視覚的に解析された。0.5秒のパルス時間及び1秒のパージ時間でMo前駆体としてMo(thd)を使用し、一方、パルス時間0.5秒、パージ時間1秒でカルコゲン前駆体としてHSを使用した。成膜温度を350℃~500℃まで変化させた。 MoS 2 film growth was visually analyzed for the MoS 2 films deposited by ALD, as illustrated in FIG. Mo(thd) 3 was used as Mo precursor with a pulse time of 0.5 sec and purge time of 1 sec, while H2S was used as chalcogen precursor with a pulse time of 0.5 sec and purge time of 1 sec. bottom. The film formation temperature was varied from 350.degree. C. to 500.degree.

2D材料
本明細書に記載のALDプロセスは、Mo又はWを含む2D材料、例えばMoS、WS、MoSe、又はWSe2D材料などのMo又はWジカルコゲナイドを成膜するのに使用され得る。単層材料とも呼ばれる2D材料は、単一の連結された単分子層からなる材料である。2D材料は、単一の連結された単分子層を形成するが、複数の単層は、本明細書に開示される成膜プロセスにより成膜され得る。例えば、2D MoSの場合、2D材料は、Mo原子の1つの層がS原子の2つの層の間に挟まれるように配置された、共有結合したMoS分子の単一層を含む。MoSの基本的な原子構造は、当業者によく知られているであろう。
2D Materials The ALD processes described herein are used to deposit 2D materials comprising Mo or W, such as MoS2 , WS2 , MoSe2, or WSe2 2D materials such as MoS2, WS2 , MoSe2 , or WSe2 dichalcogenides. obtain. A 2D material, also called a monolayer material, is a material that consists of a single connected monolayer. Although the 2D material forms a single connected monolayer, multiple monolayers can be deposited by the deposition processes disclosed herein. For example, for 2D MoS2 , the 2D material comprises a single layer of covalently bonded MoS2 molecules arranged such that one layer of Mo atoms is sandwiched between two layers of S atoms. The basic atomic structure of MoS 2 will be familiar to those skilled in the art.

そのような特殊な特徴により、2D材料は、例えば、光電子、スピントロニクス、及びバレートロニクス(valleytronics)において潤滑として、THzの生成と検出において触媒、化学的及び生物学的センサーとして使用するために、スーパーキャパシタ、LED、太陽電池、リチウムイオン電池、並びにMOSFETチャネル材料等の幅広い将来性がある用途に有用である。 Such special features make the 2D materials super useful, for example, as lubricants in optoelectronics, spintronics, and valleytronics, for use as catalysts, chemical and biological sensors in THz generation and detection. It is useful in a wide range of potential applications such as capacitors, LEDs, solar cells, lithium-ion batteries, as well as MOSFET channel materials.

グラフェンのような他の2D材料とは異なり、2D Mo又はWジカルコゲナイドは、半導体デバイスの小型化に有用となる独自の電子特性を有する。例えば、グラフェンとは異なり、2D Mo又はWジカルコゲナイドは、直接禁止帯を有し、半電導性である。従って、Mo又はWジカルコゲナイドは、電子デバイスにおいて有用であり、例えば、Mo又はWジカルコゲナイドは、ゲートスタック又はトランジスタにおいてチャネル材料として使用され得る。 Unlike other 2D materials such as graphene, 2D Mo or W dichalcogenides have unique electronic properties that make them useful for miniaturization of semiconductor devices. For example, unlike graphene, 2D Mo or W dichalcogenides have a direct bandgap and are semiconducting. Mo or W dichalcogenides are therefore useful in electronic devices, for example Mo or W dichalcogenides can be used as channel materials in gate stacks or transistors.

いくつかの実施形態によれば、Mo又はWを含む2D材料は、本明細書で開示される方法に従ってALDにより成膜され得る。いくつかの実施形態では、Mo又はWを含む2D材料は、Mo又はWを含む化合物の10単分子層以下、好ましくは5単分子層未満、最も好ましくは3単分子層以下を含み得る。 According to some embodiments, 2D materials comprising Mo or W can be deposited by ALD according to the methods disclosed herein. In some embodiments, the 2D material comprising Mo or W may comprise 10 monolayers or less, preferably 5 monolayers or less, most preferably 3 monolayers or less of the Mo or W containing compound.

いくつかの実施形態では、Mo又はWを含む2D材料は、Mo又はWジカルコゲナイドの10単分子層以下、好ましくは5単分子層未満、最も好ましくは3単分子層以下を含み得る。いくつかの実施形態では、Mo又はWを含む2D材料は、MoS、WS、MoSe、WSe、MoTe、又はWTeの10単分子層以下、好ましくは5単分子層未満、最も好ましくは3単分子層以下を含み得る。 In some embodiments, a 2D material comprising Mo or W may comprise 10 monolayers or less, preferably 5 monolayers or less, and most preferably 3 monolayers or less of Mo or W dichalcogenides. In some embodiments, the 2D material comprising Mo or W comprises no more than 10 monolayers of MoS2 , WS2 , MoSe2, WSe2 , MoTe2 , or WTe2 , preferably less than 5 monolayers, most Preferably it may contain no more than 3 monolayers.

いくつかの実施形態では、基材上にMo又はWを含む2D材料を成膜する方法は、本明細書に開示されるように、複数のサイクルを含むALDプロセスを含み得る。いくつかの実施形態では、Mo又はWを含む2D材料を成膜する方法は、本明細書に開示されるように、500成膜サイクル以下、好ましくは200成膜サイクル以下、最も好ましくは100成膜サイクル以下を含むALDプロセスを含み得る。特定の前駆体、基材及びプロセス条件により当業者が選択できるように、Mo又はWを含む2D材料を基材上に成膜する方法は、本明細書に開示されるように、50サイクル以下、25サイクル以下、15サイクル以下、又は10サイクル以下を含むALDプロセスを含み得る。 In some embodiments, a method of depositing a 2D material comprising Mo or W on a substrate can include an ALD process involving multiple cycles, as disclosed herein. In some embodiments, the method of depositing a 2D material comprising Mo or W comprises 500 deposition cycles or less, preferably 200 deposition cycles or less, most preferably 100 deposition cycles or less, as disclosed herein. It can include ALD processes including film cycles and following. As can be selected by one of ordinary skill in the art depending on the specific precursors, substrates and process conditions, methods for depositing 2D materials comprising Mo or W on substrates, as disclosed herein, are 50 cycles or less. , 25 cycles or less, 15 cycles or less, or 10 cycles or less.

いくつかの実施形態では、Mo又はWを含む成膜された2D材料は、10nm未満、より好ましくは5nm未満、より好ましくは3nm未満、より好ましくは2nm未満、より好ましくは1.5nm未満、及び最も好ましくは1.0nm未満であることができる。 In some embodiments, the deposited 2D material comprising Mo or W is less than 10 nm, more preferably less than 5 nm, more preferably less than 3 nm, more preferably less than 2 nm, more preferably less than 1.5 nm, and Most preferably it can be less than 1.0 nm.

いくつかの実施形態では、成膜された2D材料は、約0.75nm未満、好ましくは約0.5nm未満、最も好ましくは約0.4nm以下の粗さ(R)を有する。粗さを、例えば、原子間力顕微鏡(AFM)又はX線反射(XRR)で測定することができる。超薄型2D材料膜の場合、AFMが好ましい方法であることができる。 In some embodiments, the deposited 2D material has a roughness (R q ) of less than about 0.75 nm, preferably less than about 0.5 nm, and most preferably less than or equal to about 0.4 nm. Roughness can be measured, for example, by atomic force microscopy (AFM) or X-ray reflection (XRR). For ultra-thin 2D material films, AFM may be the preferred method.

いくつかの実施形態では、Mo又はWを含む2D材料を、電子デバイス、例えばゲートスタック内のチャネル材料として使用することができる。いくつかの実施形態では、Mo又はWを含む2D材料を、ゲート誘電体の後に、すなわちチャネルラストに成膜することができる。いくつかの実施形態では、Mo又はWを含む2D材料を、ゲート誘電体の前に、すなわちチャネルファーストに成膜することができる。いくつかの実施形態では、ゲートスタックは、チャネルがゲートスタック内のゲートの上にあるように、上下を逆に製造されてもよい。 In some embodiments, 2D materials comprising Mo or W can be used as channel materials in electronic devices, such as gate stacks. In some embodiments, a 2D material containing Mo or W can be deposited after the gate dielectric, i.e., channel last. In some embodiments, 2D materials including Mo or W can be deposited before the gate dielectric, ie, channel-first. In some embodiments, the gate stack may be fabricated upside down such that the channel is above the gate in the gate stack.

図21は、自然酸化物1410を含むシリコン基材1400上に成膜されたMoS薄膜1420の収差補正走査形トンネル電子顕微鏡(AC-STEM)画像である。基材1400のシリコン原子1401は、画像の下半分において白色ドットとして見られ、一方、自然酸化物1410は、MoS薄膜1420とシリコン基材1400との間のより暗い層である。ここに示されるMoSは、約2~3分子層であり、約10~15Åの厚さを有する。単分子層間の間隔は約6~8Åであり、これはMoSの予測される範囲内である。 FIG. 21 is an aberration-corrected scanning tunneling electron microscope (AC-STEM) image of a MoS 2 thin film 1420 deposited on a silicon substrate 1400 containing native oxide 1410. FIG. Silicon atoms 1401 of substrate 1400 are seen as white dots in the bottom half of the image, while native oxide 1410 is the darker layer between MoS 2 thin film 1420 and silicon substrate 1400 . The MoS 2 shown here is about 2-3 monolayers and has a thickness of about 10-15 Å. The spacing between monolayers is about 6-8 Å, which is within the expected range for MoS2 .

用語「膜」及び「薄膜」は、本明細書では簡略化のために使用される。「膜」及び「薄膜」は、本明細書に開示された方法により成膜された任意の連続的又は非連続的な構造及び材料を意味することを意図する。「膜」及び「薄膜」としては、例えば、2D材料、ナノロッド、ナノチューブ若しくはナノ粒子、又は平坦な単一の部分的な若しくは完全な分子層、又は部分的な若しくは完全な原子層、又は原子及び/若しくは分子のクラスター、を挙げることができる。「膜」及び「薄膜」は、ピンホールを有する材料又は層を含み得るが、それでも少なくとも部分的に連続している。 The terms "film" and "thin film" are used herein for brevity. "Film" and "thin film" are intended to mean any continuous or discontinuous structures and materials deposited by the methods disclosed herein. "Films" and "thin films" include, for example, 2D materials, nanorods, nanotubes or nanoparticles, or flat single partial or complete molecular layers, or partial or complete atomic layers, or atoms and and/or clusters of molecules. "Films" and "thin films" may include materials or layers that have pinholes but are still at least partially continuous.

本明細書で使用されるカルコゲンという用語は、主として硫黄、セレン及びテルルの化学元素を指すことを意図するが、当業者には明らかであるように、場合によっては、この用語は酸素を指す場合もある。同様に、カルコゲナイド及びジカルコゲナイドという用語は、主に硫化物、セレン化物、及びテルル化物を指すことを意図するが、当業者には明らかであるように、場合によっては、このような用語は酸化物を指す場合もある。 The term chalcogen as used herein is intended to refer primarily to the chemical elements of sulfur, selenium and tellurium, although in some cases the term refers to oxygen, as will be apparent to those skilled in the art. There is also Similarly, the terms chalcogenide and dichalcogenide are intended to refer primarily to sulfides, selenides, and tellurides, although in some cases such terms may also refer to oxides, as will be apparent to those skilled in the art. It can also refer to things.

前述の発明は、いくつかの好ましい実施形態に関して記載されているが、他の実施形態は、当業者には明らかであろう。更に、他の組み合わせ、省略、置換及び変更は、本明細書の開示を考慮すると、当業者には明らかであろう。したがって、本発明は、好ましい実施形態を列挙することによって限定されることを意図しておらず、代わりに添付の特許請求の範囲を参照して定義される。 While the foregoing invention has been described with respect to certain preferred embodiments, other embodiments will be apparent to those skilled in the art. Additionally, other combinations, omissions, permutations and modifications will be apparent to those skilled in the art in view of the disclosure herein. Accordingly, the invention is not intended to be limited by reciting the preferred embodiments, but is instead defined by reference to the appended claims.

Claims (46)

反応チャンバー内の基材上にMo又はW含有薄膜を形成する、少なくとも1つのサイクルを含むプロセスであって、前記サイクルは、
最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、前記基材を気相Mo又はW前駆体と接触させる工程であって、前記Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する、接触させる工程と、
過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程と、
前記基材を気相カルコゲン前駆体と接触させる工程であって、前記カルコゲン前駆体は、前記基材表面上の前記Mo又はW前駆体と反応する、接触させる工程と、
過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去することと、
場合によっては、所望の厚さのMo又はW含有薄膜が形成されるまで、前記接触させる工程及び前記除去する工程を繰り返す工程と、を含む、プロセス。
A process comprising at least one cycle of forming a Mo or W containing thin film on a substrate within a reaction chamber, said cycle comprising:
contacting said substrate with a gas phase Mo or W precursor such that at most a first Mo or W precursor monolayer is formed on the substrate surface, said Mo or W precursor Mo or W in the body has an oxidation state below +IV but not 0;
removing excess Mo or W precursors and reaction by-products, if any;
contacting the substrate with a vapor phase chalcogen precursor, the chalcogen precursor reacting with the Mo or W precursor on the substrate surface;
removing excess chalcogen precursor and reaction by-products, if any;
optionally repeating the contacting and removing steps until a desired thickness of Mo or W containing thin film is formed.
前記プロセスは、原子層堆積(ALD)プロセスである、請求項1に記載のプロセス。 3. The process of claim 1, wherein the process is an atomic layer deposition (ALD) process. 前記プロセスは、2つ以上の連続サイクルを含む、請求項1に記載のプロセス。 2. The process of claim 1, wherein said process comprises two or more consecutive cycles. 前記Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である、請求項1に記載のプロセス。 2. The process of claim 1, wherein the Mo or W containing thin film is a Mo or W sulfide, selenide, or telluride thin film. 前記Mo又はW前駆体中のMo又はWの前記酸化状態は+IIIである、請求項1に記載のプロセス。 2. The process of claim 1, wherein the oxidation state of Mo or W in the Mo or W precursor is +III. 前記カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTeを含む、請求項1に記載のプロセス。 2. The process of claim 1, wherein the chalcogen precursor comprises H2S , H2Se , H2Te , ( CH3 ) 2S , ( CH3 ) 2Se , or ( CH3 ) 2Te . 反応チャンバー内の基材上にMo又はW硫化物、セレン化物、又はテルル化物薄膜を形成する、少なくとも1つのサイクルを含む原子層堆積(ALD)プロセスであって、前記サイクルは、
最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、前記基材を気相Mo又はW前駆体と接触させる工程であって、前記Mo又はW前駆体は、少なくとも1つの二座配位子を含む、接触させる工程と、
過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程と、
前記基材を気相カルコゲン前駆体と接触させる工程であって、前記カルコゲン前駆体は、前記基材表面上の前記Mo又はW前駆体と反応する、接触させる工程と、
過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去する工程と、
所望の厚さのMo又はW含有薄膜が形成されるまで、前記接触させる工程及び前記除去する工程を繰り返す工程と、を含む、プロセス。
An atomic layer deposition (ALD) process comprising at least one cycle of forming a Mo or W sulfide, selenide, or telluride thin film on a substrate in a reaction chamber, said cycle comprising:
contacting said substrate with a gas phase Mo or W precursor such that at most a first Mo or W precursor monolayer is formed on the substrate surface, said Mo or W precursor contacting, wherein the body comprises at least one bidentate ligand;
removing excess Mo or W precursors and reaction by-products, if any;
contacting the substrate with a vapor phase chalcogen precursor, the chalcogen precursor reacting with the Mo or W precursor on the substrate surface;
removing excess chalcogen precursor and reaction by-products, if any;
repeating said contacting and said removing steps until a desired thickness of Mo or W containing thin film is formed.
前記二座配位子は、O、S、又はN原子を介してMo又はW原子に結合する、請求項7に記載のプロセス。 8. The process of claim 7, wherein the bidentate ligand binds to the Mo or W atom through an O, S, or N atom. 前記二座配位子は、2つのO原子を介してMo又はW原子に結合する、請求項8に記載のプロセス。 9. The process of claim 8, wherein the bidentate ligand binds to the Mo or W atom through two O atoms. 前記二座配位子は、O原子及びN原子を介してMo又はW原子に結合する、請求項8に記載のプロセス。 9. The process of claim 8, wherein the bidentate ligand binds to the Mo or W atom via O and N atoms. 前記二座配位子は、2つのN原子を介してMo又はW原子に結合する、請求項8に記載のプロセス。 9. The process of claim 8, wherein the bidentate ligand binds to the Mo or W atom through two N atoms. 前記二座配位子は、β-ジケトナト配位子である、請求項7に記載のプロセス。 8. The process of claim 7, wherein said bidentate ligand is a β-diketonato ligand. 前記β-ジケトナト配位子は、アセチルアセトナト(acac)配位子である、請求項12に記載のプロセス。 13. The process of claim 12, wherein the β-diketonato ligand is an acetylacetonato (acac) ligand. 前記β-ジケトナト配位子は、2,2,6,6-テトラメチル-3,5-ヘプタンジオナト(thd)配位子である、請求項12に記載のプロセス。 13. The process of claim 12, wherein the β-diketonato ligand is a 2,2,6,6-tetramethyl-3,5-heptanedionato (thd) ligand. 前記Mo又はW前駆体は、少なくとも2つの二座配位子を含む、請求項7に記載のプロセス。 8. The process of claim 7, wherein said Mo or W precursor comprises at least two bidentate ligands. 前記Mo又はW前駆体は、3つの二座配位子を含む、請求項7に記載のプロセス。 8. The process of claim 7, wherein the Mo or W precursor contains three bidentate ligands. 反応チャンバー内の基材上にMo又はW硫化物、セレン化物、又はテルル化物2D材料を形成する、少なくとも1つのサイクルを含む原子層堆積(ALD)プロセスであって、前記サイクルは、
最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、前記基材を気相Mo又はW前駆体と接触させる工程であって、前記Mo又はW前駆体は、Mo又はWβ-ジケトネートである、接触させる工程と、
過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程と、
前記基材を気相硫黄、セレン、又はテルル前駆体と接触させる工程であって、前記硫黄、セレン、又はテルル前駆体は、前記基材表面上の前記Mo又はW前駆体と反応する、接触させる工程と、
過剰な硫黄、又はセレン前駆体及び反応副生成物がある場合には、それを除去する工程と、を含む、プロセス。
An atomic layer deposition (ALD) process comprising at least one cycle of forming a Mo or W sulfide, selenide, or telluride 2D material on a substrate in a reaction chamber, said cycle comprising:
contacting said substrate with a gas phase Mo or W precursor such that at most a first Mo or W precursor monolayer is formed on the substrate surface, said Mo or W precursor the body is Mo or W beta-diketonate;
removing excess Mo or W precursors and reaction by-products, if any;
contacting the substrate with a vapor phase sulfur, selenium, or tellurium precursor, wherein the sulfur, selenium, or tellurium precursor reacts with the Mo or W precursor on the substrate surface; and
removing excess sulfur or selenium precursors and reaction by-products, if any.
前記Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である、請求項17に記載のプロセス。 18. The process of claim 17, wherein the Mo or W containing thin film is a Mo or W sulfide, selenide, or telluride thin film. 前記Mo又はW前駆体を含むMo又はW原子の酸化状態は+IIIである、請求項17に記載のプロセス。 18. The process of claim 17, wherein the oxidation state of Mo or W atoms comprising said Mo or W precursor is +III. カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTeを含む、請求項17に記載のプロセス。 18. The process of claim 17, wherein the chalcogen precursor comprises H2S , H2Se , H2Te , ( CH3 ) 2S , ( CH3 ) 2Se , or ( CH3 ) 2Te . 前記Mo又はW前駆体はMo(thd)であり、及びカルコゲン前駆体はHSである、請求項17に記載のプロセス。 18. The process of claim 17, wherein the Mo or W precursor is Mo(thd) 3 and the chalcogen precursor is H2S . 前記Mo又はW前駆体はW(thd)であり、及びカルコゲン前駆体はHSである、請求項17に記載のプロセス。 18. The process of claim 17, wherein the Mo or W precursor is W(thd) 3 and the chalcogen precursor is H2S . 前記2D材料はMoSを含む、請求項17に記載のプロセス。 18. The process of claim 17, wherein said 2D material comprises MoS2 . Mo又はWβ-ジケトネート前駆体を作製する方法であって、前記方法は、
式MX(R)、(式中、nは0~4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供する工程と、
アルカリ金属化合物をβ-ジケトン化合物と反応させることにより第1の生成物を形成する工程と、
続いて前記第1の生成物を前記第1の反応物質に加え、それにより、式ML、(式中、MはMo又はWであり、Lはβ-ジケトナト配位子である)を有するMo又はWβ-ジケトネート前駆体を形成する工程と、を含む、方法。
A method of making a Mo or W β-diketonate precursor, said method comprising:
A first reactant having the formula MX 3 (R) n , where n is a number from 0 to 4, M is Mo or W, X is a halide, and R is a solvent. and
forming a first product by reacting an alkali metal compound with a β-diketone compound;
The first product is then added to the first reactant, thereby having the formula ML 3 , where M is Mo or W and L is a β-diketonato ligand. forming a Mo or W β-diketonate precursor.
前記第1の反応物質を提供する工程は、
Mo又はWハロゲン化物を還元剤で還元することにより第1の中間生成物を形成する工程と、
続いて溶媒を前記第1の生成物に加えることにより第2の中間生成物を形成し、それにより前記第1の反応物質を形成する工程と、を更に含む、請求項24に記載の方法。
Providing the first reactant comprises:
reducing the Mo or W halide with a reducing agent to form a first intermediate product;
25. The method of claim 24, further comprising subsequently adding a solvent to said first product to form a second intermediate product thereby forming said first reactant.
前記Mo又はWハロゲン化物はMoClであり、前記β-ジケトン化合物はHthdであり、及び前記形成されたMo又はWβ-ジケトネート前駆体はMo(thd)である、請求項24に記載の方法。 25. The method of claim 24, wherein the Mo or W halide is MoCl5 , the β-diketone compound is Hthd, and the Mo or W β-diketonate precursor formed is Mo(thd) 3 . . Mo又はWβ-ジケトネート化合物を形成する方法であって、前記Mo又はWβ-ジケトネート化合物中のMo又はWは、+IIIの酸化状態を有し、前記方法は、
式MX(R)、(式中、nは0~4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供する工程と、
アルカリ金属化合物をβ-ジケトン化合物と反応させることにより、第1の生成物を形成する工程と、
続いて前記第1の生成物を前記第1の反応物質と反応させ、それにより、式ML、(式中、Mは+IIIの酸化状態を有するMo又はWであり、Lはβ-ジケトナト配位子である)を有するMo又はWβ-ジケトネート化合物を形成する工程と、を含む、方法。
A method of forming a Mo or W β-diketonate compound, wherein Mo or W in said Mo or W β-diketonate compound has an oxidation state of +III, said method comprising:
A first reactant having the formula MX 3 (R) n , where n is a number from 0 to 4, M is Mo or W, X is a halide, and R is a solvent. and
forming a first product by reacting an alkali metal compound with a β-diketone compound;
The first product is then reacted with the first reactant, thereby forming a compound of the formula ML 3 , where M is Mo or W with an oxidation state of +III and L is a β-diketonato linkage. forming a Mo or W β-diketonate compound with the
反応チャンバー内の基材上にMo又はW含有材料を形成する、少なくとも1つの成膜サイクルを含むプロセスであって、前記成膜サイクルは、
前記基材を気相Mo又はW前駆体及び第2の気相カルコゲン前駆体と交互にかつ連続して接触させる工程を含み、
前記Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する、プロセス。
A process comprising at least one deposition cycle for forming a Mo- or W-containing material on a substrate within a reaction chamber, said deposition cycle comprising:
alternately and sequentially contacting the substrate with a vapor phase Mo or W precursor and a second vapor phase chalcogen precursor;
The process wherein Mo or W in said Mo or W precursor has an oxidation state below +IV but not 0.
前記成膜サイクルを2回以上繰り返す、請求項28に記載のプロセス。 29. The process of Claim 28, wherein the deposition cycle is repeated two or more times. 前記基材を気相Mo又はW前駆体と接触させた後に、及び前記基材を前記気相カルコゲン前駆体と接触させる前に、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程、を更に含む、請求項28に記載のプロセス。 after contacting the substrate with the gas phase Mo or W precursor and before contacting the substrate with the gas phase chalcogen precursor if there is excess Mo or W precursor and reaction by-products 29. The process of claim 28, further comprising removing it. 前記基材を気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去する工程、を更に含む、請求項28に記載のプロセス。 removing excess chalcogen precursor and reaction by-products, if any, after contacting the substrate with the vapor phase chalcogen precursor and before initiating another deposition cycle; 29. The process of claim 28, further comprising. 前記基材を前記Mo又はW気相前駆体と接触させた後に、及び前記基材を前記気相カルコゲン前駆体と接触させる前に、前記基材をパージガスと接触させる工程を更に含む、請求項28に記載のプロセス。 4. The method of claim 1, further comprising contacting the substrate with a purge gas after contacting the substrate with the Mo or W gas phase precursor and prior to contacting the substrate with the gas phase chalcogen precursor. 28. The process according to 28. 前記基材を前記気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、前記基材をパージガスと接触させる工程を更に含む、請求項28に記載のプロセス。 29. The process of claim 28, further comprising contacting the substrate with a purge gas after contacting the substrate with the vapor phase chalcogen precursor and before initiating another deposition cycle. 前記基材を前記Mo又はW気相前駆体と接触させた後に、及び前記基材を前記気相カルコゲン前駆体と接触させる前に、前記基材を真空に曝す工程を更に含む、請求項28に記載のプロセス。 Claim 28, further comprising subjecting the substrate to a vacuum after contacting the substrate with the Mo or W gas phase precursor and prior to contacting the substrate with the gas phase chalcogen precursor. process described in . 前記基材を前記気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、前記基材を真空に曝す工程を更に含む、請求項28に記載のプロセス。 29. The process of claim 28, further comprising exposing the substrate to a vacuum after contacting the substrate with the vapor phase chalcogen precursor and before initiating another deposition cycle. 前記Mo又はW含有材料は、Mo又はW元素を含む、請求項28に記載のプロセス。 29. The process of claim 28, wherein said Mo or W containing material comprises Mo or W elements. 前記Mo又はW含有材料は、Mo又はWの酸化物材料を含む、請求項28に記載のプロセス。 29. The process of claim 28, wherein the Mo or W containing material comprises a Mo or W oxide material. 前記Mo又はW含有材料は、Mo又はWの窒化物材料を含む、請求項28に記載のプロセス。 29. The process of claim 28, wherein the Mo or W containing material comprises a Mo or W nitride material. 前記Mo又はW含有材料は、Mo又はWのシリサイド材料を含む、請求項28に記載のプロセス。 29. The process of claim 28, wherein the Mo or W containing material comprises a Mo or W silicide material. 前記成膜サイクルは、最大でMo又はW含有材料の単層を前記基材上に形成する、請求項28に記載のプロセス。 29. The process of claim 28, wherein the deposition cycle forms up to a monolayer of Mo or W containing material on the substrate. 前記成膜サイクルは、Mo又はW含有材料の単層の約50%以下を前記基材上に形成する、請求項28に記載のプロセス。 29. The process of claim 28, wherein the deposition cycle forms about 50% or less of a monolayer of Mo or W containing material on the substrate. 前記成膜サイクルは、Mo又はW含有材料の単層の約25%以下を前記基材上に形成する、請求項28に記載のプロセス。 29. The process of claim 28, wherein the deposition cycle forms about 25% or less of a monolayer of Mo or W containing material on the substrate. 前記成膜サイクルは、Mo又はW含有材料の単層の約10%以下を前記基材上に形成する、請求項28に記載のプロセス。 29. The process of claim 28, wherein the deposition cycle forms about 10% or less of a monolayer of Mo or W containing material on the substrate. Mo又はW含有材料を前記基材上に形成する前に、前記基材に前処理プロセスを行う工程を更に含む、請求項28に記載のプロセス。 29. The process of claim 28, further comprising subjecting the substrate to a pretreatment process prior to forming the Mo or W containing material on the substrate. 前記第2の気相カルコゲン前駆体はプラズマを含む、請求項28に記載のプロセス。 29. The process of claim 28, wherein said second vapor phase chalcogen precursor comprises plasma. 前記プラズマは、-S-H結合を含む化合物から形成される、請求項45に記載のプロセス。 46. The process of claim 45, wherein said plasma is formed from compounds containing -SH bonds.
JP2023064038A 2015-05-27 2023-04-11 Methods for synthesizing and using ald precursors of molybdenum or tungsten containing thin films Pending JP2023089105A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562167220P 2015-05-27 2015-05-27
US62/167,220 2015-05-27
US201562181126P 2015-06-17 2015-06-17
US62/181,126 2015-06-17
JP2021131383A JP7062819B2 (en) 2015-05-27 2021-08-11 How to synthesize and use precursors for ALD of molybdenum or tungsten-containing thin films
JP2022068603A JP7262646B2 (en) 2015-05-27 2022-04-19 Synthesis and method of use of precursors for ALD of molybdenum or tungsten containing thin films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2022068603A Division JP7262646B2 (en) 2015-05-27 2022-04-19 Synthesis and method of use of precursors for ALD of molybdenum or tungsten containing thin films

Publications (1)

Publication Number Publication Date
JP2023089105A true JP2023089105A (en) 2023-06-27

Family

ID=57394282

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2017559384A Active JP6929790B2 (en) 2015-05-27 2016-05-24 How to synthesize and use precursors for ALD of molybdenum or tungsten-containing thin films
JP2021131383A Active JP7062819B2 (en) 2015-05-27 2021-08-11 How to synthesize and use precursors for ALD of molybdenum or tungsten-containing thin films
JP2022068603A Active JP7262646B2 (en) 2015-05-27 2022-04-19 Synthesis and method of use of precursors for ALD of molybdenum or tungsten containing thin films
JP2023064038A Pending JP2023089105A (en) 2015-05-27 2023-04-11 Methods for synthesizing and using ald precursors of molybdenum or tungsten containing thin films

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2017559384A Active JP6929790B2 (en) 2015-05-27 2016-05-24 How to synthesize and use precursors for ALD of molybdenum or tungsten-containing thin films
JP2021131383A Active JP7062819B2 (en) 2015-05-27 2021-08-11 How to synthesize and use precursors for ALD of molybdenum or tungsten-containing thin films
JP2022068603A Active JP7262646B2 (en) 2015-05-27 2022-04-19 Synthesis and method of use of precursors for ALD of molybdenum or tungsten containing thin films

Country Status (6)

Country Link
US (3) US11047042B2 (en)
JP (4) JP6929790B2 (en)
KR (3) KR102314722B1 (en)
CN (2) CN107923039B (en)
TW (2) TWI693294B (en)
WO (1) WO2016191432A1 (en)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11047042B2 (en) * 2015-05-27 2021-06-29 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10619242B2 (en) * 2016-12-02 2020-04-14 Asm Ip Holding B.V. Atomic layer deposition of rhenium containing thin films
US10662074B2 (en) * 2016-12-30 2020-05-26 Nanoco Technologies Ltd. Template-assisted synthesis of 2D nanosheets using nanoparticle templates
KR102572271B1 (en) 2017-04-10 2023-08-28 램 리써치 코포레이션 Low resistivity films containing molybdenum
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102184699B1 (en) * 2017-12-13 2020-12-01 한양대학교 에리카산학협력단 Transition metal dichalcogenide thin film and manufacturing method of the same
CN111837074B (en) * 2018-03-02 2023-11-03 Asml荷兰有限公司 Method and apparatus for forming patterned material layer
TWI751406B (en) * 2018-03-06 2022-01-01 美商應用材料股份有限公司 Methods of forming metal chalcogenide pillars
US11447862B2 (en) * 2018-03-07 2022-09-20 Uchicago Argonne, Llc Methods to deposit controlled thin layers of transition metal dichalcogenides
US11393681B2 (en) 2018-03-07 2022-07-19 Uchicago Argonne, Llc Methods to deposit and etch controlled thin layers of transition metal dichalcogenides
WO2019213604A1 (en) 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
CN109182996B (en) * 2018-11-05 2020-11-24 中国兵器工业第五九研究所 Tungsten alloy coating preparation equipment and method
KR102156981B1 (en) * 2018-11-28 2020-09-16 충북대학교 산학협력단 Method for producing transition metal dichalcogenide thin film
SG11202109796QA (en) 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11142824B2 (en) 2019-04-23 2021-10-12 Uchicago Argonne, Llc Method of producing thin layer of large area transition metal dichalcogenides MoS2 and others
US11424454B2 (en) * 2019-06-16 2022-08-23 Applied Materials, Inc. Protection interfaces for Li-ion battery anodes
KR20210009160A (en) 2019-07-16 2021-01-26 삼성전자주식회사 Method for forming thin film of transition metal dichalcogenide
KR20210048408A (en) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds
CN110863189A (en) * 2019-11-11 2020-03-06 中国科学院上海技术物理研究所 Method for growing single-layer telluride doped structure by pulse type injection of reactant
TW202136571A (en) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 Deposition of hafnium oxide within a high aspect ratio hole
KR20210119809A (en) 2020-03-25 2021-10-06 삼성전자주식회사 Molybdenum compound and method of manufacturing integrated circuit device
TW202204667A (en) 2020-06-11 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Atomic layer deposition and etching of transition metal dichalcogenide thin films
TW202204662A (en) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11626284B2 (en) * 2020-10-02 2023-04-11 Applied Materials, Inc. Method of forming a 2-dimensional channel material, using ion implantation
KR102589396B1 (en) 2020-12-01 2023-10-13 고려대학교 산학협력단 Manufacturing method of transition metal disulfide thin film, manufacturing method of organic light emitting diode device using this method, and organic light emitting diode device
TW202231903A (en) * 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11869806B2 (en) * 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3429903A (en) 1968-03-12 1969-02-25 American Metal Climax Inc Method of preparing molybdenum (iii) acetylacetonate
US3784631A (en) 1972-03-09 1974-01-08 Goodyear Tire & Rubber Dimerization or codimerization of alpha-olefins
US5028724A (en) * 1990-03-30 1991-07-02 Air Products And Chemicals, Inc. Synthesis of volatile fluorinated and non-fluorinated metal-beta-ketonate and metal-beta-ketoiminato complexes
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5840897A (en) * 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
WO1998046617A1 (en) * 1997-04-17 1998-10-22 The President And Fellows Of Harvard College Liquid precursor for formation of metal oxides
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
WO2002018394A1 (en) * 2000-08-28 2002-03-07 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100421219B1 (en) * 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
US7034169B1 (en) * 2004-12-30 2006-04-25 Air Products And Chemicals, Inc. Volatile metal β-ketoiminate complexes
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
JP2009539237A (en) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for forming high-k dielectric films based on novel titanium, zirconium and hafnium precursors and their use in semiconductor manufacturing
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
CN102993050A (en) 2006-06-28 2013-03-27 哈佛学院院长等 Metal(IV) tetra-amidinate compounds and their use in vapor deposition
CN101117308A (en) 2006-08-04 2008-02-06 浙江医药股份有限公司新昌制药厂 Method for preparing acetylacetone acid molybdenum
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
CN101343732A (en) 2007-04-16 2009-01-14 气体产品与化学公司 Metal precursor solutions for chemical vapor deposition
US20080254218A1 (en) * 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
JP5658463B2 (en) * 2009-02-27 2015-01-28 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
KR20120123126A (en) * 2010-02-03 2012-11-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
JP5839830B2 (en) 2010-04-28 2016-01-06 昭和電工株式会社 Organometallic complex compound, method for producing organometallic complex compound, and photocurable composition containing organometallic complex compound
JP5873494B2 (en) 2010-08-27 2016-03-01 シグマ−アルドリッチ・カンパニー、エルエルシー Molybdenum (IV) amide precursors and their use in atomic layer deposition methods
JP2012099594A (en) * 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc Substrate processing apparatus
JP2012209413A (en) 2011-03-29 2012-10-25 Tdk Corp Metal precursor formation material for solar cell, method of manufacturing metal precursor formation material for solar cell, and method of manufacturing ib-iiia-via-based compound solar cell
JP5730670B2 (en) * 2011-05-27 2015-06-10 株式会社Adeka Method for producing thin film containing molybdenum oxide, and raw material for forming thin film containing molybdenum oxide
KR101464173B1 (en) 2013-07-23 2014-11-21 영남대학교 산학협력단 Method for forming transition metal chalcogenides thin film
KR101621470B1 (en) 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 thin film and preparation method thereof
WO2015056944A1 (en) 2013-10-14 2015-04-23 한국화학연구원 Molybdenum compound or tungsten compound, method for preparing same and method for forming thin film using same
FR3016889B1 (en) * 2014-01-24 2016-01-22 Commissariat Energie Atomique PROCESS FOR REASLISTING BY ALD A THIN LAYER OF MYX FORMULA
JP6437324B2 (en) 2014-03-25 2018-12-12 東京エレクトロン株式会社 Method for forming tungsten film and method for manufacturing semiconductor device
KR101535573B1 (en) * 2014-11-04 2015-07-13 연세대학교 산학협력단 Method for synthesis of transition metal chalcogenide
CN104561937B (en) 2015-01-05 2017-08-15 上海纳米技术及应用国家工程研究中心有限公司 Ald prepares the WS2 film process acted on solid lubrication
US11047042B2 (en) * 2015-05-27 2021-06-29 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
US10358407B2 (en) * 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films

Also Published As

Publication number Publication date
KR20180012268A (en) 2018-02-05
TW201641733A (en) 2016-12-01
CN107923039B (en) 2021-06-29
US11047042B2 (en) 2021-06-29
US11624112B2 (en) 2023-04-11
KR102430540B1 (en) 2022-08-08
CN107923039A (en) 2018-04-17
US20210269915A1 (en) 2021-09-02
JP2021191754A (en) 2021-12-16
JP2022101619A (en) 2022-07-06
JP7062819B2 (en) 2022-05-06
US20180127873A1 (en) 2018-05-10
JP2018515692A (en) 2018-06-14
TWI718915B (en) 2021-02-11
TWI693294B (en) 2020-05-11
US20230227977A1 (en) 2023-07-20
JP6929790B2 (en) 2021-09-01
TW202028508A (en) 2020-08-01
CN113652672A (en) 2021-11-16
KR20220000924A (en) 2022-01-04
WO2016191432A1 (en) 2016-12-01
JP7262646B2 (en) 2023-04-21
CN113652672B (en) 2023-12-22
KR102314722B1 (en) 2021-10-20
KR20210129230A (en) 2021-10-27
KR102344660B1 (en) 2021-12-29

Similar Documents

Publication Publication Date Title
JP7262646B2 (en) Synthesis and method of use of precursors for ALD of molybdenum or tungsten containing thin films
JP7411021B2 (en) Atomic layer deposition of rhenium-containing thin films
KR102616877B1 (en) Method for forming W containing thin film on a substrate

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230411

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230411