JP2023013166A - Compound - Google Patents

Compound Download PDF

Info

Publication number
JP2023013166A
JP2023013166A JP2021117147A JP2021117147A JP2023013166A JP 2023013166 A JP2023013166 A JP 2023013166A JP 2021117147 A JP2021117147 A JP 2021117147A JP 2021117147 A JP2021117147 A JP 2021117147A JP 2023013166 A JP2023013166 A JP 2023013166A
Authority
JP
Japan
Prior art keywords
group
ring
compound
formula
carbon atoms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021117147A
Other languages
Japanese (ja)
Inventor
悠司 淺津
Yuji Asazu
由紀 西上
Yuki Nishigami
浩司 久門
Koji Kumon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dongwoo Fine Chem Co Ltd
Original Assignee
Dongwoo Fine Chem Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dongwoo Fine Chem Co Ltd filed Critical Dongwoo Fine Chem Co Ltd
Priority to JP2021117147A priority Critical patent/JP2023013166A/en
Priority to PCT/KR2022/010249 priority patent/WO2023287209A1/en
Priority to KR1020237026420A priority patent/KR20230131477A/en
Priority to CN202280029107.1A priority patent/CN117177966A/en
Publication of JP2023013166A publication Critical patent/JP2023013166A/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D207/00Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D207/02Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D207/18Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom having one double bond between ring members or between a ring member and a non-ring member
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C255/00Carboxylic acid nitriles
    • C07C255/45Carboxylic acid nitriles having cyano groups bound to carbon atoms of rings other than six-membered aromatic rings
    • C07C255/47Carboxylic acid nitriles having cyano groups bound to carbon atoms of rings other than six-membered aromatic rings to carbon atoms of rings being part of condensed ring systems
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/45Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing nitrogen atoms, not being part of nitro or nitroso groups, bound to the carbon skeleton
    • C07C309/46Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing nitrogen atoms, not being part of nitro or nitroso groups, bound to the carbon skeleton having the sulfo groups bound to carbon atoms of non-condensed six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/26Sulfones; Sulfoxides having sulfone or sulfoxide groups and nitrogen atoms, not being part of nitro or nitroso groups, bound to the same carbon skeleton
    • C07C317/32Sulfones; Sulfoxides having sulfone or sulfoxide groups and nitrogen atoms, not being part of nitro or nitroso groups, bound to the same carbon skeleton with sulfone or sulfoxide groups bound to carbon atoms of six-membered aromatic rings of the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C323/00Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups
    • C07C323/23Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and nitrogen atoms, not being part of nitro or nitroso groups, bound to the same carbon skeleton
    • C07C323/31Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and nitrogen atoms, not being part of nitro or nitroso groups, bound to the same carbon skeleton having the sulfur atom of at least one of the thio groups bound to a carbon atom of a six-membered aromatic ring of the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/02Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom condensed with one carbocyclic ring
    • C07D209/04Indoles; Hydrogenated indoles
    • C07D209/08Indoles; Hydrogenated indoles with only hydrogen atoms or radicals containing only hydrogen and carbon atoms, directly attached to carbon atoms of the hetero ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/02Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom condensed with one carbocyclic ring
    • C07D209/04Indoles; Hydrogenated indoles
    • C07D209/10Indoles; Hydrogenated indoles with substituted hydrocarbon radicals attached to carbon atoms of the hetero ring
    • C07D209/14Radicals substituted by nitrogen atoms, not forming part of a nitro radical
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/02Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom condensed with one carbocyclic ring
    • C07D209/44Iso-indoles; Hydrogenated iso-indoles
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D211/00Heterocyclic compounds containing hydrogenated pyridine rings, not condensed with other rings
    • C07D211/04Heterocyclic compounds containing hydrogenated pyridine rings, not condensed with other rings with only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D211/68Heterocyclic compounds containing hydrogenated pyridine rings, not condensed with other rings with only hydrogen or carbon atoms directly attached to the ring nitrogen atom having one double bond between ring members or between a ring member and a non-ring member
    • C07D211/70Heterocyclic compounds containing hydrogenated pyridine rings, not condensed with other rings with only hydrogen or carbon atoms directly attached to the ring nitrogen atom having one double bond between ring members or between a ring member and a non-ring member with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to ring carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D215/00Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems
    • C07D215/02Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom
    • C07D215/04Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom with only hydrogen atoms or radicals containing only hydrogen and carbon atoms, directly attached to the ring carbon atoms
    • C07D215/06Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom with only hydrogen atoms or radicals containing only hydrogen and carbon atoms, directly attached to the ring carbon atoms having only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, attached to the ring nitrogen atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D215/00Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems
    • C07D215/02Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom
    • C07D215/04Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom with only hydrogen atoms or radicals containing only hydrogen and carbon atoms, directly attached to the ring carbon atoms
    • C07D215/10Quaternary compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D233/00Heterocyclic compounds containing 1,3-diazole or hydrogenated 1,3-diazole rings, not condensed with other rings
    • C07D233/54Heterocyclic compounds containing 1,3-diazole or hydrogenated 1,3-diazole rings, not condensed with other rings having two double bonds between ring members or between ring members and non-ring members
    • C07D233/56Heterocyclic compounds containing 1,3-diazole or hydrogenated 1,3-diazole rings, not condensed with other rings having two double bonds between ring members or between ring members and non-ring members with only hydrogen atoms or radicals containing only hydrogen and carbon atoms, attached to ring carbon atoms
    • C07D233/58Heterocyclic compounds containing 1,3-diazole or hydrogenated 1,3-diazole rings, not condensed with other rings having two double bonds between ring members or between ring members and non-ring members with only hydrogen atoms or radicals containing only hydrogen and carbon atoms, attached to ring carbon atoms with only hydrogen atoms or radicals containing only hydrogen and carbon atoms, attached to ring nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D249/00Heterocyclic compounds containing five-membered rings having three nitrogen atoms as the only ring hetero atoms
    • C07D249/02Heterocyclic compounds containing five-membered rings having three nitrogen atoms as the only ring hetero atoms not condensed with other rings
    • C07D249/041,2,3-Triazoles; Hydrogenated 1,2,3-triazoles
    • C07D249/061,2,3-Triazoles; Hydrogenated 1,2,3-triazoles with aryl radicals directly attached to ring atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D249/00Heterocyclic compounds containing five-membered rings having three nitrogen atoms as the only ring hetero atoms
    • C07D249/02Heterocyclic compounds containing five-membered rings having three nitrogen atoms as the only ring hetero atoms not condensed with other rings
    • C07D249/081,2,4-Triazoles; Hydrogenated 1,2,4-triazoles
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D249/00Heterocyclic compounds containing five-membered rings having three nitrogen atoms as the only ring hetero atoms
    • C07D249/16Heterocyclic compounds containing five-membered rings having three nitrogen atoms as the only ring hetero atoms condensed with carbocyclic rings or ring systems
    • C07D249/18Benzotriazoles
    • C07D249/20Benzotriazoles with aryl radicals directly attached in position 2
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D403/00Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, not provided for by group C07D401/00
    • C07D403/02Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, not provided for by group C07D401/00 containing two hetero rings
    • C07D403/10Heterocyclic compounds containing two or more hetero rings, having nitrogen atoms as the only ring hetero atoms, not provided for by group C07D401/00 containing two hetero rings linked by a carbon chain containing aromatic rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • C08K5/17Amines; Quaternary ammonium compounds
    • C08K5/18Amines; Quaternary ammonium compounds with aromatically bound amino groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • C08K5/34Heterocyclic compounds having nitrogen in the ring
    • C08K5/3442Heterocyclic compounds having nitrogen in the ring having two nitrogen atoms in the ring
    • C08K5/3445Five-membered rings
    • C08K5/3447Five-membered rings condensed with carbocyclic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/02Systems containing two condensed rings the rings having only two atoms in common
    • C07C2602/14All rings being cycloaliphatic
    • C07C2602/26All rings being cycloaliphatic the ring system containing ten carbon atoms
    • C07C2602/28Hydrogenated naphthalenes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Nitrogen And Oxygen As The Only Ring Hetero Atoms (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Polymerisation Methods In General (AREA)

Abstract

To provide a novel compound that efficiently absorbs light in the visible region and has favorable weather resistance.SOLUTION: The compound has cations comprising a partial structure represented by formula (I) in the figure. [In formula (I), a ring W1 and a ring W2 each independently represent a ring structure having at least one double bond as a constituent of the ring, where the ring W1 and ring W2 each independently may have a substituent; and R1 and R2 each independently represent a hydrogen atom or a monovalent substituent, where at least one of R1 and R2 is a monovalent substituent.]SELECTED DRAWING: None

Description

本発明は、化合物に関する。 The present invention relates to compounds.

可視光の光を吸収する色素化合物は、物体の着色や特定波長の光の透過又は吸収等の目的で、繊維、インク、塗料、容器、包材、印刷物、光学物品、メガネ、表示装置等の幅広い用途に使用されている。色素化合物の重要な特性として選択吸収性(吸収スペクトルのシャープネス)及び耐久性(とりわけ耐候性)が挙げられる。色素化合物の中でもシアニン色素は、ポリメチン骨格中のメチン炭素数をコントロールすることにより波長380nm以下の紫外線領域から波長780nm以上の近赤外線領域まで幅広く極大吸収を示す波長をコントロールすることができる点、またシアニン色素の多くは比較的高い選択吸収性を示すことから、広く用いられてきた(例えば、特許文献1)。 Dye compounds that absorb visible light are used in textiles, inks, paints, containers, packaging materials, printed matter, optical goods, eyeglasses, display devices, etc., for the purpose of coloring objects and transmitting or absorbing light of specific wavelengths. Used for a wide range of purposes. Important properties of dye compounds include selective absorption (sharpness of absorption spectrum) and durability (especially weatherability). Among dye compounds, cyanine dyes can control a wide range of wavelengths exhibiting maximum absorption from the ultraviolet region with a wavelength of 380 nm or less to the near-infrared region with a wavelength of 780 nm or more by controlling the number of methine carbon atoms in the polymethine skeleton. Many of the cyanine dyes have been widely used because they exhibit relatively high selective absorption (for example, Patent Document 1).

米国特許第6004536号明細書U.S. Pat. No. 6,004,536

しかしながら、シアニン色素は高い選択吸収性を有するものの、耐久性(なかでも耐候性)に劣るものが多く、良好な耐久性を有する化合物が求められていた。 However, although cyanine dyes have high selective absorbability, many of them are inferior in durability (especially weather resistance), and compounds with good durability have been desired.

本発明は、以下の発明を含む。
[1] 下記式(I)で表される部分構造を含むカチオンを有する化合物。

Figure 2023013166000001

[式(I)中、
環W及び環Wは、それぞれ独立して、少なくとも1つの二重結合を環の構成要素として有する環構造を表す。
環W及び環Wは、それぞれ独立して、置換基を有していてもよい。
及びRは、それぞれ独立して、水素原子又は1価の置換基を表し、R及びRの少なくとも一方は1価の置換基である。]
[2] R及びRから選ばれる少なくとも1つが、電子求引性基である[1]に記載の化合物。
[3] R及びRから選ばれる少なくとも1つが、シアノ基、ニトロ基、ハロゲン化アルキル基、ハロゲン化アリール基、-CO-R、-CO-O-R、-CO-NR3k、-CO-S-R、-CS-R、-CS-O-R、-CS-S-R、-SO-R、-SO-R(R、R、R、R3k、R、R、R、R、R及びRはそれぞれ独立して、置換基を有していてもよい炭化水素基又はハロゲン原子を表す。)、-OCF、-SCF、-SF、-SF、-SOH又は-SOHである[2]に記載の化合物。
[4] R及びRから選ばれる少なくとも1つが、シアノ基である[3]に記載の化合物。
[5] 式(I)で表される部分構造を含むカチオンが、式(I-A)で表されるカチオンである[1]~[4]のいずれかに記載の化合物。
Figure 2023013166000002

[式(I-A)中、
環W、環W、R及びRは、それぞれ上記と同じ意味を表す。
、R、R及びRは、それぞれ独立して、水素原子、複素環基、置換基を有していてもよい炭素数1~24の炭化水素基を表し、該炭化水素基に含まれる-CH-又は=CH-は、-O-又は-S-に置換されていてもよい。
及びRは互いに連結して環を形成してもよい。
及びRは互いに連結して環を形成してもよい。]
[6] 式(I-A)で表されるカチオンが、式(I-B)で表されるカチオン又は式(I-C)で表されるカチオンである[5]に記載の化合物。
Figure 2023013166000003

[式(I-B)及び式(I-C)中、
環W、環W、R及びRは、それぞれ上記と同じ意味を表す。
Ar及びArは、それぞれ独立して、置換基を有していてもよい炭素数6~24の芳香族炭化水素基を表す。
4A及びR6Aは、それぞれ独立して、水素原子又は置換基を有していてもよい炭素数6~24の炭化水素基を表す。
環W及び環Wは、それぞれ独立して、含窒素複素環基を表す。]
[7] 波長400nm~波長700nmの間に極大吸収を示す[1]~[6]のいずれかに記載の化合物。
[8] [1]~[7]のいずれかに記載の化合物と樹脂とを含む樹脂組成物。
[9] [1]~[7]のいずれかに記載の化合物と重合性モノマーとを含む組成物。
[10] [8]又は[9]に記載の組成物から成形される成形体。
[11] [1]~[7]のいずれかに記載の化合物によって染色された染色物。
[12] 下記式(I)で表される部分構造を含むカチオンを含む光学層。
Figure 2023013166000004

[式(I)中、
環W及び環Wは、それぞれ独立して、少なくとも1つの二重結合を環の構成要素として有する環構造を表す。
環W及び環Wは、それぞれ独立して、置換基を有していてもよい。
及びRは、それぞれ独立して、水素原子又は1価の置換基を表し、R及びRの少なくとも一方は1価の置換基である。] The present invention includes the following inventions.
[1] A compound having a cation containing a partial structure represented by the following formula (I).
Figure 2023013166000001

[in the formula (I),
Ring W 1 and ring W 2 each independently represent a ring structure having at least one double bond as a ring constituent.
Ring W 1 and ring W 2 may each independently have a substituent.
R 1 and R 2 each independently represent a hydrogen atom or a monovalent substituent, and at least one of R 1 and R 2 is a monovalent substituent. ]
[2] The compound according to [1], wherein at least one selected from R 1 and R 2 is an electron-withdrawing group.
[3] at least one selected from R 1 and R 2 is a cyano group, a nitro group, a halogenated alkyl group, a halogenated aryl group, —CO—R 1 , —CO—OR 2 , —CO—NR 3 R 3k , —CO—S—R 4 , —CS—R 5 , —CS—OR 6 , —CS—S—R 7 , —SO—R 8 , —SO 2 —R 9 (R 1 , R 2 , R 3 , R 3k , R 4 , R 5 , R 6 , R 7 , R 8 and R 9 each independently represent an optionally substituted hydrocarbon group or halogen atom.) , -OCF 3 , -SCF 3 , -SF 5 , -SF 3 , -SO 2 H or -SO 3 H.
[4] The compound according to [3], wherein at least one selected from R 1 and R 2 is a cyano group.
[5] The compound according to any one of [1] to [4], wherein the cation containing the partial structure represented by formula (I) is a cation represented by formula (IA).
Figure 2023013166000002

[In the formula (IA),
Ring W 1 , ring W 2 , R 1 and R 2 each have the same meaning as above.
R 3 , R 4 , R 5 and R 6 each independently represent a hydrogen atom, a heterocyclic group or a hydrocarbon group having 1 to 24 carbon atoms which may have a substituent, and the hydrocarbon group -CH 2 - or =CH- contained in may be substituted with -O- or -S-.
R 3 and R 4 may be linked together to form a ring.
R5 and R6 may be linked together to form a ring. ]
[6] The compound according to [5], wherein the cation represented by formula (IA) is a cation represented by formula (IB) or a cation represented by formula (IC).
Figure 2023013166000003

[In formula (IB) and formula (IC),
Ring W 1 , ring W 2 , R 1 and R 2 each have the same meaning as above.
Ar 1 and Ar 2 each independently represent an optionally substituted aromatic hydrocarbon group having 6 to 24 carbon atoms.
R 4A and R 6A each independently represent a hydrogen atom or a hydrocarbon group having 6 to 24 carbon atoms which may have a substituent.
Ring W3 and ring W4 each independently represent a nitrogen - containing heterocyclic group. ]
[7] The compound according to any one of [1] to [6], which exhibits a maximum absorption between wavelengths of 400 nm and 700 nm.
[8] A resin composition comprising the compound according to any one of [1] to [7] and a resin.
[9] A composition comprising the compound according to any one of [1] to [7] and a polymerizable monomer.
[10] A molded article molded from the composition according to [8] or [9].
[11] A dyed article dyed with the compound according to any one of [1] to [7].
[12] An optical layer containing a cation containing a partial structure represented by the following formula (I).
Figure 2023013166000004

[in the formula (I),
Ring W 1 and ring W 2 each independently represent a ring structure having at least one double bond as a ring constituent.
Ring W 1 and ring W 2 may each independently have a substituent.
R 1 and R 2 each independently represent a hydrogen atom or a monovalent substituent, and at least one of R 1 and R 2 is a monovalent substituent. ]

本発明は、可視光領域(波長400nm~波長750nm、好ましくは波長450nm~波長600nm)の光を効率よく吸収し、かつ、良好な耐候性を有する新規化合物を提供することを目的とする。 An object of the present invention is to provide a novel compound that efficiently absorbs light in the visible light region (400 nm to 750 nm wavelength, preferably 450 nm to 600 nm wavelength) and has good weather resistance.

本発明の化合物は、下記式(I)で表される部分構造を有するカチオンを含む化合物(以下、化合物(I)という場合がある。)である。

Figure 2023013166000005

[式(I)中、
環W及び環Wは、それぞれ独立して、少なくとも1つの二重結合を環の構成要素として有する環構造を表す。
環W及び環Wは、それぞれ独立して、置換基を有していてもよい。
及びRは、それぞれ独立して、水素原子又は1価の置換基を表し、R及びRの少なくとも一方は1価の置換基である。] The compound of the present invention is a compound containing a cation having a partial structure represented by the following formula (I) (hereinafter sometimes referred to as compound (I)).
Figure 2023013166000005

[in the formula (I),
Ring W 1 and ring W 2 each independently represent a ring structure having at least one double bond as a ring constituent.
Ring W 1 and ring W 2 may each independently have a substituent.
R 1 and R 2 each independently represent a hydrogen atom or a monovalent substituent, and at least one of R 1 and R 2 is a monovalent substituent. ]

<カチオン>
式(I)で表される部分構造を有するカチオンは、下記に示すような共鳴構造も全て含む。

Figure 2023013166000006
<Cation>
A cation having a partial structure represented by formula (I) also includes all resonance structures shown below.
Figure 2023013166000006

環W及び環Wは、それぞれ独立して、環の構成要素として、少なくとも1つの二重結合を有する環構造を表す。環W及び環Wは環の構成要素として二重結合を1つ以上有するが、環W及び環Wに含まれる二重結合は通常1~4であり、1~3であることが好ましく、1又は2であることがより好ましく、1であることがさらに好ましい。
環W及び環Wは、それぞれ独立して、単環であってもよいし、多環であってもよい。環W及び環Wは、それぞれ独立して、芳香環であってもよいし、芳香族性を有さない環(脂肪族環)であってもよいが、芳香族性を有さない環であることが好ましい。芳香族性を有さない環であれば、より選択吸収性を高めることができる。
環W及び環Wは、それぞれ独立して、ヘテロ原子(例えば、窒素原子、酸素原子、硫黄原子等)を含む複素環であってもよいし、炭化水素からなる環であってもよい。環W及び環Wは、それぞれ独立して、炭化水素からなる環であることが好ましい。
環W及び環Wは、それぞれ独立して、3員環~20員環の環構造であることが好ましく、3員環~12員環であることがより好ましく、4員環~6員環であることが好ましい。
環W及び環Wは、それぞれ独立して、単環であることが好ましい。
Ring W 1 and ring W 2 each independently represent a ring structure having at least one double bond as a ring constituent. Ring W 1 and ring W 2 have one or more double bonds as ring constituents, and the number of double bonds contained in ring W 1 and ring W 2 is usually 1 to 4, preferably 1 to 3. is preferred, 1 or 2 is more preferred, and 1 is even more preferred.
Ring W 1 and ring W 2 may each independently be monocyclic or polycyclic. Ring W 1 and ring W 2 may each independently be an aromatic ring or a ring having no aromaticity (aliphatic ring), but have no aromaticity. A ring is preferred. A ring having no aromaticity can further enhance the selective absorption.
Ring W 1 and ring W 2 may each independently be a heterocyclic ring containing a heteroatom (e.g., a nitrogen atom, an oxygen atom, a sulfur atom, etc.) or a ring composed of a hydrocarbon. . Ring W 1 and ring W 2 are each independently preferably a hydrocarbon ring.
Ring W 1 and ring W 2 are each independently preferably a 3- to 20-membered ring structure, more preferably a 3- to 12-membered ring, and a 4- to 6-membered ring. A ring is preferred.
Ring W 1 and ring W 2 are each independently preferably monocyclic.

環Wと環Wとは縮合環を形成している。環Wと環Wとで形成される縮合環は、脂肪族炭化水素の縮合環であることが好ましく、炭素数6~40の脂肪族炭化水素の縮合環であることがより好ましい。
環Wと環Wとで形成される縮合環は、例えば、以下に記載の縮合環が挙げられる。下記式中の*は、結合手を表す。

Figure 2023013166000007
Ring W1 and ring W2 form a condensed ring. The condensed ring formed by ring W 1 and ring W 2 is preferably a condensed ring of aliphatic hydrocarbon, more preferably a condensed ring of aliphatic hydrocarbon having 6 to 40 carbon atoms.
Examples of the condensed ring formed by ring W1 and ring W2 include the condensed rings described below. * in the following formula represents a bond.
Figure 2023013166000007

環W及び環Wは、それぞれ独立して、置換基を有していてもよい。該置換基としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等のハロゲン原子;メチル基、エチル基、プロピル基、ノルマルブチル基、イソブチル基、ターシャリーブチル基、ペンチル基、ヘキシル基、ヘプチル基、オクチル基、ノニル基、デシル基、2-エチルヘキシル基、4-ブチルオクチル基、エテニル基、プロぺニル基、ブテニル基、ペンテニル基、エチニル基、プロピニル基、アリル基、シクロヘキセニル基、ブタジエニル基等の炭素数1~25の脂肪族炭化水素基(好ましくは炭素数1~12のアルキル基);フルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、2-フルオロエチル基、2,2-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、1,1,2,2,2-ペンタフルオロエチル基、ノナフルオロブチル基等の炭素数1~25のハロゲン化アルキル基;メトキシ基、エトキシ基、プロポキシ基、イソプロポキシ基、ブトキシ基、ターシャリーブトキシ基、ペンチルオキシ基、ヘキシルオキシ基、2-エチルヘキシルオキシ基、4-ブチルオクチルオキシ基等の炭素数1~25のアルコキシ基;メチルチオ基、エチルチオ基、プロピルチオ基、ブチルチオ基、ペンチルチオ基、ヘキシルチオ基等の炭素数1~12のアルキルチオ基;モノフルオロメトキシ基、ジフルオロメトキシ基、トリフルオロメトキシ基、2-フルオロエトキシ基、1,1,2,2,2-ペンタフルオロエトキシ基、ヘキサフルオロイソプロポキシ基等の炭素数1~12のフッ素化アルコキシ基;トリフルオロメタンチオアルコキシ基等の炭素数1~12のフッ素化アルコキシ基;アミノ基、メチルアミノ基、エチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジフェニルアミノ基、ピぺリジノ基、ピロリジノ基、メチルエチル等の1つ又は2つの炭素数1~25の置換基で置換されていてもよいアミノ基;カルバモイル基、N-メチルカルバモイル基、N,N-ジメチルカルバモイル基等のN-位が炭素数1~6のアルキル基で置換されていてもよいカルバモイル基;メチルカルボニルオキシ基、エチルカルボニルオキシ基等の炭素数2~12のアルキルカルボニルオキシ基;メチルスルホニル基、エチルスルホニル基等の炭素数1~12のアルキルスルホニル基;フェニル基、ナフチル基、ビフェニル基、アントラセニル基等の炭素数6~25の芳香族炭化水素基(好ましくは炭化水素6~18のアリール基);フェニルスルホニル基等の炭素数6~12のアリールスルホニル基;メトキシスルホニル基、エトキシスルホニル基等の炭素数1~12のアルコキシスルホニル基;トリフルオロメチルスルホニル基、ペンタフルオロエチルスルホニル基、トリフルオロエチルスルホニル基等の炭素数1~12のフルオロアルキルスルホニル基;アセチル基、エチルカルボニル基等の炭素数2~12のアシル基;アルデヒド基;メトキシカルボニル基、エトキシカルボニル基、プロポキシカルボニル基、ブチルオキシカルボニル基等の炭素数2~12のアルコキシカルボニル基;メトキシチオカルボニル基、エトキシチオカルボニル基等の炭素数2~12のアルコキシチオカルボニル基;シアノ基;ニトロ基;水酸基;チオール基;スルホ基;カルバモイル基;カルボキシル基;-SF;-SF等が挙げられる。
環Wと環Wとで形成される縮合環も、置換基を有していてもよく、該置換基は環W又は環Wが有していてもよい置換基が挙げられる。
Ring W 1 and ring W 2 may each independently have a substituent. The substituents include halogen atoms such as fluorine, chlorine, bromine and iodine atoms; methyl, ethyl, propyl, normal butyl, isobutyl, tertiary butyl, pentyl, hexyl and heptyl. group, octyl group, nonyl group, decyl group, 2-ethylhexyl group, 4-butyloctyl group, ethenyl group, propenyl group, butenyl group, pentenyl group, ethynyl group, propynyl group, allyl group, cyclohexenyl group, butadienyl aliphatic hydrocarbon groups having 1 to 25 carbon atoms (preferably alkyl groups having 1 to 12 carbon atoms) such as groups; fluoromethyl group, difluoromethyl group, trifluoromethyl group, 2-fluoroethyl group, 2,2- Carbon such as difluoroethyl group, 2,2,2-trifluoroethyl group, 1,1,2,2-tetrafluoroethyl group, 1,1,2,2,2-pentafluoroethyl group, nonafluorobutyl group Halogenated alkyl groups of numbers 1 to 25; methoxy group, ethoxy group, propoxy group, isopropoxy group, butoxy group, tertiary butoxy group, pentyloxy group, hexyloxy group, 2-ethylhexyloxy group, 4-butyloctyloxy alkoxy groups having 1 to 25 carbon atoms such as groups; alkylthio groups having 1 to 12 carbon atoms such as methylthio, ethylthio, propylthio, butylthio, pentylthio, and hexylthio groups; fluorinated alkoxy groups having 1 to 12 carbon atoms such as fluoromethoxy group, 2-fluoroethoxy group, 1,1,2,2,2-pentafluoroethoxy group and hexafluoroisopropoxy group; trifluoromethanethioalkoxy group and the like; Fluorinated alkoxy groups having 1 to 12 carbon atoms; one or two of amino group, methylamino group, ethylamino group, dimethylamino group, diethylamino group, diphenylamino group, piperidino group, pyrrolidino group, methylethyl, etc. Amino group optionally substituted with a substituent having 1 to 25 carbon atoms; N-position substituted with an alkyl group having 1 to 6 carbon atoms such as carbamoyl group, N-methylcarbamoyl group, N,N-dimethylcarbamoyl group a carbamoyl group that may be substituted; an alkylcarbonyloxy group having 2 to 12 carbon atoms such as a methylcarbonyloxy group and an ethylcarbonyloxy group; an alkylsulfonyl group having 1 to 12 carbon atoms such as a methylsulfonyl group and an ethylsulfonyl group; phenyl 6 to 25 carbon atoms such as groups, naphthyl groups, biphenyl groups, anthracenyl groups (preferably an aryl group having 6 to 18 hydrocarbons); an arylsulfonyl group having 6 to 12 carbon atoms such as a phenylsulfonyl group; sulfonyl group; fluoroalkylsulfonyl group having 1 to 12 carbon atoms such as trifluoromethylsulfonyl group, pentafluoroethylsulfonyl group and trifluoroethylsulfonyl group; acyl group having 2 to 12 carbon atoms such as acetyl group and ethylcarbonyl group; aldehyde group; alkoxycarbonyl group having 2 to 12 carbon atoms such as methoxycarbonyl group, ethoxycarbonyl group, propoxycarbonyl group and butyloxycarbonyl group; alkoxythio group having 2 to 12 carbon atoms such as methoxythiocarbonyl group and ethoxythiocarbonyl group carbonyl group; cyano group; nitro group; hydroxyl group; thiol group; sulfo group ;
The condensed ring formed by ring W1 and ring W2 may also have a substituent, and the substituent may be a substituent that ring W1 or ring W2 may have.

及びRは、それぞれ独立して、水素原子又は1価の置換基を表すが、R及びRから選ばれる少なくとも一方は1価の置換基である。
及びRで表される1価の置換基は、水素原子でなければ特に限定されないが、例えば、1価の脂肪族炭化水素基、1価の芳香族炭化水素基、電子求引性基、電子供与性基、ヘテロ環基、ポリオキシアルキレン基を有する基等が挙げられる。
R 1 and R 2 each independently represent a hydrogen atom or a monovalent substituent, and at least one selected from R 1 and R 2 is a monovalent substituent.
The monovalent substituents represented by R 1 and R 2 are not particularly limited as long as they are not hydrogen atoms, and examples include monovalent aliphatic hydrocarbon groups, monovalent aromatic hydrocarbon groups, electron-withdrawing group, an electron-donating group, a heterocyclic group, a group having a polyoxyalkylene group, and the like.

及びRで表される1価の脂肪族炭化水素基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、tert-ブチル基、sec-ブチル基、n-ペンチル基、イソペンチル基、n-ヘキシル基、イソヘキシル基、n-オクチル基、イソオクチル基、n-ノニル基、イソノニル基、n-デシル基、イソデシル基、n-ドデシル基、イソドデシル基、ウンデシル基、ラウリル基、ミリスチル基、セチル基、ステアリル基、2-エチルヘキシル基、4-ブチルオクチル基等の炭素数1~25の直鎖状又は分岐鎖状のアルキル基:シクロプロピル基、シクロブチル基、シクロペンチル基、シクロヘキシル基等の炭素数3~25のシクロアルキル基;シクロヘキシルメチル基等の炭素数4~25のシクロアルキルアルキル基:イソボロニル基等の炭素数4~25のアルキルシクロアルキル基;エテニル基、プロぺニル基、ブテニル基、ペンテニル基、エチニル基、プロピニル基、アリル基、シクロヘキセニル基、ブタジエニル基等の不飽和脂肪族炭化水素基;が挙げられる。好ましくは炭素数1~12の直鎖状又は分岐鎖状のアルキル基である。 The monovalent aliphatic hydrocarbon groups represented by R 1 and R 2 include methyl, ethyl, n-propyl, isopropyl, n-butyl, tert-butyl, sec-butyl, n -pentyl group, isopentyl group, n-hexyl group, isohexyl group, n-octyl group, isooctyl group, n-nonyl group, isononyl group, n-decyl group, isodecyl group, n-dodecyl group, isododecyl group, undecyl group, Linear or branched alkyl groups having 1 to 25 carbon atoms such as lauryl group, myristyl group, cetyl group, stearyl group, 2-ethylhexyl group, 4-butyloctyl group: cyclopropyl group, cyclobutyl group, cyclopentyl group , a cycloalkyl group having 3 to 25 carbon atoms such as a cyclohexyl group; a cycloalkylalkyl group having 4 to 25 carbon atoms such as a cyclohexylmethyl group; an alkylcycloalkyl group having 4 to 25 carbon atoms such as an isobornyl group; unsaturated aliphatic hydrocarbon groups such as a penyl group, a butenyl group, a pentenyl group, an ethynyl group, a propynyl group, an allyl group, a cyclohexenyl group, and a butadienyl group; A linear or branched alkyl group having 1 to 12 carbon atoms is preferred.

及びRで表される1価の芳香族炭化水素基としては、フェニル基、ナフチル基、アントラセニル基、テトラセニル基、ペンタセニル基、フェナントリル基、クリセニル基、トリフェニレニル基、テトラフェニル基、ピレニル基、ペリレニル基、コロネニル基、ビフェニル基等の炭素数6~18のアリール基;ベンジル基、フェニルエチル基、ナフチルメチル基等の炭素数7~18のアラルキル基;フェノキシエチル基、フェノキシジエチレングリコール基、フェノキシポリアルキレングリコール基のアリールアルコキシ基、等が挙げられ、炭素数6~18のアリール基であることが好ましく、フェニル基又はベンジル基であることがより好ましい。 Examples of monovalent aromatic hydrocarbon groups represented by R 1 and R 2 include phenyl, naphthyl, anthracenyl, tetracenyl, pentacenyl, phenanthryl, chrysenyl, triphenylenyl, tetraphenyl, and pyrenyl groups. , a perylenyl group, a coronenyl group, an aryl group having 6 to 18 carbon atoms such as a biphenyl group; an aralkyl group having 7 to 18 carbon atoms such as a benzyl group, a phenylethyl group and a naphthylmethyl group; Examples thereof include arylalkoxy groups of polyalkylene glycol groups, etc., preferably an aryl group having 6 to 18 carbon atoms, more preferably a phenyl group or a benzyl group.

及びRで表される電子供与性基としては、水酸基;メトキシ基、エトキシ基、プロポキシ基、ブトキシ基、ペンチルオキシ基、ヘキシルオキシ基、ヘプチルオキシ基、オクチルオキシ基、2-エチルヘキシルオキシ基、4-ブチルオクチルオキシ基等の炭素数1~25のアルコキシ基;メチルチオ基、エチルチオ基、プロピルチオ基、ブチルチオ基、ペンチルチオ基、ヘキシルチオ基等の炭素数1~12のアルキルチオ基;アミノ基、モノメチルアミノ基、モノエチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、メチルエチルアミノ基等の1つ又は2つの炭素数1~6のアルキル基で置換されていてもよいアミノ基等が挙げられる。 Electron donating groups represented by R 1 and R 2 include hydroxyl group; methoxy group, ethoxy group, propoxy group, butoxy group, pentyloxy group, hexyloxy group, heptyloxy group, octyloxy group, 2-ethylhexyloxy group; an alkoxy group having 1 to 25 carbon atoms such as a 4-butyloctyloxy group; an alkylthio group having 1 to 12 carbon atoms such as a methylthio group, ethylthio group, propylthio group, butylthio group, pentylthio group and hexylthio group; Examples thereof include amino groups optionally substituted with one or two alkyl groups having 1 to 6 carbon atoms such as monomethylamino group, monoethylamino group, dimethylamino group, diethylamino group and methylethylamino group.

及びRで表されるヘテロ環基としては、ピロリジン環基、ピペリジン環基、ピロリン環基、イミダゾリジン環基、イミダゾリン環基、オキサゾリン環基、チアゾリン環基、ピペリジン環基、モルホリン環基、ピペラジン環基、インドール環基、イソインドール環基、キノリン環基、チオフェン環基、ピロール環基、チアゾリン環基及びフラン環基、テトラヒドロフラン環基等の炭素数4~20の脂肪族複素環基又は炭素数3~20の芳香族複素環基等が挙げられる。 Examples of the heterocyclic group represented by R 1 and R 2 include a pyrrolidine ring group, a piperidine ring group, a pyrroline ring group, an imidazolidine ring group, an imidazoline ring group, an oxazoline ring group, a thiazoline ring group, a piperidine ring group, and a morpholine ring. C4-C20 aliphatic heterocycles such as group, piperazine ring group, indole ring group, isoindole ring group, quinoline ring group, thiophene ring group, pyrrole ring group, thiazoline ring group, furan ring group, tetrahydrofuran ring group, etc. group or an aromatic heterocyclic group having 3 to 20 carbon atoms.

及びRで表されるポリオキシアルキレン基を有する基としては、オキシエチレン基(-CHCHO-)、オキシプロピル基(-CHCHCHO-)等を有する基である。より具体的には、-(X11O)m-R11で表される基(X11は、炭素数1~6のアルキレン基を表し、R11は水酸基を有していてもよい炭素数1~6のアルキル基を表し、mは1~6の整数を表す。)等が挙げられる。 Groups having a polyoxyalkylene group represented by R 1 and R 2 include groups having an oxyethylene group (--CH 2 CH 2 O--), an oxypropyl group (--CH 2 CH 2 CH 2 O--), and the like. is. More specifically, a group represented by —(X 11 O)m—R 11 (X 11 represents an alkylene group having 1 to 6 carbon atoms, R 11 may have a represents an alkyl group of 1 to 6, and m represents an integer of 1 to 6.) and the like.

及びRで表される電子求引性基としては、例えば、ハロゲン原子、ニトロ基、シアノ基、カルボキシ基、ハロゲン化アルキル基、ハロゲン化アリール基、-OCF、-SCF、-SF、-SF、-SOH、-SOH、式(z-1)で表される基が挙げられる。

Figure 2023013166000008

[式(z-1)中、
222は、水素原子、ハロゲン原子、置換基を有していてもよい炭化水素基、ポリオキシアルキレン基を有する基を表す。
は、-CO-、-COO-、-OCO-、-CS-、-CSS-、-COS-、-CSO-、-SO-、-NR223CO-又は-CONR224-を表す。
223及びR224は、それぞれ独立して、水素原子、炭素数1~6のアルキル基又はフェニル基を表す。
*は結合手を表す。] Electron-withdrawing groups represented by R 1 and R 2 include, for example, a halogen atom, a nitro group, a cyano group, a carboxy group, a halogenated alkyl group, a halogenated aryl group, -OCF 3 , -SCF 3 , - Examples include SF 5 , —SF 3 , —SO 3 H, —SO 2 H, and groups represented by formula (z-1).
Figure 2023013166000008

[In the formula (z-1),
R 222 represents a group having a hydrogen atom, a halogen atom, an optionally substituted hydrocarbon group, or a polyoxyalkylene group.
X 1 represents -CO-, -COO-, -OCO-, -CS-, -CSS-, -COS-, -CSO-, -SO 2 -, -NR 223 CO- or -CONR 224 -.
R 223 and R 224 each independently represent a hydrogen atom, an alkyl group having 1 to 6 carbon atoms, or a phenyl group.
* represents a bond. ]

ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子が挙げられる。
ハロゲン化アルキル基としては、トリフルオロメチル基、ペルフルオロエチル基、ペルフルオロプロピル基、ペルフルオロイソプロピル基、ペルフルオロブチル基、ペルフルオロsec-ブチル基、ペルフルオロtert-ブチル基、ペルフルオロペンチル基、ペルフルオロヘキシル基、ジクロロメチル基、ブロモメチル基、ヨードメチル基等の炭素数1~25のハロゲン化アルキル基が挙げられる。好ましくは炭素数1~12のハロゲン化アルキル基であり、より好ましくは炭素数1~12のフルオロアルキル基であり、さらに好ましくは炭素数1~12のペルフルオロアルキル基である。
ハロゲン化アリール基としては、フルオロフェニル基、クロロフェニル基、ブロモフェニル基等の炭素数6~18のハロゲン化アリール基が挙げられ、炭素数6~18のフルオロアリール基であることが好ましく、炭素数6~12のペルフルオロアリール基であることがより好ましく、さらに好ましくはペンタフルオロフェニル基である。
Halogen atoms include fluorine, chlorine, bromine and iodine atoms.
Halogenated alkyl groups include trifluoromethyl, perfluoroethyl, perfluoropropyl, perfluoroisopropyl, perfluorobutyl, perfluorosec-butyl, perfluorotert-butyl, perfluoropentyl, perfluorohexyl, and dichloromethyl. halogenated alkyl groups having 1 to 25 carbon atoms such as groups, bromomethyl groups and iodomethyl groups. A halogenated alkyl group having 1 to 12 carbon atoms is preferred, a fluoroalkyl group having 1 to 12 carbon atoms is more preferred, and a perfluoroalkyl group having 1 to 12 carbon atoms is even more preferred.
Examples of the halogenated aryl group include halogenated aryl groups having 6 to 18 carbon atoms such as a fluorophenyl group, a chlorophenyl group, and a bromophenyl group. It is more preferably a perfluoroaryl group of 6 to 12, more preferably a pentafluorophenyl group.

は、-CO-、-COO-又は-SO-であることが好ましい。
222で表されるハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子が挙げられる。
222で表される炭化水素基としては、炭素数1~25の脂肪族炭化水素基又は炭素数6~18の芳香族炭化水素基等が挙げられる。
炭素数1~25の脂肪族炭化水素基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、tert-ブチル基、sec-ブチル基、n-ペンチル基、n-ヘキシル基、1-メチルブチル基、3-メチルブチル基、n-オクチル基、n-デシル、2-へキシル-オクチル基、4-ブチルオクチル基、シクロヘキシル基等の直鎖状、分岐鎖状、環状の炭素数1~25のアルキル基;エテニル基、プロぺニル基、ブテニル基、ペンテニル基、エチニル基、プロピニル基、アリル基、シクロヘキセニル基、ブタジエニル基等の不飽和脂肪族炭化水素基;等が挙げられ、炭素数1~12のアルキル基であることが好ましい。
炭素数6~18の芳香族炭化水素基としては、フェニル基、ナフチル基、アントラセニル基、ビフェニル基等の炭素数6~18のアリール基;ベンジル基、フェニルエチル基、ナフチルメチル基等の炭素数7~18のアラルキル基等が挙げられる。
222で表される炭化水素基が有していてもよい置換基としては、ハロゲン原子、ヒドロキシ基、アルコキシ基、チオアルキル基、ジアルキルアミノ基等が挙げられる。
222で表されるポリオキシアルキレン基を有する基としては、Rで表されるポリオキシアルキレン基を有する基と同じものが挙げられる。
X 1 is preferably -CO-, -COO- or -SO 2 -.
Halogen atoms represented by R 222 include fluorine, chlorine, bromine and iodine atoms.
The hydrocarbon group represented by R 222 includes an aliphatic hydrocarbon group having 1 to 25 carbon atoms and an aromatic hydrocarbon group having 6 to 18 carbon atoms.
Examples of aliphatic hydrocarbon groups having 1 to 25 carbon atoms include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, tert-butyl group, sec-butyl group, n-pentyl group, n- Hexyl group, 1-methylbutyl group, 3-methylbutyl group, n-octyl group, n-decyl, 2-hexyl-octyl group, 4-butyloctyl group, cyclohexyl group, etc. Linear, branched, cyclic Alkyl groups having 1 to 25 carbon atoms; unsaturated aliphatic hydrocarbon groups such as ethenyl, propenyl, butenyl, pentenyl, ethynyl, propynyl, allyl, cyclohexenyl, and butadienyl groups; and preferably an alkyl group having 1 to 12 carbon atoms.
Aromatic hydrocarbon groups having 6 to 18 carbon atoms include aryl groups having 6 to 18 carbon atoms such as phenyl group, naphthyl group, anthracenyl group and biphenyl group; 7 to 18 aralkyl groups and the like.
Substituents which the hydrocarbon group represented by R 222 may have include halogen atoms, hydroxy groups, alkoxy groups, thioalkyl groups, dialkylamino groups and the like.
Examples of the polyoxyalkylene group-containing group represented by R 222 include the same polyoxyalkylene group-containing groups represented by R 1 .

223及びR224で表される炭素数1~6のアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、tert-ブチル基、sec-ブチル基、n-ペンチル基、n-ヘキシル基、1-メチルブチル基等の直鎖状又は分岐鎖状の炭素数1~6のアルキルが挙げられる。 Examples of alkyl groups having 1 to 6 carbon atoms represented by R 223 and R 224 include methyl, ethyl, n-propyl, isopropyl, n-butyl, tert-butyl, sec-butyl, n -Pentyl group, n-hexyl group, 1-methylbutyl group and other linear or branched C 1-6 alkyl groups.

式(z-1)で表される基は、-CO-R、-CO-O-R、-CO-NR3k、-CO-S-R、-CS-R、-CS-O-R、-CS-S-R、-SO-R、-SO-R(R、R、R、R3k、R、R、R、R、R及びRはそれぞれ独立して、置換基を有していてもよい炭化水素基又はハロゲン原子を表す。)であることが好ましく、
-CO-R、-CO-O-R、-SO-Rであることがより好ましく、
-SO-Rであることがさらに好ましく、
-SO-R10(R10は、置換基を有していてもよい炭素数6~18の芳香族炭化水素基)、-SOCF、-SOCHF、-SOCHFであることがよりさらに好ましい。
The group represented by formula (z-1) includes -CO-R 1 , -CO-O-R 2 , -CO-NR 3 R 3k , -CO-S-R 4 , -CS-R 5 , - CS—O—R 6 , —CS—S—R 7 , —SO—R 8 , —SO 2 —R 9 (R 1 , R 2 , R 3 , R 3k , R 4 , R 5 , R 6 , R 7 , R 8 and R 9 each independently represent an optionally substituted hydrocarbon group or halogen atom.), preferably
-CO-R 1 , -CO-OR 2 , -SO 2 -R 9 are more preferred,
more preferably —SO 2 —R 9 ,
—SO 2 —R 10 (R 10 is an optionally substituted aromatic hydrocarbon group having 6 to 18 carbon atoms), —SO 2 CF 3 , —SO 2 CHF 2 , —SO 2 CH 2 F is even more preferred.

及びRから選ばれる少なくとも一つは、電子求引性基であることが好ましく、
シアノ基、ニトロ基、ハロゲン化アルキル基、ハロゲン化アリール基、-SCF、-SF、-SF、-SOH、-SOH、-CO-R、-CO-O-R、-CO-NR3k、-CO-S-R、-CS-R、-CS-O-R、-CS-S-R、-SO-R、-SO-R(R、R、R、R3k、R、R、R、R、R及びRはそれぞれ独立して、置換基を有していてもよい炭化水素基又はハロゲン原子を表す。)、-OCFであることがより好ましく、
シアノ基、ニトロ基、-OCF、-SCF、-SF、-SF、-SOH、-SOH、-CO-R、-CO-O-R、-SO-Rであることがさらに好ましく、
シアノ基、ニトロ基、-OCF、-SCF、-SF、-SOCF、-SO-R10であることがよりさらに好ましく、
シアノ基又はニトロ基であることが特に好ましく、
シアノ基であることがより特に好ましい。
At least one selected from R 1 and R 2 is preferably an electron-withdrawing group,
cyano group, nitro group, halogenated alkyl group, halogenated aryl group, -SCF 3 , -SF 5 , -SF 3 , -SO 3 H, -SO 2 H, -CO-R 1 , -CO-OR 2 , —CO—NR 3 R 3k , —CO—S—R 4 , —CS—R 5 , —CS—OR 6 , —CS—S—R 7 , —SO—R 8 , —SO 2 — R 9 (R 1 , R 2 , R 3 , R 3k , R 4 , R 5 , R 6 , R 7 , R 8 and R 9 are each independently a hydrocarbon group optionally having a substituent or represents a halogen atom.), more preferably -OCF3 ,
cyano group, nitro group, -OCF 3 , -SCF 3 , -SF 5 , -SF 3 , -SO 3 H, -SO 2 H, -CO-R 1 , -CO-OR 2 , -SO 2 - more preferably R 9 ,
more preferably a cyano group, a nitro group, -OCF 3 , -SCF 3 , -SF 5 , -SO 2 CF 3 , -SO 2 -R 10 ,
A cyano group or a nitro group is particularly preferred,
A cyano group is more particularly preferred.

式(I)で表される部分構造を有するカチオンは、式(I-A)で表されるカチオンであることが好ましい。

Figure 2023013166000009

[式(I-A)中、
環W、環W、R及びRは、それぞれ上記と同じ意味を表す。
、R、R及びRは、それぞれ独立して、水素原子、複素環基、置換基を有していてもよい炭素数1~24の炭化水素基を表し、該炭化水素基に含まれる-CH-又は=CH-は、-O-又は-S-に置換されていてもよい。
及びRは互いに連結して環を形成してもよい。
及びRは互いに連結して環を形成してもよい。] A cation having a partial structure represented by formula (I) is preferably a cation represented by formula (IA).
Figure 2023013166000009

[In the formula (IA),
Ring W 1 , ring W 2 , R 1 and R 2 each have the same meaning as above.
R 3 , R 4 , R 5 and R 6 each independently represent a hydrogen atom, a heterocyclic group or a hydrocarbon group having 1 to 24 carbon atoms which may have a substituent, and the hydrocarbon group -CH 2 - or =CH- contained in may be substituted with -O- or -S-.
R 3 and R 4 may be linked together to form a ring.
R5 and R6 may be linked together to form a ring. ]

、R、R及びRで表される炭素数1~24の炭化水素基としては、炭素数1~24の脂肪族炭化水素基、炭素数6~24の芳香族炭化水素基等が挙げられる。
炭素数1~24の脂肪族炭化水素基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、tert-ブチル基、sec-ブチル基、n-ペンチル基、n-ヘキシル基、1-メチルブチル基、3-メチルブチル基、n-オクチル基、n-デシル、2-へキシル-オクチル基、4-ブチルオクチル基、シクロヘキシル基等の直鎖状、分岐鎖状、環状の炭素数1~24のアルキル基;エテニル基、プロぺニル基、ブテニル基、ペンテニル基、エチニル基、プロピニル基、アリル基、シクロヘキセニル基、ブタジエニル基等の不飽和脂肪族炭化水素基等が挙げられる。
炭素数6~24の芳香族炭化水素基としては、フェニル基、ナフチル基、アントラセニル基、ビフェニル基等の炭素数6~24のアリール基;ベンジル基、フェニルエチル基、ナフチルメチル基等の炭素数7~24のアラルキル基等が挙げられる。
、R、R及びRで表される炭素数1~24の炭化水素基に含まれる-CH-又は=CH-は、-O-又は-S-に置換されていてもよい。例えば、炭素数1~24の炭化水素基に含まれる-CH-又は=CH-が-O-で置換された基としては、メトキシ基、エトキシ基、プロポキシ基等の炭素数1~24のアルコキシ基;フェトキシ基等の炭素数6~18のアリールオキシ基;オキシエチレン基(-CHCHO-)、オキシプロピル基(-CHCHCHO-)等を含む、-(X12O)m1-R12で表される基(X12は、炭素数1~6のアルキレン基を表し、R12は水酸基を有していてもよい炭素数1~6のアルキル基を表し、m1は1~6の整数を表し、炭素数の合計が24以下である)等が挙げられる。
炭素数1~24の炭化水素基に含まれる-CH-又は=CH-が-S-で置換された基としては、メチルチオ基、エチルチオ基、プロピルチオ基、ブチルチオ基、ペンチルチオ基、ヘキシルチオ基等の炭素数1~18のアルキルチオ基;フェニルチオ基等の炭素数6~18のアリールチオ基;チオエチレン基(-CHCHS-)、チオプロピル基(-CHCHCHS-)等を含む、-(X13S)m2-R13で表される基(X13は、炭素数1~6のアルキレン基を表し、R13は水酸基を有していてもよい炭素数1~6のアルキル基を表し、m2は1~6の整数を表し、炭素数の合計が24以下である)等が挙げられる。
The hydrocarbon group having 1 to 24 carbon atoms represented by R 3 , R 4 , R 5 and R 6 includes an aliphatic hydrocarbon group having 1 to 24 carbon atoms and an aromatic hydrocarbon group having 6 to 24 carbon atoms. etc.
Examples of aliphatic hydrocarbon groups having 1 to 24 carbon atoms include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, tert-butyl group, sec-butyl group, n-pentyl group, n- Hexyl group, 1-methylbutyl group, 3-methylbutyl group, n-octyl group, n-decyl, 2-hexyl-octyl group, 4-butyloctyl group, cyclohexyl group, etc. Linear, branched, cyclic Alkyl groups having 1 to 24 carbon atoms; unsaturated aliphatic hydrocarbon groups such as ethenyl, propenyl, butenyl, pentenyl, ethynyl, propynyl, allyl, cyclohexenyl and butadienyl groups; be done.
Aromatic hydrocarbon groups having 6 to 24 carbon atoms include aryl groups having 6 to 24 carbon atoms such as phenyl group, naphthyl group, anthracenyl group and biphenyl group; 7 to 24 aralkyl groups and the like.
—CH 2 — or ═CH— contained in the hydrocarbon groups having 1 to 24 carbon atoms represented by R 3 , R 4 , R 5 and R 6 may be substituted with —O— or —S—. good. For example, the group in which -CH 2 - or =CH- contained in the hydrocarbon group having 1 to 24 carbon atoms is substituted with -O- includes alkoxy group; aryloxy group having 6 to 18 carbon atoms such as phethoxy group ; - ( X 12 O) A group represented by m1 -R 12 (X 12 represents an alkylene group having 1 to 6 carbon atoms, and R 12 represents an alkyl group having 1 to 6 carbon atoms which may have a hydroxyl group. , m1 represents an integer of 1 to 6, and the total number of carbon atoms is 24 or less).
The group in which -CH 2 - or =CH- contained in the hydrocarbon group having 1 to 24 carbon atoms is substituted with -S- includes a methylthio group, an ethylthio group, a propylthio group, a butylthio group, a pentylthio group, a hexylthio group, and the like. an alkylthio group having 1 to 18 carbon atoms; an arylthio group having 6 to 18 carbon atoms such as a phenylthio group; a thioethylene group ( -CH2CH2S- ), a thiopropyl group ( -CH2CH2CH2S- ), etc. a group represented by -(X 13 S) m2 -R 13 (X 13 represents an alkylene group having 1 to 6 carbon atoms, and R 13 is a C 1 to 6 group optionally having a hydroxyl group represents an alkyl group, m2 represents an integer of 1 to 6, and the total number of carbon atoms is 24 or less).

、R、R及びRで表される炭素数1~24の炭化水素基が有していてもよい置換基としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等のハロゲン原子;フルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、2-フルオロエチル基、2,2-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、1,1,2,2,2-ペンタフルオロエチル基、ノナフルオロブチル基等の炭素数1~25のハロゲン化アルキル基;メトキシ基、エトキシ基、プロポキシ基、イソプロポキシ基、ブトキシ基、ターシャリーブトキシ基、ペンチルオキシ基、ヘキシルオキシ基、2-エチルヘキシルオキシ基、4-ブチルオクチルオキシ基等の炭素数1~25のアルコキシ基;メチルチオ基、エチルチオ基、プロピルチオ基、ブチルチオ基、ペンチルチオ基、ヘキシルチオ基等の炭素数1~12のアルキルチオ基;モノフルオロメトキシ基、ジフルオロメトキシ基、トリフルオロメトキシ基、2-フルオロエトキシ基、1,1,2,2,2-ペンタフルオロエトキシ基、ヘキサフルオロイソプロポキシ基等の炭素数1~12のフッ素化アルコキシ基;トリフルオロメタンチオアルコキシ基等の炭素数1~12のフッ素化アルコキシ基;アミノ基、メチルアミノ基、エチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジフェニルアミノ基、ピぺリジノ基、ピロリジノ基、メチルエチル等の1つ又は2つの炭素数1~25の置換基で置換されていてもよいアミノ基;カルバモイル基、N-メチルカルバモイル基、N,N-ジメチルカルバモイル基等のN-位が炭素数1~6のアルキルで置換されていてもよいカルバモイル基;メチルカルボニルオキシ基、エチルカルボニルオキシ基等の炭素数2~12のアルキルカルボニルオキシ基;メチルスルホニル基、エチルスルホニル基等の炭素数1~12のアルキルスルホニル基;フェニルスルホニル基等の炭素数6~12のアリールスルホニル基;メトキシスルホニル基、エトキシスルホニル基等の炭素数1~12のアルコキシスルホニル基;トリフルオロメチルスルホニル基、ペンタフルオロエチルスルホニル基、トリフルオロエチルスルホニル基等の炭素数1~12のフルオロアルキルスルホニル基;アセチル基、エチルカルボニル基等の炭素数2~12のアシル基;アルデヒド基;メトキシカルボニル基、エトキシカルボニル基、プロポキシカルボニル基、ブチルオキシカルボニル基等の炭素数2~12のアルコキシカルボニル基;メトキシチオカルボニル基、エトキシチオカルボニル基等の炭素数2~12のアルコキシチオカルボニル基;シアノ基;ニトロ基;水酸基;チオール基;スルホ基;カルバモイル基;カルボキシル基;-SF;-SF等が挙げられる。 Examples of substituents which the hydrocarbon groups having 1 to 24 carbon atoms represented by R 3 , R 4 , R 5 and R 6 may have include halogen atoms such as fluorine, chlorine, bromine and iodine atoms. Atom; fluoromethyl group, difluoromethyl group, trifluoromethyl group, 2-fluoroethyl group, 2,2-difluoroethyl group, 2,2,2-trifluoroethyl group, 1,1,2,2-tetrafluoro Halogenated alkyl groups having 1 to 25 carbon atoms such as ethyl group, 1,1,2,2,2-pentafluoroethyl group, nonafluorobutyl group; methoxy group, ethoxy group, propoxy group, isopropoxy group, butoxy group , tertiary butoxy group, pentyloxy group, hexyloxy group, 2-ethylhexyloxy group, alkoxy group having 1 to 25 carbon atoms such as 4-butyloctyloxy group; methylthio group, ethylthio group, propylthio group, butylthio group, pentylthio alkylthio group having 1 to 12 carbon atoms such as hexylthio group; monofluoromethoxy group, difluoromethoxy group, trifluoromethoxy group, 2-fluoroethoxy group, 1,1,2,2,2-pentafluoroethoxy group, fluorinated alkoxy groups having 1 to 12 carbon atoms such as hexafluoroisopropoxy group; fluorinated alkoxy groups having 1 to 12 carbon atoms such as trifluoromethanethioalkoxy group; amino group, methylamino group, ethylamino group, dimethylamino group , diethylamino group, diphenylamino group, piperidino group, pyrrolidino group, amino group optionally substituted with one or two substituents having 1 to 25 carbon atoms such as methylethyl; carbamoyl group, N-methylcarbamoyl a carbamoyl group optionally substituted with alkyl having 1 to 6 carbon atoms at the N-position such as N,N-dimethylcarbamoyl group; alkyl having 2 to 12 carbon atoms such as methylcarbonyloxy group and ethylcarbonyloxy group; carbonyloxy group; alkylsulfonyl group having 1 to 12 carbon atoms such as methylsulfonyl group and ethylsulfonyl group; arylsulfonyl group having 6 to 12 carbon atoms such as phenylsulfonyl group; 1 carbon atom such as methoxysulfonyl group and ethoxysulfonyl group Alkoxysulfonyl groups of ~12; fluoroalkylsulfonyl groups of 1 to 12 carbon atoms such as trifluoromethylsulfonyl group, pentafluoroethylsulfonyl group and trifluoroethylsulfonyl group; 2 to 12 carbon atoms of acetyl group and ethylcarbonyl group etc. acyl group; aldehyde group; alkoxycarbonyl groups having 2 to 12 carbon atoms such as aryl, ethoxycarbonyl, propoxycarbonyl and butyloxycarbonyl groups; alkoxythiocarbonyl groups having 2 to 12 carbon atoms such as methoxythiocarbonyl and ethoxythiocarbonyl groups; cyano nitro group; hydroxyl group; thiol group; sulfo group; carbamoyl group; carboxyl group;

、R、R及びRで表される複素環基としては、アジリジン環基、アゼチジン環基、ピロリジン環基、ピペリジン環基、ピロリン環基、イミダゾリジン環基、ピラゾリジン環基、イミダゾリジン環基、イミダゾリン環基、オキサゾリン環基、チアゾリン環基、ピペリジン環基、モルホリン環基、ピペラジン環基、インドール環基、イソインドール環基、キノリン環基、チオフェン環基、ピロール環基、チアゾリン環基及びフラン環基、テトラヒドロフラン環基等の炭素数4~20の脂肪族複素環基又は炭素数3~20の芳香族複素環基等が挙げられる。 The heterocyclic groups represented by R 3 , R 4 , R 5 and R 6 include an aziridine ring group, an azetidine ring group, a pyrrolidine ring group, a piperidine ring group, a pyrroline ring group, an imidazolidine ring group, a pyrazolidine ring group, imidazolidine ring group, imidazoline ring group, oxazoline ring group, thiazoline ring group, piperidine ring group, morpholine ring group, piperazine ring group, indole ring group, isoindole ring group, quinoline ring group, thiophene ring group, pyrrole ring group, Aliphatic heterocyclic groups having 4 to 20 carbon atoms such as thiazoline ring group, furan ring group and tetrahydrofuran ring group, and aromatic heterocyclic groups having 3 to 20 carbon atoms are included.

及びRが互いに連結して形成する環は、窒素原子を環の構成要素として含む環である。R及びRが互いに連結して形成する環は、環の構成要素として窒素原子以外のヘテロ原子を含んでいてもよい。R及びRが互いに連結して形成する環は、単環であってもよいし、縮合環であってもよい。R及びRが互いに連結して形成する環としては、例えば、アジリジン環基、アゼチジン環基、ピロリジン環基、ピペリジン環基、モルホリン環基、インドリン環基、イミダゾリン環基、ピラゾール環基、イミダゾール環基、トリアゾール環基、テトラゾール環基、チアゾリジンジオン環基、スクシンイミド環基、オキサゾリドン環基、ピペラジン環基、チオモルフォリン環基、デカヒドロイソキノリン環基、デカヒドロキノリン環基、テトラヒドロキノリン環基、カルバゾール環基、フェノチアジン環基、アザアダマンタン環基、アゾカン環基、アゾナン環基等が挙げられる。R及びRが互いに連結して形成する環に含まれる水素原子は任意の置換基に置換されていてもよく、該任意の置換基としては、例えば環W及び環Wが有していてもよい置換基と同じ基が挙げられる。 The ring formed by combining R 3 and R 4 is a ring containing a nitrogen atom as a ring constituent. The ring formed by combining R 3 and R 4 may contain a heteroatom other than a nitrogen atom as a ring constituent. The ring formed by combining R 3 and R 4 may be a monocyclic ring or a condensed ring. Examples of the ring formed by connecting R 3 and R 4 together include an aziridine ring group, an azetidine ring group, a pyrrolidine ring group, a piperidine ring group, a morpholine ring group, an indoline ring group, an imidazoline ring group, a pyrazole ring group, imidazole ring group, triazole ring group, tetrazole ring group, thiazolidinedione ring group, succinimide ring group, oxazolidone ring group, piperazine ring group, thiomorpholine ring group, decahydroisoquinoline ring group, decahydroquinoline ring group, tetrahydroquinoline ring group, carbazole ring group, phenothiazine ring group, azaadamantane ring group, azocane ring group, azonane ring group, and the like. A hydrogen atom contained in a ring formed by connecting R 3 and R 4 to each other may be substituted with an optional substituent, and the optional substituent includes, for example, ring W 1 and ring W 2 . The same groups as the optional substituents can be mentioned.

及びRが互いに連結して形成する環は、窒素原子を環の構成要素として含む環である。R及びRが互いに連結して形成する環は、環の構成要素として窒素原子以外のヘテロ原子を含んでいてもよい。R及びRが互いに連結して形成する環は、単環であってもよいし、縮合環であってもよい。R及びRが互いに連結して形成する環としては、R及びRが互いに連結して形成する環と同じ環が挙げられる。R及びRが互いに連結して形成する環に含まれる水素原子は任意の置換基に置換されていてもよく、該任意の置換基としては、例えば環W及び環Wが有していてもよい置換基と同じ基が挙げられる。 The ring formed by combining R 5 and R 6 is a ring containing a nitrogen atom as a ring constituent. The ring formed by combining R 5 and R 6 may contain a heteroatom other than a nitrogen atom as a ring constituent. The ring formed by combining R 5 and R 6 may be a monocyclic ring or a condensed ring. The ring formed by connecting R 5 and R 6 together includes the same ring as the ring formed by connecting R 3 and R 4 together. A hydrogen atom contained in a ring formed by connecting R 5 and R 6 to each other may be substituted with an optional substituent, and the optional substituent includes, for example, ring W 1 and ring W 2 . The same groups as the optional substituents can be mentioned.

、R、R及びRは、それぞれ独立して、水素原子又は炭素数1~24の炭化水素基であることが好ましい。
及びRから選ばれる少なくとも一つが、炭素数1~24の炭化水素基であることが好ましく、炭素数6~24の芳香族炭化水素基であることがより好ましい。
及びRから選ばれる少なくとも一つが、炭素数1~24の炭化水素基であることが好ましく、炭素数6~24の芳香族炭化水素基であることがより好ましい。
及びRが互いに連結して環を形成する場合、R及びRが互いに連結して環を形成することが好ましい。
R 3 , R 4 , R 5 and R 6 are each independently preferably a hydrogen atom or a hydrocarbon group having 1 to 24 carbon atoms.
At least one selected from R 3 and R 4 is preferably a hydrocarbon group having 1 to 24 carbon atoms, more preferably an aromatic hydrocarbon group having 6 to 24 carbon atoms.
At least one selected from R 5 and R 6 is preferably a hydrocarbon group having 1 to 24 carbon atoms, more preferably an aromatic hydrocarbon group having 6 to 24 carbon atoms.
When R 3 and R 4 are linked together to form a ring, R 5 and R 6 are preferably linked together to form a ring.

式(I-A)で表されるカチオンは、式(I-B)で表されるカチオン又は式(I-C)で表されるカチオンであることが好ましい。

Figure 2023013166000010

[式(I-B)及び式(I-C)中、
環W、環W、R及びRは、それぞれ上記と同じ意味を表す。
Ar及びArは、それぞれ独立して、置換基を有していてもよい炭素数6~24の芳香族炭化水素基を表す。
4A及びR6Aは、それぞれ独立して、水素原子又は置換基を有していてもよい炭素数6~24の炭化水素基を表す。
環W及び環Wは、それぞれ独立して、含窒素複素環基を表す。] The cation represented by formula (IA) is preferably a cation represented by formula (IB) or a cation represented by formula (IC).
Figure 2023013166000010

[In formula (IB) and formula (IC),
Ring W 1 , ring W 2 , R 1 and R 2 each have the same meaning as above.
Ar 1 and Ar 2 each independently represent an optionally substituted aromatic hydrocarbon group having 6 to 24 carbon atoms.
R 4A and R 6A each independently represent a hydrogen atom or a hydrocarbon group having 6 to 24 carbon atoms which may have a substituent.
Ring W3 and ring W4 each independently represent a nitrogen - containing heterocyclic group. ]

Ar及びArで表される炭素数6~24の芳香族炭化水素基は、Rで表される芳香族炭化水素基と同じものが挙げられる。Ar及びArは、それぞれ独立して、炭素数6~24のアリール基であることが好ましく、炭素数6~12のアリール基であることがより好ましい。
4A及びR6Aで表される炭素数6~24の炭化水素基としては、Rで表される炭化水素基と同じものが挙げられる。R4A及びR6Aは、それぞれ独立して、水素原子又は炭素数1~24の脂肪族炭化水素基であることが好ましく、水素原子又は炭素数1~24のアルキル基であることがより好ましく、水素原子又は炭素数1~6のアルキル基であることがさらに好ましい。
Ar、Ar、R4A及びR6Aが有していてもよい置換基としては、Rで表される炭化水素基が有していてもよい置換基が挙げられる。
The aromatic hydrocarbon group having 6 to 24 carbon atoms represented by Ar 1 and Ar 2 includes the same aromatic hydrocarbon group represented by R 3 . Ar 1 and Ar 2 are each independently preferably an aryl group having 6 to 24 carbon atoms, more preferably an aryl group having 6 to 12 carbon atoms.
The hydrocarbon group having 6 to 24 carbon atoms represented by R 4A and R 6A includes the same hydrocarbon group represented by R 3 . R 4A and R 6A are each independently preferably a hydrogen atom or an aliphatic hydrocarbon group having 1 to 24 carbon atoms, more preferably a hydrogen atom or an alkyl group having 1 to 24 carbon atoms, A hydrogen atom or an alkyl group having 1 to 6 carbon atoms is more preferable.
The substituents that Ar 1 , Ar 2 , R 4A and R 6A may have include the substituents that the hydrocarbon group represented by R 3 may have.

環W及び環Wで表される含窒素複素環基は、単環であってもよいし、縮合環であってもよく、縮合環であることが好ましい。
環W及び環Wは、それぞれ独立して、アゼチジン環、ピロリジン環、ピペリジン環、モルホリン環、イミダゾリン環、ピラゾール環、イミダゾール環、トリアゾール環、テトラゾール環、ピペラジン環、チオモルフォリン環、インドリン環であることが好ましい。
The nitrogen - containing heterocyclic group represented by ring W3 and ring W4 may be a monocyclic ring or a condensed ring, preferably a condensed ring.
Ring W3 and Ring W4 are each independently azetidine ring, pyrrolidine ring, piperidine ring, morpholine ring, imidazoline ring, pyrazole ring, imidazole ring, triazole ring, tetrazole ring, piperazine ring, thiomorpholine ring, indoline A ring is preferred.

式(I-B)で表されるカチオンは式(I-B1)で表されるカチオンであることが好ましく、式(I-C)で表されるカチオンは式(I-C1)で表されるカチオンであることが好ましい。

Figure 2023013166000011

[式(I-B1)及び式(I-C1)中、環W、環W、R、R、R4A、R6A、Ar及びArは、それぞれ上記と同じ意味を表す。] The cation represented by formula (IB) is preferably a cation represented by formula (I-B1), and the cation represented by formula (IC) is represented by formula (I-C1). is preferably a cation.
Figure 2023013166000011

[In formulas (I-B1) and (I-C1), ring W 3 , ring W 4 , R 1 , R 2 , R 4A , R 6A , Ar 1 and Ar 2 each have the same meaning as above. . ]

式(I)で表される部分構造を含むカチオンとしては、例えば、以下に記載のカチオンが挙げられる。

Figure 2023013166000012
Examples of the cation containing the partial structure represented by formula (I) include the cations described below.
Figure 2023013166000012

Figure 2023013166000013
Figure 2023013166000013

Figure 2023013166000014
Figure 2023013166000014

Figure 2023013166000015
Figure 2023013166000015

Figure 2023013166000016
Figure 2023013166000016

Figure 2023013166000017
Figure 2023013166000017

Figure 2023013166000018
Figure 2023013166000018

Figure 2023013166000019
Figure 2023013166000019

Figure 2023013166000020
Figure 2023013166000020

式(I)で表される部分構造を有するカチオンとしては、式(I-1)、式(I-2)、式(I-3)、式(I-4)、式(I-8)、式(I-12)、式(I-13)、式(I-17)、式(I-18)、式(I-23)、式(I-25)、式(I-26)、式(I-27)、式(I-28)、式(I-29)、式(I-31)、式(I-38)、式(I-60)、式(I-61)、式(I-62)、式(I-79)、式(I-80)、式(I-85)、式(I-87)、式(I-90)、式(I-93)、式(I-94)、式(I-95)、式(I-96)、式(I-101)、式(I-103)、式(I-105)、式(I-107)、式(I-128)、式(I-133)、式(I-135)、式(I-137)、式(I-139)で表されるカチオンであることが好ましい。 Examples of cations having a partial structure represented by formula (I) include formula (I-1), formula (I-2), formula (I-3), formula (I-4), and formula (I-8). , formula (I-12), formula (I-13), formula (I-17), formula (I-18), formula (I-23), formula (I-25), formula (I-26), Formula (I-27), Formula (I-28), Formula (I-29), Formula (I-31), Formula (I-38), Formula (I-60), Formula (I-61), Formula (I-62), formula (I-79), formula (I-80), formula (I-85), formula (I-87), formula (I-90), formula (I-93), formula ( I-94), formula (I-95), formula (I-96), formula (I-101), formula (I-103), formula (I-105), formula (I-107), formula (I -128), formula (I-133), formula (I-135), formula (I-137) and formula (I-139).

<アニオン>
化合物(I)は、通常、式(I)で表されるカチオンと対となるアニオンとから構成される。化合物(I)が有する式(I)で表されるカチオンとアニオンとの組み合わせは限定されない。アニオンの価数が2以上である場合、式(I)で表されるカチオンを複数有していてもよい。また、アニオンの価数が2以上である場合、式(I)で表されるカチオンと式(I)で表されるカチオン以外のカチオンを有していてもよい。
アニオンは、有機アニオンであってもよいし、無機アニオンであってもよい。
<Anion>
Compound (I) is usually composed of a cation represented by formula (I) and a paired anion. The combination of the cation and anion represented by formula (I) that compound (I) has is not limited. When the anion has a valence of 2 or more, it may have a plurality of cations represented by formula (I). Moreover, when the valence of the anion is 2 or more, it may have a cation other than the cation represented by the formula (I) and the cation represented by the formula (I).
The anion may be an organic anion or an inorganic anion.

有機アニオンとしては、例えば、アセテートアニオン、メチドアニオン、アミドアニオン、スルホナートアニオン又はボレートアニオン等が挙げられ、メチドアニオン、アミドアニオン、スルホナートアニオン又はボレートアニオンであることが好ましい。 Examples of the organic anion include acetate anion, methide anion, amide anion, sulfonate anion, borate anion, and the like, preferably methide anion, amide anion, sulfonate anion, or borate anion.

メチドアニオンとしては、式(c-A)で表されるアニオンであることが好ましい。

Figure 2023013166000021

[式(c-A)中、R1c、R2c及びR3cは、それぞれ独立して、1価の置換基を表す。]
1c、R2c及びR3cで表される1価の置換基は特に限定されないが、フッ素原子;モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、テトラフルオロエチル基等の炭素数1~12のフルオロアルキル基;モノフルオロフェニル基、テトラフルオロフェニル基等の炭素数6~18のフルオロアリール基;シアノ基;ニトロ基;-SO-R11c(R11cは、フッ素原子を有していてもよい炭素数1~12の炭化水素基を表す。)であることが好ましい。 The methide anion is preferably an anion represented by formula (cA).
Figure 2023013166000021

[In formula (cA), R 1c , R 2c and R 3c each independently represent a monovalent substituent. ]
The monovalent substituents represented by R 1c , R 2c and R 3c are not particularly limited, but fluorine atoms; 12 fluoroalkyl groups; fluoroaryl groups having 6 to 18 carbon atoms such as a monofluorophenyl group and a tetrafluorophenyl group; a cyano group; a nitro group; represents a hydrocarbon group having 1 to 12 carbon atoms which may be substituted).

アミドアニオンとしては、式(c-B)で表されるアニオンであることが好ましい。

Figure 2023013166000022

[式(c-B)中、R4c及びR5cは、それぞれ独立して、1価の置換基を表す。]
4c及びR5cで表される1価の置換基は特に限定されないが、フッ素原子;モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、テトラフルオロエチル基等の炭素数1~12のフルオロアルキル基;モノフルオロフェニル基、テトラフルオロフェニル基等の炭素数6~18のフルオロアリール基;シアノ基;ニトロ基;-SO-R11c(R11cは、フッ素原子を有していてもよい炭素数1~12の炭化水素基を表す。)であることが好ましい。 The amide anion is preferably an anion represented by formula (cB).
Figure 2023013166000022

[In formula (cB), R 4c and R 5c each independently represent a monovalent substituent. ]
The monovalent substituents represented by R 4c and R 5c are not particularly limited, but fluorine atoms; Alkyl group; fluoroaryl group having 6 to 18 carbon atoms such as monofluorophenyl group and tetrafluorophenyl group; cyano group; nitro group; -SO 2 -R 11c (R 11c may have a fluorine atom represents a hydrocarbon group having 1 to 12 carbon atoms.) is preferred.

スルホナートアニオンとしては、式(c-C)で表されるアニオンであることが好ましい。

Figure 2023013166000023

[式(c-C)中、R6cは、1価の置換基を表す。]
6cで表される1価の置換基は特に限定されないが、フッ素原子;モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、テトラフルオロエチル基等の炭素数1~12のフルオロアルキル基;モノフルオロフェニル基、テトラフルオロフェニル基等の炭素数6~18のフルオロアリール基;シアノ基;ニトロ基;-SO-R11c(R11cは、フッ素原子を有していてもよい炭素数1~12の炭化水素基を表す。)であることが好ましい。 The sulfonate anion is preferably an anion represented by formula (cC).
Figure 2023013166000023

[In the formula (cC), R 6c represents a monovalent substituent. ]
The monovalent substituent represented by R 6c is not particularly limited, but a fluorine atom; a fluoroalkyl group having 1 to 12 carbon atoms such as a monofluoromethyl group, a difluoromethyl group, a trifluoromethyl group, a tetrafluoroethyl group; a fluoroaryl group having 6 to 18 carbon atoms such as a monofluorophenyl group and a tetrafluorophenyl group; a cyano group; a nitro group; represents a hydrocarbon group of ∼12).

ボレートアニオンとしては、式(c-D)で表されるアニオンであることが好ましい。

Figure 2023013166000024

[式(c-D)中、R7c、R8c、R9c及びR10cは、それぞれ独立して、1価の置換基を表す。]
7c、R8c、R9c及びR10cで表される1価の置換基は特に限定されないが、フッ素原子;モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、テトラフルオロエチル基等の炭素数1~12のフルオロアルキル基;モノフルオロフェニル基、テトラフルオロフェニル基等の炭素数6~18のフルオロアリール基;シアノ基;ニトロ基;-SO-R11c(R11cは、フッ素原子を有していてもよい炭素数1~12の炭化水素基を表す。)であることが好ましい。 The borate anion is preferably an anion represented by formula (cD).
Figure 2023013166000024

[In formula (cD), R 7c , R 8c , R 9c and R 10c each independently represent a monovalent substituent. ]
The monovalent substituents represented by R 7c , R 8c , R 9c and R 10c are not particularly limited, but fluorine atom; carbon such as monofluoromethyl group, difluoromethyl group, trifluoromethyl group and tetrafluoroethyl group a fluoroalkyl group having a number of 1 to 12; a fluoroaryl group having 6 to 18 carbon atoms such as a monofluorophenyl group and a tetrafluorophenyl group; a cyano group; a nitro group; represents a hydrocarbon group having 1 to 12 carbon atoms which may be present).

式(c-A)で表されるアニオンは式(c-A1)で表されるアニオンであることが好ましく、
式(c-B)で表されるアニオンは式(c-B1)で表されるアニオンであることが好ましく、
式(c-C)で表されるアニオンは式(c-C1)で表されるアニオンであることが好ましく、
式(c-D)で表されるアニオンは式(c-D1)で表されるアニオン又は式(c-D2)で表されるアニオンであることが好ましい。

Figure 2023013166000025

[式(c-A1)中、Rf、Rf及びRfは、それぞれ独立して、炭素数1~12のフルオロアルキル基を表す。
式(c-B1)中、Rf及びRfは、それぞれ独立して、フッ素原子又は炭素数1~12のフルオロアルキル基を表す。
式(c-C1)中、Rfは炭素数1~12のフルオロアルキル基を表す。
式(c-D2)中、R1d、R2d、R3d及びR4dは、それぞれ独立して、フッ素原子又は炭素数1~12のフルオロアルキル基を表す。n1~n4は、それぞれ独立して、0~5の整数を表す。] The anion represented by formula (cA) is preferably an anion represented by formula (c-A1),
The anion represented by formula (cB) is preferably an anion represented by formula (c-B1),
The anion represented by formula (c-C) is preferably an anion represented by formula (c-C1),
The anion represented by formula (cD) is preferably an anion represented by formula (c-D1) or an anion represented by formula (c-D2).
Figure 2023013166000025

[In formula (c-A1), Rf 1 , Rf 2 and Rf 3 each independently represent a fluoroalkyl group having 1 to 12 carbon atoms.
In formula (c-B1), Rf 4 and Rf 5 each independently represent a fluorine atom or a fluoroalkyl group having 1 to 12 carbon atoms.
In formula (c-C1), Rf 6 represents a fluoroalkyl group having 1 to 12 carbon atoms.
In formula (c-D2), R 1d , R 2d , R 3d and R 4d each independently represent a fluorine atom or a C 1-12 fluoroalkyl group. n1 to n4 each independently represent an integer of 0 to 5; ]

より具体的な有機アニオンとしては、例えば、アセテートアニオン〔CHCOO〕、トリフルオロアセテートアニオン〔CFCOO〕、メタンスルホネートアニオン〔CHSO 〕、トリフルオロメタンスルホネートアニオン〔CFSO 〕、p-トルエンスルホネートアニオン〔p-CHSO 〕、ビス(トリフルオロメタンスルホニル)イミドアニオン〔(CFSO〕、ビス(ノナフルオロブチルスルホニル)イミドアニオン〔(CSO〕、トリス(トリフルオロメタンスルホニル)メタニドアニオン〔(CFSO〕、ジメチルホスフィネートアニオン〔(CHPOO〕、(ポリ)ハイドロフルオロフルオライドアニオン〔F(HF) 〕(mは1以上3以下程度)、パーフルオロブタンスルホネートアニオン〔CSO 〕、ビス(ペンタフルオロエタンスルホニル)イミドアニオン〔(CSO〕、パーフルオロブタノエートアニオン〔CCOO〕、(トリフルオロメタンスルホニル)(トリフルオロメタンカルボニル)イミドアニオン〔(CFSO)(CFCO)N〕、パーフルオロプロパン-1,3-ジスルホネートアニオン〔S(CFSO 〕、カーボネートアニオン〔CO 2-〕、テトラシアノボレート、テトラキス(ペンタフルオロフェニル)ボレート、テトラキスフェニルボレート、トリス(ペンタフルオロエチル)トリフルオロホスフェート[P(C]等が挙げられる。 More specific organic anions include, for example, acetate anion [CH 3 COO ], trifluoroacetate anion [CF 3 COO ], methanesulfonate anion [CH 3 SO 3 ], trifluoromethanesulfonate anion [CF 3 SO 3 ], p-toluenesulfonate anion [p-CH 3 C 6 H 4 SO 3 ], bis(trifluoromethanesulfonyl)imide anion [(CF 3 SO 2 ) 2 N ], bis(nonafluorobutylsulfonyl) imide anion [(C 4 F 9 SO 2 ) 2 N ], tris(trifluoromethanesulfonyl)methanide anion [(CF 3 SO 2 ) 3 C ], dimethylphosphinate anion [(CH 3 ) 2 POO ] , (poly)hydrofluorofluoride anion [F(HF) m ] (m is about 1 to 3), perfluorobutanesulfonate anion [C 4 F 9 SO 3 ], bis(pentafluoroethanesulfonyl)imide anion [(C 2 F 5 SO 2 ) 2 N ], perfluorobutanoate anion [C 3 F 7 COO ], (trifluoromethanesulfonyl)(trifluoromethanecarbonyl)imide anion [(CF 3 SO 2 )( CF 3 CO)N ], perfluoropropane-1,3-disulfonate anion [ O 3 S(CF 2 ) 3 SO 3 ], carbonate anion [CO 3 2− ], tetracyanoborate, tetrakis(penta fluorophenyl)borate, tetrakisphenylborate , tris ( pentafluoroethyl)trifluorophosphate [P- ( C2F5 ) 3F3 ] and the like.

無機アニオンとしては、例えば、フルオライドイオン、クロライドアニオン、ブロマイドアニオン、ヨーダイドアニオン、テトラクロロアルミネートアニオン〔AlCl 〕、ヘプタクロロジアルミネートアニオン〔AlCl 〕、テトラフルオロボレートアニオン〔BF 〕、ヘキサフルオロホスフェートアニオン〔PF 〕、パークロレートアニオン〔ClO 〕、ナイトレートアニオン〔NO 〕、ヘキサフルオロアーセネートアニオン〔AsF 〕、ヘキサフルオロアンチモネートアニオン〔SbF 〕、ヘキサフルオロニオベートアニオン〔NbF 〕、ヘキサフルオロタンタレートアニオン〔TaF 〕、ジシアナミドアニオン〔(CN)〕、ビス(フルオロスルホニル)イミドアニオン[(FSO]、リン酸アニオン(PO 3-)、チオシアンアニオン〔SCN〕等が挙げられる。 Examples of inorganic anions include fluoride ion, chloride anion, bromide anion, iodide anion, tetrachloroaluminate anion [AlCl 4 ], heptachlorodialuminate anion [Al 2 Cl 7 ], tetrafluoroborate anion. [BF 4 ], hexafluorophosphate anion [PF 6 ], perchlorate anion [ClO 4 ], nitrate anion [NO 3 ], hexafluoroarsenate anion [AsF 6 ], hexafluoroantimonate anion [SbF 6 ], hexafluoroniobate anion [NbF 6 ], hexafluorotantalate anion [TaF 6 ], dicyanamide anion [(CN) 2 N ], bis(fluorosulfonyl)imide anion [(FSO 2 ) 2 N ], phosphate anion (PO 4 3− ), thiocyanate anion [SCN ], and the like.

無機アニオンとしては、ビス(フルオロスルホニル)イミドアニオン(N(SOF))であることが好ましい。有機アニオンとしてはトリフルオロアセテートアニオン〔CFCOO〕、トリフルオロメタンスルホネートアニオン〔CFSO 〕、ビス(トリフルオロメタンスルホニル)イミドアニオン〔(CFSO〕、トリス(トリフルオロメタンスルホニル)メタニドアニオン〔(CFSO〕、パーフルオロブタンスルホネートアニオン〔CSO 〕、ビス(ペンタフルオロエタンスルホニル)イミドアニオン〔(CSO〕、パーフルオロブタノエートアニオン〔CCOO〕、(トリフルオロメタンスルホニル)(トリフルオロメタンカルボニル)イミドアニオン〔(CFSO)(CFCO)N〕、パーフルオロプロパン-1,3-ジスルホネートアニオン〔S(CFSO 〕、テトラキスアリールボレートアニオン(テトラキスフェニルボレート、テトラキス(ペンタフルオロフェニル)ボレート)、テトラシアノボレートが好ましく、さらに好ましくはビス(トリフルオロメタンスルホニル)イミドアニオン〔(CFSO〕、トリス(トリフルオロメタンスルホニル)メタニドアニオン〔(CFSO〕、テトラキス(ペンタフルオロフェニル)ボレート、テトラシアノボレートであり、特に好ましくはテトラキス(ペンタフルオロフェニル)ボレートである。 The inorganic anion is preferably bis(fluorosulfonyl)imide anion (N (SO 2 F) 2 ). Examples of organic anions include trifluoroacetate anion [CF 3 COO ], trifluoromethanesulfonate anion [CF 3 SO 3 ], bis(trifluoromethanesulfonyl)imide anion [(CF 3 SO 2 ) 2 N ], tris(trifluoro romethanesulfonyl) metanide anion [(CF 3 SO 2 ) 3 C ], perfluorobutanesulfonate anion [C 4 F 9 SO 3 ], bis(pentafluoroethanesulfonyl) imide anion [(C 2 F 5 SO 2 ) 2 N ], perfluorobutanoate anion [C 3 F 7 COO ], (trifluoromethanesulfonyl)(trifluoromethanecarbonyl)imide anion [(CF 3 SO 2 )(CF 3 CO)N ], perfluorobutanoate anion [C 3 F 7 COO − ], Fluoropropane - 1,3-disulfonate anion [ -O3S (CF2) 3SO3- ] , tetrakisarylborate anion (tetrakisphenylborate, tetrakis(pentafluorophenyl)borate), tetracyanoborate are preferred, and further Preferably bis(trifluoromethanesulfonyl)imide anion [(CF 3 SO 2 ) 2 N ], tris(trifluoromethanesulfonyl)methanide anion [(CF 3 SO 2 ) 3 C ], tetrakis(pentafluorophenyl)borate , tetracyanoborate, and particularly preferably tetrakis(pentafluorophenyl)borate.

化合物(I)としては、例えば、以下表1~表8に記載の化合物が挙げられる。なお、表1における化合物(1)は、式(I-1)で表されるカチオンとクロライドアニオンとを有する化合物であり、下記に記載の化合物である。

Figure 2023013166000026
Compound (I) includes, for example, the compounds shown in Tables 1 to 8 below. Compound (1) in Table 1 is a compound having a cation represented by formula (I-1) and a chloride anion, and is a compound described below.
Figure 2023013166000026

Figure 2023013166000027
Figure 2023013166000027

Figure 2023013166000028
Figure 2023013166000028

Figure 2023013166000029
Figure 2023013166000029

Figure 2023013166000030
Figure 2023013166000030

Figure 2023013166000031
Figure 2023013166000031

Figure 2023013166000032
Figure 2023013166000032

Figure 2023013166000033
Figure 2023013166000033

Figure 2023013166000034
Figure 2023013166000034

化合物(I)としては、化合物(3)、化合物(39)、化合物(40)、化合物(41)、化合物(43)、化合物(45)、化合物(46)、化合物(47)、化合物(49)、化合物(53)、化合物(55)、化合物(56)、化合物(57)、化合物(58)、化合物(59)、化合物(60)、化合物(65)、化合物(66)、化合物(67)、化合物(70)、化合物(72)、化合物(75)、化合物(115)、化合物(116)、化合物(117)、化合物(119)、化合物(121)、化合物(122)、化合物(123)、化合物(125)、化合物(129)、化合物(131)、化合物(132)、化合物(133)、化合物(134)、化合物(135)、化合物(136)、化合物(141)、化合物(142)、化合物(143)、化合物(146)、化合物(148)、化合物(151)、化合物(153)、化合物(154)、化合物(155)、化合物(157)、化合物(159)、化合物(160)、化合物(161)、化合物(163)、化合物(167)、化合物(169)、化合物(170)、化合物(171)、化合物(172)、化合物(173)、化合物(174)、化合物(179)、化合物(180)、化合物(181)、化合物(184)、化合物(186)、化合物(189)、化合物(191)、化合物(192)、化合物(193)、化合物(195)、化合物(197)、化合物(198)、化合物(199)、化合物(201)、化合物(205)、化合物(207)、化合物(208)、化合物(209)、化合物(210)、化合物(211)、化合物(212)、化合物(217)、化合物(218)、化合物(219)、化合物(222)、化合物(224)、化合物(227)、化合物(267)、化合物(268)、化合物(269)、化合物(271)、化合物(273)、化合物(274)、化合物(275)、化合物(277)、化合物(281)、化合物(283)、化合物(284)、化合物(285)、化合物(286)、化合物(287)、化合物(288)、化合物(293)、化合物(294)、化合物(295)、化合物(298)、化合物(300)であることが好ましい。 Compound (I) includes compound (3), compound (39), compound (40), compound (41), compound (43), compound (45), compound (46), compound (47), compound (49 ), compound (53), compound (55), compound (56), compound (57), compound (58), compound (59), compound (60), compound (65), compound (66), compound (67 ), compound (70), compound (72), compound (75), compound (115), compound (116), compound (117), compound (119), compound (121), compound (122), compound (123 ), compound (125), compound (129), compound (131), compound (132), compound (133), compound (134), compound (135), compound (136), compound (141), compound (142 ), compound (143), compound (146), compound (148), compound (151), compound (153), compound (154), compound (155), compound (157), compound (159), compound (160 ), compound (161), compound (163), compound (167), compound (169), compound (170), compound (171), compound (172), compound (173), compound (174), compound (179 ), compound (180), compound (181), compound (184), compound (186), compound (189), compound (191), compound (192), compound (193), compound (195), compound (197 ), compound (198), compound (199), compound (201), compound (205), compound (207), compound (208), compound (209), compound (210), compound (211), compound (212 ), compound (217), compound (218), compound (219), compound (222), compound (224), compound (227), compound (267), compound (268), compound (269), compound (271 ), compound (273), compound (274), compound (275), compound (277), compound (281), compound (283), compound (284), compound (285), compound (286), compound (287 ), compound (288), compound (293), compound (294), compound (295), compound (298) and compound (300).

<化合物(I)の製造方法>
化合物(I)が有する式(I)で表される部分構造を含むカチオンは、式(M)で表される化合物(以下、化合物(M)という場合がある。)と式(b-1)で表される化合物(以下、化合物(b-1)という場合がある。)と式(b-2)で表される化合物(以下、化合物(b-2)という場合がある。)とを反応させることにより製造することができる。

Figure 2023013166000035

[式中、環W、環W、R、R、R、R、R及びRは、それぞれ上記と同じ意味を表す。] <Method for producing compound (I)>
The cation containing the partial structure represented by formula (I) possessed by compound (I) is a compound represented by formula (M) (hereinafter sometimes referred to as compound (M)) and formula (b-1). A compound represented by (hereinafter sometimes referred to as compound (b-1)) and a compound represented by formula (b-2) (hereinafter sometimes referred to as compound (b-2)) are reacted It can be manufactured by
Figure 2023013166000035

[In the formula, ring W 1 , ring W 2 , R 1 , R 2 , R 3 , R 4 , R 5 and R 6 each have the same meaning as above. ]

化合物(M)と化合物(b-1)と化合物(b-2)との反応は、触媒の存在下で行われることが好ましい。
触媒としては、ギ酸、酢酸、トリフルオロ酢酸等のカルボン酸;塩化アンモニウム;四塩化チタン、塩化アルミニウム、アルミニウムイソプロポキシド、三臭化ホウ素、三フッ化ホウ素、塩化鉄、塩化ガリウム、四塩化スズ、ランタノイドトリフラート等のルイス酸;メタンスルホン酸無水物、パラトルエンスルホン酸無水物、トリフルオロメタンスルホン酸無水物、ノナフルオロブタンスルホン酸無水物等のスルホン酸無水物;パラトルエンスルホン酸、トリフルオロメタンスルホン酸、フルオロ硫酸等のスルホン酸;ジメチル硫酸、メチルトリフラート、ヨードメタン、トリメチルオキソニウムテトラフルオロボレート、フルオロ硫酸ジメチル等の求電子的アルキル化剤;パラトルエンスルホニルクロリド、トリフルオロメタンスルホニルクロリド等のスルホン酸ハロゲン化物等が挙げられる。
触媒の使用量は、化合物(M)1モルに対して、通常0.001~10モルであり、0.05~2モルであることが好ましい。
The reaction of compound (M), compound (b-1) and compound (b-2) is preferably carried out in the presence of a catalyst.
Carboxylic acids such as formic acid, acetic acid, trifluoroacetic acid; ammonium chloride; titanium tetrachloride, aluminum chloride, aluminum isopropoxide, boron tribromide, boron trifluoride, iron chloride, gallium chloride, tin tetrachloride , Lewis acids such as lanthanoid triflate; sulfonic anhydrides such as methanesulfonic anhydride, paratoluenesulfonic anhydride, trifluoromethanesulfonic anhydride, and nonafluorobutanesulfonic anhydride; paratoluenesulfonic acid, trifluoromethanesulfone acid, sulfonic acids such as fluorosulfuric acid; electrophilic alkylating agents such as dimethylsulfuric acid, methyltriflate, iodomethane, trimethyloxonium tetrafluoroborate, and dimethylfluorosulfate; sulfonic acid halogens such as paratoluenesulfonyl chloride and trifluoromethanesulfonyl chloride compounds and the like.
The amount of the catalyst to be used is generally 0.001-10 mol, preferably 0.05-2 mol, per 1 mol of compound (M).

化合物(M)と化合物(b-1)と化合物(b-2)との反応は、塩基の存在下で行われることが好ましい。
塩基としては、ナトリウムメトキシド、カリウムメトキシド、リチウムメトキシド、ナトリウムエトキシド、カリウムエトキシド、リチウムエトキシド、ナトリウムイソプロポキシド、ナトリウムターシャリーブトキシド、カリウムターシャリーブトキシド等の金属アルコキシド(好ましくはアルカリ金属アルコキシド)等;水酸化リチウム、水酸化ナトリウム、水酸化カリウム等の金属水酸化物、水素化ナトリウム、水素化カリウム、水素化アルミニウムリチウム、水素化ホウ素ナトリウム等の金属水素化物;炭酸ナトリウム、炭酸水素ナトリウム、炭酸カリウム、炭酸水素カリウム、炭酸リチウム、炭酸水素リチウム、炭酸セシウム等の金属炭酸塩;メチルリチウム、n-ブチルリチウム、sec-ブチルリチウム、tert-ブチルリチウム、フェニルリチウム等の有機リチウム化合物;メチルマグネシウムブロミド、イソプロピルマグネシウムブロミド、n-ブチルマグネシウムブロミド、イソプロピルマグネシウムクロリド等のアルキル金属ハロゲン化物;リチウムジイソプロピルアミド、リチウム2,2,6,6-テトラメチルピペリジド、リチウム(ビストリメチルシリル)アミド、リチウムテトラメチルピペリジド等の金属アミド化合物;ピリジン、2,6-ジメチルピリジン、2,6-ジ-tert-ブチルピリジン、トリエチルアミン、ジイソプロピルエチルアミン、トリイソプロピルアミン、2,2,6,6-テトラメチルピペリジン、ピペリジン、ピロリジン、プロリン、アニリン、N,N-ジメチルアニリン、エチレンジアミン等のアミン化合物;酢酸ナトリウム、酢酸カリウム、ギ酸ナトリウム等の金属カルボン酸塩;酢酸アンモニウム等のカルボン酸アンモニウム塩;等が挙げられる。
塩基の使用量としては、化合物(M)1モルに対して、通常0.1~10モルであり、0.5~2モルであることが好ましい。
The reaction of compound (M), compound (b-1) and compound (b-2) is preferably carried out in the presence of a base.
Examples of the base include metal alkoxides such as sodium methoxide, potassium methoxide, lithium methoxide, sodium ethoxide, potassium ethoxide, lithium ethoxide, sodium isopropoxide, sodium tert-butoxide, potassium tert-butoxide (preferably alkaline metal alkoxides), etc.; metal hydroxides such as lithium hydroxide, sodium hydroxide, potassium hydroxide; metal hydrides such as sodium hydride, potassium hydride, lithium aluminum hydride, sodium borohydride; sodium carbonate, carbonate Metal carbonates such as sodium hydrogen carbonate, potassium carbonate, potassium hydrogen carbonate, lithium carbonate, lithium hydrogen carbonate, and cesium carbonate; organic lithium compounds such as methyllithium, n-butyllithium, sec-butyllithium, tert-butyllithium, and phenyllithium; Alkyl metal halides such as methylmagnesium bromide, isopropylmagnesium bromide, n-butylmagnesium bromide, and isopropylmagnesium chloride; lithium diisopropylamide, lithium 2,2,6,6-tetramethylpiperidide, lithium (bistrimethylsilyl)amide , metal amide compounds such as lithium tetramethylpiperidide; pyridine, 2,6-dimethylpyridine, 2,6-di-tert-butylpyridine, triethylamine, diisopropylethylamine, triisopropylamine, 2,2,6,6- Amine compounds such as tetramethylpiperidine, piperidine, pyrrolidine, proline, aniline, N,N-dimethylaniline, ethylenediamine; metal carboxylates such as sodium acetate, potassium acetate, sodium formate; carboxylate ammonium salts such as ammonium acetate; are mentioned.
The amount of the base to be used is generally 0.1-10 mol, preferably 0.5-2 mol, per 1 mol of compound (M).

化合物(M)と化合物(b-1)と化合物(b-2)との反応は、溶媒の存在下で行ってもよい。
溶媒としては、アセトニトリル、ベンゾニトリル等のニトリル溶媒;ベンゼン、トルエン、キシレン、アニソールの芳香族炭化水素溶媒;n-ヘキサン、n-ヘプタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素溶媒;クロロベンゼン、オルトジクロロベンゼン、メタジクロロベンゼン、パラジクロロベンゼン、ジクロロメタン、ジクロロエタン、テトラクロロエタン、テトラクロロエチレン、クロロホルム等のハロゲン系溶媒;酢酸メチル、酢酸エチル、酢酸ブチル、酢酸イソブチル、酢酸ノルマルプロピル等のエステル溶媒;メタノール、エタノール、イソプロパノール、ヘキサフルオロイソプロパノール、n-ブタノール、イソブタノール、tert-ブタノール等のアルコール溶媒;アセトン、メチルエチルケトン、メチルイソブチルケトン、ジイソブチルケトン、シクロヘキサノン等のケトン系溶媒;テトラヒドロフラン、2-メチルテトラヒドロフラン、シクロペンチルメチルエーテル、4-メチルテトラヒドロピラン、ジオキサン、ジエチルエーテル、tert-ブチルメチルエーテル、ジイソプロピルエーテル、ジメトキシエタン、ジエトキシメタン等のエーテル溶媒;N,N-ジメチルアセトアミド、N,N-ジメチルホルムアミド等のアミド溶媒;ジメチルスルホキシド;1,3-ジメチル-2-イミダゾリジノン;ヘキサメチルリン酸トリアミド;水等が挙げられる。溶媒は、ニトリル溶媒、ケトン溶媒、芳香族炭化水素溶媒であることが好ましく、アセトニトリル、トルエン、メチルエチルケトン、メチルイソブチルケトンであることがより好ましい。
溶媒の使用量としては、化合物(M)1質量部に対して、通常0.5~1000質量部であり、1~10質量部であることが好ましい。
The reaction of compound (M), compound (b-1) and compound (b-2) may be carried out in the presence of a solvent.
Examples of solvents include nitrile solvents such as acetonitrile and benzonitrile; aromatic hydrocarbon solvents such as benzene, toluene, xylene and anisole; aliphatic hydrocarbon solvents such as n-hexane, n-heptane, cyclohexane and methylcyclohexane; Halogen-based solvents such as dichlorobenzene, meta-dichlorobenzene, para-dichlorobenzene, dichloromethane, dichloroethane, tetrachloroethane, tetrachloroethylene, and chloroform; ester solvents such as methyl acetate, ethyl acetate, butyl acetate, isobutyl acetate, and n-propyl acetate; methanol, ethanol, isopropanol , hexafluoroisopropanol, n-butanol, isobutanol, tert-butanol and other alcohol solvents; acetone, methyl ethyl ketone, methyl isobutyl ketone, diisobutyl ketone, cyclohexanone and other ketone solvents; tetrahydrofuran, 2-methyltetrahydrofuran, cyclopentyl methyl ether, 4 - ether solvents such as methyltetrahydropyran, dioxane, diethyl ether, tert-butyl methyl ether, diisopropyl ether, dimethoxyethane, diethoxymethane; amide solvents such as N,N-dimethylacetamide and N,N-dimethylformamide; dimethylsulfoxide 1,3-dimethyl-2-imidazolidinone; hexamethylphosphoric acid triamide; water and the like. The solvent is preferably a nitrile solvent, a ketone solvent or an aromatic hydrocarbon solvent, more preferably acetonitrile, toluene, methyl ethyl ketone or methyl isobutyl ketone.
The amount of the solvent to be used is generally 0.5 to 1000 parts by mass, preferably 1 to 10 parts by mass, per 1 part by mass of compound (M).

化合物(M)と化合物(b-1)と化合物(b-2)との反応は、化合物(M)と化合物(b-1)と化合物(b-2)とを混合することにより実施される。
化合物(M)と化合物(b-1)と化合物(b-2)との反応は、化合物(M)、塩基及び触媒の混合物に、化合物(b-1)と化合物(b-2)とを加えることが好ましい。
化合物(b-1)の使用量は、化合物(M)1モルに対して、通常0.1~20モルであり、0.5~4モル等量であることが好ましい。
化合物(b-2)の使用量は、化合物(M)1モルに対して、通常0.1~20モルであり、0.5~4モル等量であることが好ましい。
The reaction of compound (M), compound (b-1) and compound (b-2) is carried out by mixing compound (M), compound (b-1) and compound (b-2). .
The reaction of compound (M), compound (b-1) and compound (b-2) involves adding compound (b-1) and compound (b-2) to a mixture of compound (M), a base and a catalyst. preferably added.
The amount of compound (b-1) to be used is generally 0.1 to 20 mol, preferably 0.5 to 4 mol equivalents, per 1 mol of compound (M).
The amount of compound (b-2) to be used is generally 0.1 to 20 mol, preferably 0.5 to 4 mol equivalents, per 1 mol of compound (M).

化合物(M)と化合物(b-1)と化合物(b-2)との反応時間は、通常0.1~100時間である。
化合物(M)と化合物(b-1)と化合物(b-2)との反応温度は、通常-80~200℃である。
The reaction time of compound (M), compound (b-1) and compound (b-2) is usually 0.1 to 100 hours.
The reaction temperature of compound (M), compound (b-1) and compound (b-2) is usually -80 to 200°C.

化合物(b-1)及び化合物(b-2)としては、例えば、アジリジン、アゼチジン、ピロリジン、ピペリジン、モルホリン、チオモルホリン、インドリン、メチルインドリン、ニトロインドリン、アミノビフェニル、シアノアミノビフェニル、ニトロアミノビフェニル、メトキシアミノビフェニル等が挙げられる。 Examples of compound (b-1) and compound (b-2) include aziridine, azetidine, pyrrolidine, piperidine, morpholine, thiomorpholine, indoline, methylindoline, nitroindoline, aminobiphenyl, cyanoaminobiphenyl, nitroaminobiphenyl, methoxyaminobiphenyl and the like.

化合物(M)としては、下記に記載の化合物が挙げられる。

Figure 2023013166000036
Compounds (M) include the compounds described below.
Figure 2023013166000036

化合物(M)は、式(M1-1)で表される化合物(以下、化合物(M1-1)という場合がある。)、式(M1-2)で表される化合物(以下化合物(M1-2)という場合がある。)及び式(M1-3)で表される化合物(以下、化合物(M1-3)という場合がある。)を反応させることにより製造することができる。

Figure 2023013166000037

[式中、環W、環W、R及びRは、上記と同じ意味を表す。
及びEは、それぞれ独立して、脱離基を表す。] Compound (M) is a compound represented by formula (M1-1) (hereinafter sometimes referred to as compound (M1-1)), a compound represented by formula (M1-2) (hereinafter compound (M1- 2)) and a compound represented by formula (M1-3) (hereinafter sometimes referred to as compound (M1-3)).
Figure 2023013166000037

[In the formula, ring W 1 , ring W 2 , R 1 and R 2 have the same meanings as above.
E 1 and E 2 each independently represent a leaving group. ]

及びEで表される脱離基としては、それぞれ独立して、ハロゲン原子、スクシンイミド基、マレイミド基、サッカリン、メチルスルホニル基、p-メトキシベンゼンスルホニル基、p-トルエンスルホニル基、トリフルオロメチルスルホニル基、ノナフルオロブタンスルホニル基等が挙げられる。 Leaving groups represented by E 1 and E 2 each independently include halogen atom, succinimide group, maleimide group, saccharin, methylsulfonyl group, p-methoxybenzenesulfonyl group, p-toluenesulfonyl group, trifluoro Examples include a methylsulfonyl group and a nonafluorobutanesulfonyl group.

化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)の反応は、塩基の存在下で行うことが好ましい。
塩基としては、化合物(M)と化合物(b-1)と化合物(b-2)との反応に用いる際の塩基と同じものが挙げられ、金属アルコキシド、金属水酸化物、金属水素化物、金属炭酸塩、有機リチウム、金属アミド化合物、アミン化合物又は金属カルボン酸塩であることが好ましく、カリウムエトキシド、ナトリウムターシャリーブトキシド、カリウムターシャリーブトキシド、水酸化ナトリウム、水酸化カリウム、水素化ナトリウム、水素化アルミニウムリチウム、炭酸ナトリウム、炭酸水素ナトリウム、炭酸カリウム、炭酸水素カリウム、メチルリチウム、ノルマルブチルリチウム、ターシャリーブチルリチウム、リチウムジイソプロピルアミド、リチウム2,2,6,6-テトラメチルピペリジド、リチウム(ビストリメチルシリル)アミド、リチウムテトラメチルピペリジド、ピリジン、2,6-ジメチルピリジン、2,6-ジターシャリーブチルピリジン、トリエチルアミン、ジイソプロピルエチルアミン、トリイソプロピルアミン、2,2,6,6-テトラメチルピペリジン、ピペリジン、ピロリジン、プロリン、アニリン、N,N-ジメチルアニリン、酢酸ナトリウム、ギ酸ナトリウム、酢酸アンモニウムであることがより好ましい。
塩基の使用量としては、化合物(M1-1)1モルに対して、通常0.001~20モルであり、0.1~10モルであることが好ましい。
The reaction of compound (M1-1), compound (M1-2) and compound (M1-3) is preferably carried out in the presence of a base.
Examples of the base include the same bases as those used in the reaction of the compound (M), the compound (b-1) and the compound (b-2), and include metal alkoxides, metal hydroxides, metal hydrides, metal It is preferably carbonate, organic lithium, metal amide compound, amine compound or metal carboxylate, potassium ethoxide, sodium tertiary butoxide, potassium tertiary butoxide, sodium hydroxide, potassium hydroxide, sodium hydride, hydrogen lithium aluminum chloride, sodium carbonate, sodium hydrogen carbonate, potassium carbonate, potassium hydrogen carbonate, methyl lithium, n-butyl lithium, tert-butyl lithium, lithium diisopropylamide, lithium 2,2,6,6-tetramethylpiperidide, lithium (bistrimethylsilyl)amide, lithium tetramethylpiperidide, pyridine, 2,6-dimethylpyridine, 2,6-ditertiarybutylpyridine, triethylamine, diisopropylethylamine, triisopropylamine, 2,2,6,6-tetramethyl More preferred are piperidine, piperidine, pyrrolidine, proline, aniline, N,N-dimethylaniline, sodium acetate, sodium formate and ammonium acetate.
The amount of the base to be used is generally 0.001-20 mol, preferably 0.1-10 mol, per 1 mol of compound (M1-1).

化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)の反応は、溶媒の存在下で行ってもよい。溶媒としては、化合物(M)と化合物(b-1)と化合物(b-2)との反応の際に使用することができる溶媒と同じものが挙げられる。好ましくは、アセトニトリル、メタノール、エタノール、トルエン、2-ブタノン、ジオキサン、テトラヒドロフラン、ジメチルスルホキシド、ジメチルホルムアミド、ジメチルアセトアミドである。
また、溶媒は脱水溶媒であることが好ましい。
The reaction of compound (M1-1), compound (M1-2) and compound (M1-3) may be carried out in the presence of a solvent. Examples of the solvent include the same solvents that can be used in the reaction of compound (M), compound (b-1) and compound (b-2). Acetonitrile, methanol, ethanol, toluene, 2-butanone, dioxane, tetrahydrofuran, dimethylsulfoxide, dimethylformamide and dimethylacetamide are preferred.
Also, the solvent is preferably a dehydrated solvent.

化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)の反応は、化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)を混合することにより実施され、塩基、化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)を混合することにより実施されることが好ましい。
化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)の反応は、脱酸素雰囲気下(例えば、窒素雰囲気下)で実施されることが好ましい。
The reaction of compound (M1-1), compound (M1-2) and compound (M1-3) is carried out by mixing compound (M1-1), compound (M1-2) and compound (M1-3). It is preferably carried out by mixing the base, compound (M1-1), compound (M1-2) and compound (M1-3).
The reaction of compound (M1-1), compound (M1-2) and compound (M1-3) is preferably carried out under a deoxygenating atmosphere (for example, under a nitrogen atmosphere).

化合物(M1-2)の使用量は、化合物(M1-1)1モルに対して通常0.1~20モルであり、0.5~10モルであることが好ましい。
化合物(M1-3)の使用量は、化合物(M1-1)1モルに対して通常0.1~20モルであり、0.5~10モルであることが好ましい。
塩基の使用量は、化合物(M1-1)1モルに対して通常0.001~20モルであり、0.1~10モルであることが好ましい。
化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)の反応時間は、通常0.1~200時間である。
化合物(M1-1)、化合物(M1-2)及び化合物(M1-3)の反応温度は、通常-100~200℃である。
The amount of compound (M1-2) to be used is generally 0.1-20 mol, preferably 0.5-10 mol, per 1 mol of compound (M1-1).
The amount of compound (M1-3) to be used is generally 0.1-20 mol, preferably 0.5-10 mol, per 1 mol of compound (M1-1).
The amount of the base to be used is generally 0.001-20 mol, preferably 0.1-10 mol, per 1 mol of compound (M1-1).
The reaction time of compound (M1-1), compound (M1-2) and compound (M1-3) is generally 0.1 to 200 hours.
The reaction temperature of compound (M1-1), compound (M1-2) and compound (M1-3) is usually -100 to 200°C.

化合物(M1-1)としては、市販品を用いてもよく、7-ヒドロキシ-2,3,4,4a,5,6-ヘキサヒドロナフタレン-2-オン等が挙げられる。
化合物(M1-2)及び化合物(M1-3)としては、市販品を用いてもよい。例えば、クロロシアン、ブロモシアン、パラトルエンスルホニルシアニド、トリフルオロメタンスルホニルシアニド、チオシアン酸ベンジル、tert-ブチルイソシアニド、シアン化銅(I)、シアン化カリウム、1-シアノ-4-(ジメチルアミノ)ピリジニウムテトラフルオロボラート、p-トルエンスルホニルメチルイソシアニド、1-クロロメチル-4-フルオロ-1,4-ジアゾニアビシクロ[2.2.2]オクタン ビス(テトラフルオロボラート)(セレクトフロアー(Air Products and Chemicalsの登録商標)ともいう)、ベンゾイル(フェニルヨードニオ)(トリフルオロメタンスルホニル)メタニド、2,8-ジフルオロ-5-(トリフルオロメチル)-5H-ジベンゾ[b,d]チオフェン-5-イウムトリフルオロメタンスルホナート、1-フルオロ-3,3-ジメチル-1,2-ベンゾヨードキソ-ル、N-ブロモスクシンイミド、N-クロロスクシンイミド、N-ヨードスクシンイミド、テトラメチルアンモニウムトリブロミド、フッ素(F)、臭素(Br)、塩素(Cl)、ヨウ素(I)、N-ブロモフタルイミド、N-クロロフタルイミド、N-ヨードフタルイミド、N-ブロモサッカリン、N-(トリフルオロメチルチオ)サッカリン、N-(トリフルオロメチルチオ)サッカリン、N-(トリフルオロメチルチオ)アニリン、N-メチル-N-[(トリフルオロメチル)チオ]-パラトルエンスルホンアミド、1-トリフルオロメチル-3,3-ジメチル-1,2-ベンゾヨードキソール、1-トリフルオロメチル-1,2-ベンゾヨードキソール-3(1H)-オン、硝酸、ヨードメタン、ジメチル硫酸、メチルトリフラート、エチルトリフラート、ノルマルブチルトリフラート、アセチルクロリド等が挙げられる。
As the compound (M1-1), a commercial product may be used, including 7-hydroxy-2,3,4,4a,5,6-hexahydronaphthalen-2-one.
Commercially available products may be used as the compound (M1-2) and the compound (M1-3). For example, chlorocyanate, bromocyanide, p-toluenesulfonyl cyanide, trifluoromethanesulfonyl cyanide, benzyl thiocyanate, tert-butyl isocyanide, copper(I) cyanide, potassium cyanide, 1-cyano-4-(dimethylamino)pyridinium tetrafluoro Borate, p-toluenesulfonylmethyl isocyanide, 1-chloromethyl-4-fluoro-1,4-diazoniabicyclo[2.2.2]octane bis(tetrafluoroborate) (Select Floor from Air Products and Chemicals (registered trademark)), benzoyl(phenyliodonio)(trifluoromethanesulfonyl)methanide, 2,8-difluoro-5-(trifluoromethyl)-5H-dibenzo[b,d]thiophen-5-ium trifluoromethane sulfonate, 1-fluoro-3,3-dimethyl-1,2-benzoiodoxol, N-bromosuccinimide, N-chlorosuccinimide, N-iodosuccinimide, tetramethylammonium tribromide, fluorine (F 2 ), Bromine (Br 2 ), chlorine (Cl 2 ), iodine (I 2 ), N-bromophthalimide, N-chlorophthalimide, N-iodophthalimide, N-bromosaccharin, N-(trifluoromethylthio)saccharin, N-( trifluoromethylthio)saccharin, N-(trifluoromethylthio)aniline, N-methyl-N-[(trifluoromethyl)thio]-p-toluenesulfonamide, 1-trifluoromethyl-3,3-dimethyl-1,2 -benzoiodoxol, 1-trifluoromethyl-1,2-benzoiodoxol-3(1H)-one, nitric acid, iodomethane, dimethyl sulfate, methyltriflate, ethyltriflate, n-butyltriflate, acetyl chloride and the like. be done.

化合物(M)と化合物(b-1)と化合物(b-2)とを塩基の存在下で反応させると、塩基由来のアニオンと式(I-A)で表されるカチオンとを有する化合物(I)を得ることができる。
化合物(I)のアニオンを所望のアニオンに交換したい場合は、化合物(I)と所望のアニオンを有する塩とを混合することによりイオン交換を行えばよい。前記イオン交換は、溶媒の存在下で行ってもよい。所望のアニオンを有する塩は、例えば、リチウム塩、ナトリウム塩、カリウム塩、セシウム塩、バリウム塩、カルシウム塩、マグネシウム塩、アンモニウム塩等が挙げられる。
When the compound (M), the compound (b-1) and the compound (b-2) are reacted in the presence of a base, a compound ( I) can be obtained.
When the anion of compound (I) is to be exchanged for a desired anion, ion exchange can be carried out by mixing compound (I) with a salt having the desired anion. The ion exchange may be performed in the presence of a solvent. Salts having desired anions include, for example, lithium salts, sodium salts, potassium salts, cesium salts, barium salts, calcium salts, magnesium salts, ammonium salts and the like.

<化合物(I)を含む組成物>
本発明は、化合物(I)を含有する組成物も含む。
化合物(I)を含む組成物はあらゆる用途に使用可能であるが、中でも日光又は紫外線を含む光に晒される可能性のある用途に特に好適に使用できる。具体例としては、例えばガラス代替品とその表面コーティング材;住居、施設、輸送機器等の窓ガラス、採光ガラス及び光源保護ガラス用のコーティング材;住居、施設、輸送機器等のウインドウフィルム;住居、施設、輸送機器等の内外装材及び内外装用塗料及び該塗料によって形成される塗膜;アルキド樹脂ラッカー塗料及び該塗料によって形成される塗膜;アクリルラッカー塗料及び該塗料によって形成される塗膜;蛍光灯、水銀灯等の紫外線を発する光源用部材;精密機械、電子電気機器用部材、各種ディスプレイから発生する電磁波等の遮断用材;食品、化学品、薬品等の容器又は包装材;ボトル、ボックス、ブリスター、カップ、特殊包装用、コンパクトディスクコート、農工業用シート又はフィルム材;印刷物、染色物、染顔料等の退色防止剤;ポリマー支持体用(例えば、機械及び自動車部品のようなプラスチック製部品用)の保護膜;印刷物オーバーコート;インクジェット媒体被膜;積層艶消し;オプティカルライトフィルム;安全ガラス及びフロントガラスの中間層;エレクトロクロミック又はフォトクロミック用途;オーバーラミネートフィルム;太陽熱制御膜;日焼け止めクリーム、シャンプー、リンス、整髪料等の化粧品;スポーツウェア、ストッキング、帽子等の衣料用繊維製品及び繊維;カーテン、絨毯、壁紙等の家庭用内装品;プラスチックレンズ、コンタクトレンズ、義眼等の医療用器具;光学フィルタ、バックライトディスプレーフィルム、プリズム、鏡、写真材料等の光学用品;金型膜、転写式ステッカー、落書き防止膜、テープ、インク等の文房具;標示板、標示器等とその表面コーティング材等を挙げることができる。
<Composition containing compound (I)>
The present invention also includes compositions containing compound (I).
A composition containing compound (I) can be used for any purpose, and is particularly suitable for use where there is a possibility of exposure to sunlight or light including ultraviolet rays. Specific examples include, for example, glass substitutes and surface coating materials thereof; window glass for housing, facilities, transportation equipment, etc., coating materials for daylighting glass and light source protection glass; window films for housing, facilities, transportation equipment, etc.; Interior and exterior materials such as facilities and transportation equipment, interior and exterior paints, and coating films formed by the paints; alkyd resin lacquer paints and coating films formed by the paints; acrylic lacquer paints and the coating films formed by the paints; Materials for light sources that emit ultraviolet light such as fluorescent lamps and mercury lamps; Materials for shielding electromagnetic waves generated by precision machinery, electronic and electrical equipment, and various displays; For blisters, cups, special packaging, compact disc coats, agricultural and industrial sheet or film materials; anti-fading agents for printed matter, dyed matter, dyes and pigments; for polymer substrates (e.g. plastic parts such as machine and automobile parts optical light films; safety glass and windshield interlayers; electrochromic or photochromic applications; overlaminate films; solar thermal control films; , conditioners, hairdressings, and other cosmetics; sportswear, stockings, hats, and other clothing textiles and fibers; curtains, carpets, wallpaper, and other home interior goods; plastic lenses, contact lenses, artificial eyes, and other medical instruments; Optical supplies such as filters, backlight display films, prisms, mirrors, and photographic materials; stationery such as mold films, decals, anti-graffiti films, tapes, and inks; can be mentioned.

化合物(I)を含む組成物は、化合物(I)と樹脂とを含む樹脂組成物(以下、「樹脂組成物」という場合がある。)又は化合物(I)と重合性モノマーとを含む組成物(以下、「組成物(1)」という場合がある。)であることが好ましい。 The composition containing compound (I) is a resin composition containing compound (I) and a resin (hereinafter sometimes referred to as "resin composition") or a composition containing compound (I) and a polymerizable monomer. (hereinafter sometimes referred to as “composition (1)”).

樹脂組成物に用いられる樹脂としては、公知の各種成形体、シート、フィルム等の製造に従来から使用されている熱可塑性樹脂及び熱硬化性樹脂等が挙げられる。
熱可塑性樹脂としては、例えば、ポリエチレン樹脂、ポリプロピレン樹脂、ポリシクロオレフィン樹脂等のオレフィン系樹脂、ポリ(メタ)アクリル酸エステル系樹脂、ポリスチレン系樹脂、スチレン-アクリロニトリル系樹脂、アクリロニトリル-ブタジエン-スチレン系樹脂、ポリ塩化ビニル系樹脂、ポリ塩化ビニリデン系樹脂、ポリ酢酸ビニル系樹脂、ポリビニルブチラール系樹脂、エチレン-酢酸ビニル系共重合体、エチレン-ビニルアルコール系樹脂、ポリエチレンテレフタレート樹脂、ポリブチレンテレフタレート樹脂、液晶ポリエステル樹脂等のポリエステル系樹脂、ポリアセタール樹脂、ポリアミド樹脂、ポリカーボネート樹脂、ポリウレタン樹脂及びポリフェニレンサルファイド樹脂等が挙げられる。これらの樹脂を一種又は二種以上のポリマーブレンドあるいはポリマーアロイとして使用してもよい。
Examples of the resin used in the resin composition include thermoplastic resins and thermosetting resins conventionally used in the production of various known molded articles, sheets, films, and the like.
Examples of thermoplastic resins include polyethylene resins, polypropylene resins, olefin resins such as polycycloolefin resins, poly(meth)acrylic acid ester resins, polystyrene resins, styrene-acrylonitrile resins, acrylonitrile-butadiene-styrene resins. Resin, polyvinyl chloride resin, polyvinylidene chloride resin, polyvinyl acetate resin, polyvinyl butyral resin, ethylene-vinyl acetate copolymer, ethylene-vinyl alcohol resin, polyethylene terephthalate resin, polybutylene terephthalate resin, Examples include polyester resins such as liquid crystal polyester resins, polyacetal resins, polyamide resins, polycarbonate resins, polyurethane resins, polyphenylene sulfide resins, and the like. One or more of these resins may be used as a polymer blend or polymer alloy.

熱硬化性樹脂としては、例えば、エポキシ樹脂、メラミン樹脂、不飽和ポリエステル樹脂、フェノール樹脂、尿素樹脂、アルキド樹脂、熱硬化性ポリイミド樹脂等が挙げられる。 Examples of thermosetting resins include epoxy resins, melamine resins, unsaturated polyester resins, phenol resins, urea resins, alkyd resins, and thermosetting polyimide resins.

樹脂組成物より形成した高分子成型品(成形体)の形状は、平膜状、粉状、球状粒子状、破砕粒子状、塊状連続体、繊維状、管状、中空糸状、粒状、板状、多孔質状等のいずれの形状であってもよい。
樹脂組成物を紫外線吸収フィルタや紫外線吸収膜として用いる場合、樹脂は透明樹脂であることが好ましい。
樹脂組成物は、化合物(I)と樹脂とを混合することにより得ることができる。化合物(I)は、所望の性能を付与するために必要な量を含有すればよく、例えば、樹脂100質量部に対して0.00001~99質量部等含有することができる。
樹脂組成物は、必要に応じて、溶剤、架橋触媒、タッキファイヤー、可塑剤、軟化剤、染料、顔料、無機フィラー等その他添加物を含んでいてもよい。
Shapes of polymer molded articles (molded bodies) formed from the resin composition include flat film, powder, spherical particles, crushed particles, continuous lumps, fibrous, tubular, hollow fiber, granular, plate-like, etc. Any shape such as a porous shape may be used.
When the resin composition is used as an ultraviolet absorbing filter or an ultraviolet absorbing film, the resin is preferably a transparent resin.
A resin composition can be obtained by mixing compound (I) and a resin. Compound (I) may be contained in an amount necessary to impart desired performance, and can be contained, for example, in an amount of 0.00001 to 99 parts by mass with respect to 100 parts by mass of the resin.
The resin composition may contain other additives such as solvents, crosslinking catalysts, tackifiers, plasticizers, softeners, dyes, pigments, inorganic fillers, etc., if necessary.

組成物(1)に用いられる重合性モノマーとしては、特に限定されないが、ラジカル重合性モノマーであることが好ましく、光ラジカル重合性モノマーであることがより好ましく、(メタ)アクリレートであることがさらに好ましい。
(メタ)アクリレートとしては、分子内に1個の(メタ)アクリロイルオキシ基を有する単官能(メタ)アクリレートモノマー、分子内に2個の(メタ)アクリロイルオキシ基を有する2官能(メタ)アクリレートモノマー、分子内に3個以上の(メタ)アクリロイルオキシ基を有する多官能(メタ)アクリレートモノマーが挙げられる。
組成物(1)は、さらに重合開始剤を含むことが好ましい。重合性モノマーがラジカル重合性モノマーである場合、重合開始剤はラジカル重合開始剤であることが好ましく、光重合開始剤であることがより好ましい。
組成物(1)は、化合物(I)と重合性モノマーとを混合することにより得ることができる。化合物(I)は、所望の性能を付与するために必要な量を含有すればよく、例えば、重合性モノマー100質量部に対して0.01~20質量部等含有することができる。
組成物(1)は、必要に応じて、溶剤、架橋触媒、タッキファイヤー、可塑剤、軟化剤、染料、顔料、無機フィラー等その他添加物を含んでいてもよい。
The polymerizable monomer used in the composition (1) is not particularly limited, but is preferably a radically polymerizable monomer, more preferably a radically photopolymerizable monomer, and further preferably a (meth)acrylate. preferable.
(Meth)acrylates include monofunctional (meth)acrylate monomers having one (meth)acryloyloxy group in the molecule, and bifunctional (meth)acrylate monomers having two (meth)acryloyloxy groups in the molecule. , polyfunctional (meth)acrylate monomers having 3 or more (meth)acryloyloxy groups in the molecule.
Composition (1) preferably further contains a polymerization initiator. When the polymerizable monomer is a radically polymerizable monomer, the polymerization initiator is preferably a radical polymerization initiator, more preferably a photopolymerization initiator.
Composition (1) can be obtained by mixing compound (I) and a polymerizable monomer. Compound (I) may be contained in an amount necessary to impart desired performance, and may be contained, for example, in an amount of 0.01 to 20 parts by mass with respect to 100 parts by mass of the polymerizable monomer.
Composition (1) may contain other additives such as solvents, crosslinking catalysts, tackifiers, plasticizers, softeners, dyes, pigments, inorganic fillers, etc., if necessary.

<化合物(I)を含む層>
本発明は、式(I)で表される部分構造を含むカチオンを含む光学層を含む。式(I)で表される部分構造を含むカチオンを含む光学層は、通常、化合物(I)を含む光学層から形成される。化合物(I)を含む光学層は、例えば、有機EL素子、液晶セル等の表示素子に積層させて、有機EL表示装置や液晶表示装置等の画像表示装置(FPD:フラットパネルディスプレイ)に用いることができる。光学層は、本発明の樹脂組成物を用いて形成してもよい。本発明の樹脂組成物を画像表示装置に適用する場合、本発明の樹脂組成物から形成される光学層は、フィルム層、粘着剤層、コート層等のいずれに適用してもよく、粘着剤層又はコート層であることが好ましい。
化合物(I)を含む光学層は、上述の樹脂組成物又は組成物(1)から形成されることが好ましい。
化合物(I)を含む光学層は、化合物(I)を含む光学層のみからなってもよいし、化合物(I)を含む層と他の層とが積層された光学積層体であってもよい。他の層としては、例えば、偏光フィルム(偏光子)、位相差フィルム、熱可塑性樹脂フィルム、波長変換層等が挙げられる。光学積層体が、本発明の光学層、粘接着剤層、偏光フィルムの順に積層された積層体であれば、本発明の光学層は樹脂組成物から形成される光学層(光学フィルム)であることが好ましい。光学積層体が、本発明の光学層、熱可塑性樹脂フィルム、粘接着剤層、偏光フィルムの順に積層された積層体であれば、本発明の光学層は組成物(1)から形成される光学層(コート層)であることが好ましい。光学積層体が、位相差フィルム、本発明の光学層、位相差フィルムの順に積層された積層体であれば、本発明の光学層は樹脂組成物から形成される光学層(粘着剤層)であることが好ましい。本発明の光学層と波長変換層とを積層する場合には、本発明の光学層が波長変換層よりも視認側に配置されることが好ましい。
<Layer containing compound (I)>
The present invention includes optical layers containing cations containing partial structures represented by formula (I). An optical layer containing a cation containing the partial structure represented by formula (I) is usually formed from an optical layer containing compound (I). An optical layer containing the compound (I) can be used, for example, in an image display device (FPD: flat panel display) such as an organic EL display device or a liquid crystal display device by laminating it on a display device such as an organic EL device or a liquid crystal cell. can be done. The optical layer may be formed using the resin composition of the present invention. When the resin composition of the present invention is applied to an image display device, the optical layer formed from the resin composition of the present invention may be applied to any of a film layer, an adhesive layer, a coat layer, and the like. A layer or coat layer is preferred.
The optical layer containing compound (I) is preferably formed from the resin composition or composition (1) described above.
The optical layer containing compound (I) may consist of only the optical layer containing compound (I), or may be an optical layered body in which a layer containing compound (I) and another layer are laminated. . Other layers include, for example, a polarizing film (polarizer), a retardation film, a thermoplastic resin film, a wavelength conversion layer, and the like. If the optical layered body is a layered body in which an optical layer of the present invention, an adhesive layer and a polarizing film are laminated in this order, the optical layer of the present invention is an optical layer (optical film) formed from a resin composition. Preferably. If the optical laminate is a laminate in which the optical layer of the present invention, a thermoplastic resin film, an adhesive layer, and a polarizing film are laminated in this order, the optical layer of the present invention is formed from the composition (1). It is preferably an optical layer (coat layer). If the optical laminate is a laminate in which the retardation film, the optical layer of the present invention, and the retardation film are laminated in this order, the optical layer of the present invention is an optical layer (adhesive layer) formed from a resin composition. Preferably. When the optical layer of the present invention and the wavelength conversion layer are laminated, it is preferable that the optical layer of the present invention is arranged on the viewing side of the wavelength conversion layer.

<粘着剤組成物>
本発明の組成物から形成される層が粘着剤層である場合、樹脂(A)、化合物(I)、架橋剤(B)及びシラン化合物(C)を含む粘着剤組成物(以下、粘着剤組成物(1)という場合がある。)から形成される。粘着剤組成物(1)は、さらに、ラジカル硬化性成分(D)、開始剤(E)、化合物(I)以外の光吸収化合物(F)(以下、光選択吸収化合物(F)という場合がある。)、帯電防止剤等を含んでいてもよく、ラジカル硬化性成分(D)、開始剤(E)及び光選択吸収化合物(F)からなる群から選ばれる少なくとも1つを含むことが好ましい。
<Adhesive composition>
When the layer formed from the composition of the present invention is a pressure-sensitive adhesive layer, a pressure-sensitive adhesive composition (hereinafter referred to as pressure-sensitive adhesive may be referred to as composition (1)). The pressure-sensitive adhesive composition (1) further includes a radical-curable component (D), an initiator (E), and a light-absorbing compound (F) other than the compound (I) (hereinafter sometimes referred to as a light-selective absorbing compound (F). ), may contain an antistatic agent, etc., and preferably contains at least one selected from the group consisting of a radical curing component (D), an initiator (E) and a photoselective absorption compound (F) .

樹脂(A)は、粘着剤組成物に使用される樹脂であれば特に限定されない。樹脂(A)は、波長300nm~波長780nmの範囲において極大吸収を示さないことが好ましい。
樹脂(A)は、ガラス転移温度(Tg)が40℃以下である樹脂であることが好ましい。樹脂(A)のガラス転移温度(Tg)は、20℃以下であることがより好ましく、10℃以下であることがさらに好ましく、0℃以下であることが特に好ましい。また、樹脂(A)のガラス転移温度は通常-80℃以上であり、-70℃以上であることが好ましく、-60℃以上であることがより好ましく、-55℃以上であることがさらに好ましく、-50℃以上であることが特に好ましい。樹脂(A)のガラス転移温度が40℃以下であると、粘着剤組成物(1)から形成される粘着剤層の被着体に対する密着性の向上に有利である。また、樹脂(A)のガラス転移温度が-80℃以上であると、粘着剤組成物(1)から形成される粘着剤層の耐久性の向上に有利である。なお、ガラス転移温度は示差走査熱量計(DSC)により測定できる。
The resin (A) is not particularly limited as long as it is a resin used in adhesive compositions. It is preferable that the resin (A) does not exhibit maximum absorption in the wavelength range of 300 nm to 780 nm.
Resin (A) is preferably a resin having a glass transition temperature (Tg) of 40° C. or lower. The glass transition temperature (Tg) of resin (A) is more preferably 20° C. or lower, still more preferably 10° C. or lower, and particularly preferably 0° C. or lower. The glass transition temperature of the resin (A) is usually −80° C. or higher, preferably −70° C. or higher, more preferably −60° C. or higher, further preferably −55° C. or higher. , −50° C. or higher. When the glass transition temperature of the resin (A) is 40° C. or lower, it is advantageous for improving the adhesion of the pressure-sensitive adhesive layer formed from the pressure-sensitive adhesive composition (1) to the adherend. Moreover, when the glass transition temperature of the resin (A) is −80° C. or higher, it is advantageous for improving the durability of the pressure-sensitive adhesive layer formed from the pressure-sensitive adhesive composition (1). The glass transition temperature can be measured with a differential scanning calorimeter (DSC).

樹脂(A)としては、(メタ)アクリル系樹脂、シリコーン系樹脂、ゴム系樹脂、ウレタン系樹脂等が挙げられ、(メタ)アクリル系樹脂であることが好ましい。 Examples of the resin (A) include (meth)acrylic resins, silicone resins, rubber resins, urethane resins, etc., and (meth)acrylic resins are preferred.

(メタ)アクリル系樹脂としては、(メタ)アクリル酸エステル由来の構成単位を主成分(好ましくは50質量%以上含む)とする重合体であることが好ましい。(メタ)アクリル系樹脂は、一種以上の(メタ)アクリル酸エステル以外の単量体に由来する構成単位(例えば、水酸基、カルボキシル基、アミノ基等の極性官能基を有する単量体に由来する構成単位)を含んでもよい。 The (meth)acrylic resin is preferably a polymer containing (preferably at least 50% by mass) a structural unit derived from (meth)acrylic ester as a main component. (Meth)acrylic resins are structural units derived from monomers other than one or more (meth)acrylic acid esters (e.g., hydroxyl groups, carboxyl groups, derived from monomers having polar functional groups such as amino groups) structural unit).

樹脂(A)の含有量は、粘着剤組成物(1)の固形分100質量%中、通常50質量%~99.9質量%であり、好ましくは60質量%~95質量%であり、より好ましくは70質量%~90質量%である。
化合物(I)の含有量は、樹脂(A)100質量部に対して、通常0.01~20質量部であり、好ましくは0.1~20質量部であり、より好ましくは0.2~10質量部であり、特に好ましくは0.5~5質量部である。
The content of the resin (A) is usually 50% by mass to 99.9% by mass, preferably 60% by mass to 95% by mass, based on 100% by mass of the solid content of the adhesive composition (1). It is preferably 70% by mass to 90% by mass.
The content of compound (I) is usually 0.01 to 20 parts by mass, preferably 0.1 to 20 parts by mass, more preferably 0.2 to 20 parts by mass, relative to 100 parts by mass of resin (A). 10 parts by mass, and particularly preferably 0.5 to 5 parts by mass.

架橋剤(B)としては、イソシアネート系架橋剤、エポキシ系架橋剤、アジリジン系架橋剤、金属キレート系架橋剤等が挙げられ、特に粘着剤組成物のポットライフ及び粘着剤層の耐久性、架橋速等の観点から、イソシアネート系架橋剤であることが好ましい。
架橋剤(B)の含有量は、樹脂(A)100質量部に対して、通常0.01~25質量部であり、好ましくは0.1~15質量部であり、より好ましくは0.15~7質量部であり、さらに好ましくは0.2~5質量部であり、特に好ましくは0.25~2質量部である。
Examples of the cross-linking agent (B) include isocyanate-based cross-linking agents, epoxy-based cross-linking agents, aziridine-based cross-linking agents, and metal chelate-based cross-linking agents. An isocyanate-based cross-linking agent is preferred from the viewpoint of speed and the like.
The content of the cross-linking agent (B) is usually 0.01 to 25 parts by mass, preferably 0.1 to 15 parts by mass, more preferably 0.15 parts by mass, relative to 100 parts by mass of the resin (A). 7 parts by mass, more preferably 0.2 to 5 parts by mass, and particularly preferably 0.25 to 2 parts by mass.

シラン化合物(C)としては、例えば、ビニルトリメトキシシラン、ビニルトリエトキシシラン、ビニルトリス(2-メトキシエトキシ)シラン、3-グリシドキシプロピルトリメトキシシラン、3-グリシドキシプロピルトリエトキシシラン、3-グリシドキシプロピルメチルジメトキシシラン、3-グリシドキシプロピルエトキシジメチルシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、3-クロロプロピルメチルジメトキシシラン、3-クロロプロピルトリメトキシシラン、3-メタクリロイルオキシプロピルトリメトキシシラン、3-メルカプトプロピルトリメトキシシラン等が挙げられる。
シラン化合物(C)は、シリコーンオリゴマーであってもよい。
シラン化合物(C)の含有量は、樹脂(A)100質量部に対して、通常0.01~20質量部であり、好ましくは0.1~10質量部であり、より好ましくは0.15~7質量部であり、さらに好ましくは0.2~5質量部であり、特に好ましくは0.25~2質量部である。
Examples of the silane compound (C) include vinyltrimethoxysilane, vinyltriethoxysilane, vinyltris(2-methoxyethoxy)silane, 3-glycidoxypropyltrimethoxysilane, 3-glycidoxypropyltriethoxysilane, 3 - glycidoxypropylmethyldimethoxysilane, 3-glycidoxypropylethoxydimethylsilane, 2-(3,4-epoxycyclohexyl)ethyltrimethoxysilane, 3-chloropropylmethyldimethoxysilane, 3-chloropropyltrimethoxysilane, 3-methacryloyloxypropyltrimethoxysilane, 3-mercaptopropyltrimethoxysilane and the like.
The silane compound (C) may be a silicone oligomer.
The content of the silane compound (C) is usually 0.01 to 20 parts by mass, preferably 0.1 to 10 parts by mass, more preferably 0.15 parts by mass, relative to 100 parts by mass of the resin (A). 7 parts by mass, more preferably 0.2 to 5 parts by mass, and particularly preferably 0.25 to 2 parts by mass.

ラジカル硬化性成分(D)としては、ラジカル重合反応により硬化する化合物又はオリゴマー等のラジカル硬化性成分が挙げられる。
ラジカル重合性成分(D)としては、(メタ)アクリレート系化合物、スチレン系化合物、ビニル系化合物等が挙げられる。
粘着剤組成物(1)はラジカル硬化性成分(D)を2種以上含んでいてもよい。
Examples of the radical-curable component (D) include radical-curable components such as compounds or oligomers that cure by a radical polymerization reaction.
Examples of the radically polymerizable component (D) include (meth)acrylate compounds, styrene compounds, vinyl compounds and the like.
The pressure-sensitive adhesive composition (1) may contain two or more radical-curable components (D).

(メタ)アクリレート系化合物としては、分子内に少なくとも1個の(メタ)アクリロイルオキシ基を有する(メタ)アクリレートモノマー、(メタ)アクリルアミドモノマー、及び、分子内に少なくとも2個の(メタ)アクリロイル基を有する(メタ)アクリルオリゴマー等の(メタ)アクリロイル基含有化合物を挙げることができる。(メタ)アクリルオリゴマーは好ましくは、分子内に少なくとも2個の(メタ)アクリロイルオキシ基を有する(メタ)アクリレートオリゴマーである。(メタ)アクリレート系化合物は、1種のみを単独で用いてもよいし2種以上を併用してもよい。 The (meth)acrylate compound includes a (meth)acrylate monomer having at least one (meth)acryloyloxy group in the molecule, a (meth)acrylamide monomer, and at least two (meth)acryloyl groups in the molecule. (Meth)acryloyl group-containing compounds such as (meth)acrylic oligomers having The (meth)acrylic oligomer is preferably a (meth)acrylate oligomer having at least two (meth)acryloyloxy groups in the molecule. Only one type of (meth)acrylate compound may be used alone, or two or more types may be used in combination.

(メタ)アクリレートモノマーとしては、分子内に1個の(メタ)アクリロイルオキシ基を有する単官能(メタ)アクリレートモノマー、分子内に2個の(メタ)アクリロイルオキシ基を有する2官能(メタ)アクリレートモノマー、分子内に3個以上の(メタ)アクリロイルオキシ基を有する多官能(メタ)アクリレートモノマーが挙げられる。
(メタ)アクリレート化合物であることが好ましく、多官能(メタ)アクリレート化合物であることがより好ましい。多官能(メタ)アクリレート化合物は3官能以上であることが好ましい。
(Meth)acrylate monomers include monofunctional (meth)acrylate monomers having one (meth)acryloyloxy group in the molecule, and bifunctional (meth)acrylates having two (meth)acryloyloxy groups in the molecule. Examples include monomers and polyfunctional (meth)acrylate monomers having 3 or more (meth)acryloyloxy groups in the molecule.
(Meth)acrylate compounds are preferable, and polyfunctional (meth)acrylate compounds are more preferable. The polyfunctional (meth)acrylate compound is preferably trifunctional or higher.

ラジカル硬化性成分(D)の含有量は、樹脂(A)100質量部に対して、通常0.5~100質量部であり、1~70質量部であることが好ましく、3~50質量部であることがより好ましく、5~30質量部であることがさらに好ましく、7.5~25質量部であることが特に好ましい。 The content of the radical curing component (D) is usually 0.5 to 100 parts by mass, preferably 1 to 70 parts by mass, and 3 to 50 parts by mass, relative to 100 parts by mass of the resin (A). is more preferably 5 to 30 parts by mass, and particularly preferably 7.5 to 25 parts by mass.

開始剤(E)は熱のエネルギーを吸収することにより重合反応を引き起こす化合物(熱重合開始剤)、光のエネルギーを吸収することにより重合反応を引き起こす化合物(光重合開始剤)のいずれであってもよい。なお、ここで光とは、可視光線、紫外線、X線、又は電子線のような活性エネルギー線であることが好ましい。 The initiator (E) is either a compound that induces a polymerization reaction by absorbing thermal energy (thermal polymerization initiator) or a compound that induces a polymerization reaction by absorbing light energy (photopolymerization initiator). good too. Here, the light is preferably visible light, ultraviolet rays, X-rays, or active energy rays such as electron beams.

熱重合開始剤としては、加熱等によりラジカルを発生する化合物(熱ラジカル発生剤)、加熱等により酸を発生する化合物(熱酸発生剤)、加熱等により塩基を発生する化合物(熱塩基発生剤)等が挙げられる。
光重合開始剤としては、光のエネルギーを吸収することによりラジカルを発生する化合物(光ラジカル発生剤)、光のエネルギーを吸収することにより酸を発生する化合物(光酸発生剤)、光のエネルギーを吸収することにより塩基を発生する化合物(光塩基発生剤)等が挙げられる。
Examples of thermal polymerization initiators include compounds that generate radicals by heating (thermal radical generators), compounds that generate acids by heating (thermal acid generators), and compounds that generate bases by heating (thermal base generators). ) and the like.
Photopolymerization initiators include compounds that generate radicals by absorbing light energy (photoradical generators), compounds that generate acids by absorbing light energy (photoacid generators), and light energy and a compound (photobase generator) that generates a base by absorbing the .

開始剤(E)は、上述したラジカル硬化性成分(D)の重合反応に適したものを選択することが好ましく、ラジカル重合開始剤であることが好ましく、光ラジカル重合開始剤であることがより好ましい。
ラジカル重合開始剤は、例えば、アルキルフェノン化合物、ベンゾイン化合物、ベンゾフェノン化合物、オキシムエステル化合物、ホスフィン化合物等が挙げられる。ラジカル重合開始剤は、光ラジカル重合開始剤であることが好ましく、重合反応の反応性の観点からオキシムエステル系光ラジカル重合開始剤であることがより好ましい。オキシムエステル系光ラジカル重合開始剤を使用することで、照度又は光量の弱い硬化条件であってもラジカル硬化成分(D)の反応率を高めることができる。
The initiator (E) is preferably selected from those suitable for the polymerization reaction of the radical-curable component (D) described above, preferably a radical polymerization initiator, more preferably a radical photopolymerization initiator. preferable.
Examples of radical polymerization initiators include alkylphenone compounds, benzoin compounds, benzophenone compounds, oxime ester compounds, phosphine compounds and the like. The radical polymerization initiator is preferably a radical photopolymerization initiator, and more preferably an oxime ester-based radical photopolymerization initiator from the viewpoint of the reactivity of the polymerization reaction. By using an oxime ester photoradical polymerization initiator, the reaction rate of the radical curing component (D) can be increased even under curing conditions with a weak illumination or light intensity.

開始剤(E)の含有量は、樹脂(A)100質量部に対して、通常0.01~20質量部であり、0.3~10質量部であることが好ましく、0.5~5質量部であることがより好ましく、0.75~4質量部であることがさらに好ましく、特に好ましくは1~3質量部である。 The content of the initiator (E) is usually 0.01 to 20 parts by mass, preferably 0.3 to 10 parts by mass, and 0.5 to 5 parts by mass with respect to 100 parts by mass of the resin (A). It is more preferably 0.75 to 4 parts by mass, particularly preferably 1 to 3 parts by mass.

光選択吸収化合物(F)は、化合物(I)以外の光吸収性化合物であり、例えば、波長250nm~波長380nm(好ましくは波長250nm以上波長360nm未満)の光を吸収する化合物(紫外線吸収剤)や、波長380~波長780nmを吸収する化合物(色素)や、波長780nm~波長1500nmを吸収する化合物(赤外線吸収剤)である。
紫外線吸収剤は、波長250nm~波長380nmの光を吸収する化合物であれば、その構造は特に限定されないが、ベンゾトリアゾール系化合物、ベンゾフェノン系化合物、トリアジン系化合物、サリチル酸系化合物、シアノアクリレート系化合物、ベンゾオキサジン系化合物等の化合物等が好ましい。
光選択吸収化合物(F)の含有量は、樹脂(A)100質量部に対して、通常0.1~50質量部であり、好ましくは0.2~40質量部であり、より好ましくは0.5~30質量部であり、さらに好ましくは1~25質量部であり、特に好ましくは2~20質量部である。
The photoselective absorption compound (F) is a light absorption compound other than the compound (I), for example, a compound (ultraviolet absorber) that absorbs light with a wavelength of 250 nm to 380 nm (preferably 250 nm or more and less than 360 nm). , a compound (dye) that absorbs wavelengths from 380 nm to 780 nm, and a compound (infrared absorber) that absorbs wavelengths from 780 nm to 1500 nm.
The structure of the ultraviolet absorber is not particularly limited as long as it is a compound that absorbs light with a wavelength of 250 nm to 380 nm. Benzotriazole compounds, benzophenone compounds, triazine compounds, salicylic acid compounds, cyanoacrylate compounds, Compounds such as benzoxazine compounds are preferred.
The content of the photoselective absorption compound (F) is usually 0.1 to 50 parts by mass, preferably 0.2 to 40 parts by mass, more preferably 0, per 100 parts by mass of the resin (A). .5 to 30 parts by mass, more preferably 1 to 25 parts by mass, and particularly preferably 2 to 20 parts by mass.

<化合物(I)によって染色された染色物>
本発明は、化合物(I)によって染色された染色物(例えば、繊維品、フィルム、樹脂等)を含む。化合物(I)による染色は、例えば、化合物(I)を溶剤に溶解させた溶解液に、繊維、フィルム、樹脂等の物品を浸漬させることにより実施される。
<Dyed article dyed with compound (I)>
The present invention includes dyed articles (eg, textiles, films, resins, etc.) dyed with compound (I). Dyeing with compound (I) is carried out, for example, by immersing articles such as fibers, films, and resins in a solution obtained by dissolving compound (I) in a solvent.

以下、実施例及び比較例により本発明をさらに詳細に説明する。実施例及び比較例中の「%」及び「部」は、特記しない限り、「質量%」及び「質量部」である。 EXAMPLES The present invention will be described in more detail below with reference to examples and comparative examples. "%" and "parts" in Examples and Comparative Examples are "% by mass" and "parts by mass" unless otherwise specified.

実施例1:式(1)で表される化合物の合成

Figure 2023013166000038

ジムロート冷却管及び温度計を設置した500mL-四ツ口フラスコ内を窒素雰囲気とし、式(M-1)で表される化合物(7-ヒドロキシ-2,3,4,4a,5,6-ヘキサヒドロナフタレン-2-オン)25部、エタノール150部、水酸化カリウム10.3部、パラトルエンスルホニルシアニド33.11部を加えて氷浴中で4時間撹拌した。得られた混合物から溶媒を留去した後、精製し、式(M-2)で表される化合物17.4部を得た。
ジムロート冷却管及び温度計を設置した100mL-四ツ口フラスコ内を窒素雰囲気とし、式(M-2)で表される化合物4部、脱水アセトニトリル40部、ジイソプロピルエチルアミン6.6部を加えて氷浴撹拌した。得られた混合物にトリフルオロメタンスルホン酸無水物7.2部を加えて15分間氷浴撹拌した後、さらにインドリン3部を加えて2時間氷浴撹拌した。得られた混合物から溶媒を留去した後、塩酸と酢酸エチルとを用いて精製し、式(1)で表される化合物を得た。 Example 1: Synthesis of compound represented by formula (1)
Figure 2023013166000038

A 500 mL-four-necked flask equipped with a Dimroth condenser and a thermometer was set to a nitrogen atmosphere, and the compound represented by the formula (M-1) (7-hydroxy-2,3,4,4a,5,6-hexa 25 parts of hydronaphthalene-2-one), 150 parts of ethanol, 10.3 parts of potassium hydroxide and 33.11 parts of p-toluenesulfonyl cyanide were added and stirred for 4 hours in an ice bath. After distilling off the solvent from the obtained mixture, the mixture was purified to obtain 17.4 parts of the compound represented by the formula (M-2).
A 100 mL-four-necked flask equipped with a Dimroth condenser and a thermometer is set to a nitrogen atmosphere, and 4 parts of the compound represented by the formula (M-2), 40 parts of dehydrated acetonitrile, and 6.6 parts of diisopropylethylamine are added to ice. Agitate the bath. After adding 7.2 parts of trifluoromethanesulfonic anhydride to the resulting mixture and stirring in an ice bath for 15 minutes, 3 parts of indoline was added and the mixture was stirred in an ice bath for 2 hours. After distilling off the solvent from the resulting mixture, the mixture was purified using hydrochloric acid and ethyl acetate to obtain a compound represented by formula (1).

LC-MS測定及びH-NMR解析を行い、式(1)で表される化合物が生成したことを確認した。また、エネルギー分散型X線分光法(SEM-EDX分析)によりクロライドアニオンの存在を確認した。
H-NMR(重ジメチルスルホキシド)δ:7.07~7.42(m、8H)、5.95(s、1H)、4.11~4.16(m、4H)、2.99~3.20(m、5H)、2.50(m、2H)、2.05(m、2H)、1.56(m、4H)
LC-MS;[M]=392.5
LC-MS measurement and 1 H-NMR analysis were performed to confirm that the compound represented by formula (1) was produced. Also, the presence of chloride anions was confirmed by energy dispersive X-ray spectroscopy (SEM-EDX analysis).
1 H-NMR (heavy dimethyl sulfoxide) δ: 7.07-7.42 (m, 8H), 5.95 (s, 1H), 4.11-4.16 (m, 4H), 2.99- 3.20 (m, 5H), 2.50 (m, 2H), 2.05 (m, 2H), 1.56 (m, 4H)
LC-MS; [M] = 392.5

<極大吸収波長及びグラム吸光係数ε測定>
得られた式(1)で表される化合物の2-ブタノン溶液(0.003g/L)を1cmの石英セルに入れ、石英セルを分光光度計UV-2450(株式会社島津製作所製)にセットし、ダブルビーム法により1nmステップ毎に300~800nmの波長範囲の吸光度を測定した。
得られた吸光度の値と、溶液中の式(1)で表される化合物の濃度、石英セルの光路長から、波長ごとのグラム吸光係数を算出した。
ε(λ)=A(λ)/CL
〔式中、ε(λ)は波長λnmにおける式(1)で表される化合物のグラム吸光係数(L/(g・cm))を表し、A(λ)は波長λnmにおける吸光度を表し、Cは濃度(g/L)を表し、Lは石英セルの光路長(m)を表す。〕
得られた式(1)で表される化合物の極大吸収波長は509nmであった。得られた式(1)で表される化合物の極大吸収波長におけるグラム吸光係数ε(λmax)は52L/(g・cm)であった。
<Measurement of maximum absorption wavelength and gram extinction coefficient ε>
The resulting 2-butanone solution (0.003 g/L) of the compound represented by formula (1) was placed in a 1 cm quartz cell, and the quartz cell was set in a spectrophotometer UV-2450 (manufactured by Shimadzu Corporation). Then, the absorbance in the wavelength range from 300 to 800 nm was measured at every 1 nm step by the double beam method.
The gram extinction coefficient for each wavelength was calculated from the obtained absorbance value, the concentration of the compound represented by the formula (1) in the solution, and the optical path length of the quartz cell.
ε(λ)=A(λ)/CL
[Wherein, ε (λ) represents the gram extinction coefficient (L/(g cm)) of the compound represented by the formula (1) at the wavelength λ nm, A (λ) represents the absorbance at the wavelength λ nm, and C represents the concentration (g/L) and L represents the optical path length (m) of the quartz cell. ]
The maximum absorption wavelength of the obtained compound represented by formula (1) was 509 nm. The gram extinction coefficient ε (λmax) at the maximum absorption wavelength of the obtained compound represented by formula (1) was 52 L/(g·cm).

また、測定した吸光度から、極大吸収波長の吸光度の半分の吸光度となる、2点の波長を確認した。2点の波長のうち、長波側の波長から短波側の波長を引き、半値全幅とした。式(1)で表される化合物の半値全幅は67nmであった。 Also, from the measured absorbance, two wavelengths were confirmed at which the absorbance was half the absorbance at the maximum absorption wavelength. Of the wavelengths at the two points, the wavelength on the short wavelength side was subtracted from the wavelength on the long wavelength side to obtain the full width at half maximum. The full width at half maximum of the compound represented by formula (1) was 67 nm.

重合例1:アクリル樹脂(A1)の調製
冷却管、窒素導入管、温度計及び撹拌機を備えた反応容器に、溶媒として酢酸エチル81.8部、アクリル酸ブチル96部、アクリル酸2-ヒドロキシエチルメチル3部、及びアクリル酸1部の混合溶液を仕込み、窒素ガスで装置内の空気を置換して酸素不含としながら内温を55℃に上げた。その後、アゾビスイソブチロニトリル(重合開始剤)0.14部を酢酸エチル10部に溶かした溶液を全量添加した。開始剤の添加した後1時間この温度で保持し、次いで内温を54~56℃に保ちながら酢酸エチルを添加速度17.3部/hrで反応容器内へ連続的に加え、アクリル樹脂の濃度が35%となった時点で酢酸エチルの添加を止め、さらに酢酸エチルの添加開始から12時間経過するまでこの温度で保温した。最後に酢酸エチルを加えてアクリル樹脂の濃度が20%となるように調節し、アクリル樹脂の酢酸エチル溶液を調製した。得られたアクリル樹脂は、GPCによるポリスチレン換算の重量平均分子量Mwが140万、Mw/Mnが5.5であった。これをアクリル樹脂(A1)とする。
Polymerization Example 1: Preparation of acrylic resin (A1) Into a reaction vessel equipped with a condenser, a nitrogen inlet tube, a thermometer and a stirrer, 81.8 parts of ethyl acetate, 96 parts of butyl acrylate and 2-hydroxy acrylate were added as solvents. A mixed solution of 3 parts of ethyl methyl and 1 part of acrylic acid was charged, and the internal temperature was raised to 55° C. while replacing the air in the apparatus with nitrogen gas to make it oxygen-free. Thereafter, a solution obtained by dissolving 0.14 parts of azobisisobutyronitrile (polymerization initiator) in 10 parts of ethyl acetate was added to the whole amount. This temperature was maintained for 1 hour after the addition of the initiator, and then ethyl acetate was continuously added into the reaction vessel at an addition rate of 17.3 parts/hr while maintaining the internal temperature at 54 to 56°C to obtain a concentration of the acrylic resin. When the concentration reached 35%, the addition of ethyl acetate was stopped, and the mixture was maintained at this temperature until 12 hours had passed since the addition of ethyl acetate. Finally, ethyl acetate was added to adjust the concentration of the acrylic resin to 20% to prepare an ethyl acetate solution of the acrylic resin. The obtained acrylic resin had a polystyrene-equivalent weight average molecular weight Mw of 1,400,000 and an Mw/Mn of 5.5 by GPC. This is designated as acrylic resin (A1).

実施例2:樹脂組成物(1)(粘着剤組成物(1))の調製
アクリル樹脂(A1)の酢酸エチル溶液(樹脂濃度:20%)の固形分100部に対して、架橋剤(東ソー株式会社製:商品名「コロネートL」、イソシアネート系化合物、固形分75%)0.5部、シラン化合物(信越化学工業株式会社製:商品名「KBM3066」)0.28部、式(1)で表される化合物0.5部を混合し、さらに固形分濃度が14%となるように2-ブタノンを添加して樹脂組成物(1)(粘着剤組成物)を得た。なお、上記架橋剤の配合量は、有効成分としての質量部数である。
Example 2: Preparation of resin composition (1) (adhesive composition (1)) A cross-linking agent (Tosoh Co., Ltd.: product name “Coronate L”, isocyanate compound, solid content 75%) 0.5 parts, silane compound (manufactured by Shin-Etsu Chemical Co., Ltd.: product name “KBM3066”) 0.28 parts, formula (1) 0.5 part of the compound represented by is mixed, and 2-butanone is added so that the solid content concentration becomes 14% to obtain a resin composition (1) (adhesive composition). In addition, the compounding amount of the said crosslinking agent is the number of mass parts as an active ingredient.

実施例3、比較例1:樹脂組成物(2)、(3)の調製
表9に示すように各成分及び各成分の含有量を変えた以外は実施例2と同様にして樹脂組成物(2)、樹脂組成物(3)を作製した。なお、架橋剤の配合量は有効成分としての質量部数であり、樹脂(A)は固形分の質量部数である。
Example 3, Comparative Example 1: Preparation of resin compositions (2) and (3) Resin compositions ( 2), to prepare a resin composition (3). The amount of the cross-linking agent is the number of parts by mass as the active ingredient, and the amount of the resin (A) is the number of parts by mass of the solid content.

Figure 2023013166000039
Figure 2023013166000039

なお、表9における各略語は以下の意味を表す。
アクリル樹脂(A1):重合例1で合成したアクリル樹脂(A1)
式(1):実施例1で合成した式(1)で表される化合物
コロネートL:東ソー株式会社製、商品名:コロネートL、イソシアネート系架橋剤
KBM3066:信越化学工業株式会社製、商品名:KBM3066、シランカップリング剤
式(B):米国特許第6004536号明細書を参照して合成した下記式(B)で表される化合物(3-ブチルー2-[3-(-3-ブチル-5-フェニル-2(3H)-ベンゾリリデン)-1-プロペンー1-イル]-5-フェニルーベンゾキサゾリウム パラトルエンスルホナート)、上述と同様にして求めた半値全幅は44nmであった。

Figure 2023013166000040
Each abbreviation in Table 9 has the following meaning.
Acrylic resin (A1): Acrylic resin (A1) synthesized in Polymerization Example 1
Formula (1): compound represented by formula (1) synthesized in Example 1 Coronate L: manufactured by Tosoh Corporation, trade name: Coronate L, isocyanate-based cross-linking agent KBM3066: manufactured by Shin-Etsu Chemical Co., Ltd., trade name: KBM3066, silane coupling agent Formula (B): Compound (3-butyl-2-[3-(-3-butyl-5 -phenyl-2(3H)-benzolylidene)-1-propen-1-yl]-5-phenyl-benzoxazolium p-toluenesulfonate), and the full width at half maximum determined in the same manner as above was 44 nm.
Figure 2023013166000040

<樹脂組成物(1)の成形体の評価>
〔樹脂成形体(1)の作製〕
得られた樹脂組成物(1)を、離型処理が施されたポリエチレンテレフタレートフィルムからなるセパレートフィルム〔リンテック株式会社から入手した商品名「PLR-382190」〕の離型処理面に、アプリケーターを用いて塗布し、100℃で1分間乾燥して樹脂成形体(粘着剤層)(1)を作製した。得られた樹脂成形体(1)の厚みは20μmであった。
<Evaluation of Molded Body of Resin Composition (1)>
[Preparation of resin molding (1)]
The resulting resin composition (1) was applied to the release-treated surface of a release-treated polyethylene terephthalate film [PLR-382190, available from Lintec Corporation] using an applicator. and dried at 100° C. for 1 minute to prepare a resin molding (adhesive layer) (1). The thickness of the obtained resin molding (1) was 20 μm.

〔樹脂成形体(1)の耐ブリード性評価〕
得られた樹脂成形体(1)の一方の面にさらにセパレートフィルムを積層して両面セパレートフィルム付き粘着剤層を得た。得られた両面セパレートフィルム付き樹脂層(1)を温度23~25℃の空気下で1ヶ月保管した。保管後の両面セパレートフィルム付き樹脂成形体(1)を顕微鏡を用いて面内の化合物の結晶析出の有無を確認した。結晶析出がない場合をaとし、結晶析出がある場合をbとした。評価結果を表10に示す。
[Evaluation of bleeding resistance of resin molded product (1)]
A separate film was further laminated on one side of the obtained resin molding (1) to obtain a pressure-sensitive adhesive layer with a double-sided separate film. The obtained resin layer (1) with a double-sided separate film was stored in air at a temperature of 23 to 25° C. for 1 month. The presence or absence of in-plane crystal precipitation of the compound was confirmed using a microscope for the resin molding (1) with the double-sided separate film after storage. A case where there was no crystal precipitation was designated as a, and a case where there was crystal precipitation was designated as b. Table 10 shows the evaluation results.

〔樹脂成形体(1)の吸光度保持率の測定〕
厚み8μmの偏光子の片面に接着剤層を用いて、厚み13μmのシクロオレフィンフィルムを貼合した偏光板を準備した。
偏光板の偏光子側に樹脂成形体(1)をラミネーターにより貼り合わせた後、温度23℃、相対湿度65%の条件で7日間養生し、シクロオレフィンフィルム/偏光子/樹脂成形体(1)/セパレートフィルムの積層体を得た。得られた積層体を30cm×30cmの大きさに裁断し、セパレートフィルムを剥離して、樹脂成形体(1)と無アルカリガラス〔コーニング社製の商品名“EAGLE XG”〕とを貼合し、シクロオレフィンフィルム/偏光子/樹脂成形体(1)/ガラスの積層構造を有する積層体(1-1)を得た。
得られた積層体(1-1)を温度63℃、相対湿度50%RHの条件でサンシャインウェザーメーター(スガ試験機株式会社製)に75時間投入し、耐候性試験を実施した。取り出した積層体(1-1)の吸光度を上記と同様の方法で測定した。測定した吸光度から、下記式に基づき、波長500nmにおけるサンプルの吸光度保持率を求めた。結果を表10に示す。吸光度保持率が100に近い値ほど、光選択吸収機能の劣化がなく良好な耐候性を有することを示す。
なお、吸光度保持率を評価するための吸収波長は、測定した吸光度のうち、極大吸収波長の長波長側で吸光度が1~1.5になる波長を選択した。これは前記波長が分光測定装置の測定精度上、もっとも感度がよい吸光度領域であるためである。
吸光度保持率(%)
=(耐候性試験後のA(500)/耐候性試験前のA(500))×100
[式中、A(500)は積層体(1-1)の吸光度を表す。]
[Measurement of absorbance retention rate of resin molding (1)]
A polarizing plate was prepared by laminating a cycloolefin film having a thickness of 13 μm using an adhesive layer on one side of a polarizer having a thickness of 8 μm.
After laminating the resin molded body (1) on the polarizer side of the polarizing plate with a laminator, it is cured for 7 days under the conditions of a temperature of 23° C. and a relative humidity of 65%, and a cycloolefin film/polarizer/resin molded body (1) is obtained. / A laminate of separate films was obtained. The resulting laminate was cut into a size of 30 cm x 30 cm, the separate film was peeled off, and the resin molding (1) and non-alkali glass [trade name "EAGLE XG" manufactured by Corning] were laminated. A laminate (1-1) having a laminate structure of cycloolefin film/polarizer/resin molding (1)/glass was obtained.
The resulting laminate (1-1) was placed in a Sunshine Weather Meter (manufactured by Suga Test Instruments Co., Ltd.) for 75 hours under the conditions of a temperature of 63° C. and a relative humidity of 50% RH to carry out a weather resistance test. The absorbance of the laminate (1-1) taken out was measured in the same manner as above. Based on the measured absorbance, the absorbance retention rate of the sample at a wavelength of 500 nm was calculated according to the following formula. Table 10 shows the results. The closer the absorbance retention rate is to 100, the better the weather resistance without deterioration of the selective light absorption function.
As the absorption wavelength for evaluating the absorbance retention rate, the wavelength at which the absorbance is 1 to 1.5 on the longer wavelength side of the maximum absorption wavelength was selected among the measured absorbances. This is because the wavelength is the most sensitive absorbance region in terms of measurement accuracy of the spectrometer.
Absorbance retention rate (%)
= (A (500) after weather resistance test/A (500) before weather resistance test) x 100
[In the formula, A(500) represents the absorbance of the laminate (1-1). ]

樹脂組成物(1)の代わりに樹脂組成物(2)を用いて、樹脂成形体(2)、積層体(2-1)作製し、同様に評価を行った。結果を表10に示す。 Using the resin composition (2) instead of the resin composition (1), a resin molding (2) and a laminate (2-1) were produced and evaluated in the same manner. Table 10 shows the results.

樹脂組成物(1)の代わりに樹脂組成物(3)を用いて、樹脂成形体(3)、積層体(3-1)作製し、同様に評価を行った。なお、吸光度保持率の評価は波長510nmで行った。結果を表10に示す。 Using the resin composition (3) instead of the resin composition (1), a resin molding (3) and a laminate (3-1) were produced and evaluated in the same manner. The absorbance retention rate was evaluated at a wavelength of 510 nm. Table 10 shows the results.

Figure 2023013166000041
Figure 2023013166000041

本発明の化合物は、極大吸収波長付近の光に対する高い吸収選択性を有する。また、本発明の化合物を含む樹脂組成物は耐候性試験後も高い吸光度保持率を有し、良好な耐候性を有する。 The compounds of the present invention have high absorption selectivity for light near the maximum absorption wavelength. Moreover, the resin composition containing the compound of the present invention has high absorbance retention even after the weather resistance test, and has good weather resistance.

Claims (12)

下記式(I)で表される部分構造を含むカチオンを有する化合物。
Figure 2023013166000042

[式(I)中、
環W及び環Wは、それぞれ独立して、少なくとも1つの二重結合を環の構成要素として有する環構造を表す。
環W及び環Wは、それぞれ独立して、置換基を有していてもよい。
及びRは、それぞれ独立して、水素原子又は1価の置換基を表し、R及びRの少なくとも一方は1価の置換基である。]
A compound having a cation containing a partial structure represented by the following formula (I).
Figure 2023013166000042

[in the formula (I),
Ring W 1 and ring W 2 each independently represent a ring structure having at least one double bond as a ring constituent.
Ring W 1 and ring W 2 may each independently have a substituent.
R 1 and R 2 each independently represent a hydrogen atom or a monovalent substituent, and at least one of R 1 and R 2 is a monovalent substituent. ]
及びRから選ばれる少なくとも1つが、電子求引性基である請求項1に記載の化合物。 2. The compound according to claim 1, wherein at least one selected from R1 and R2 is an electron-withdrawing group. 及びRから選ばれる少なくとも1つが、シアノ基、ニトロ基、ハロゲン化アルキル基、ハロゲン化アリール基、-CO-R、-CO-O-R、-CO-NR3k、-CO-S-R、-CS-R、-CS-O-R、-CS-S-R、-SO-R、-SO-R(R、R、R、R3k、R、R、R、R、R及びRはそれぞれ独立して、置換基を有していてもよい炭化水素基又はハロゲン原子を表す。)、-OCF、-SCF、-SF、-SF、-SOH又は-SOHである請求項2に記載の化合物。 at least one selected from R 1 and R 2 is a cyano group, a nitro group, a halogenated alkyl group, a halogenated aryl group, -CO-R 1 , -CO-OR 2 , -CO-NR 3 R 3k , -CO-S-R 4 , -CS-R 5 , -CS-O-R 6 , -CS-S-R 7 , -SO-R 8 , -SO 2 -R 9 (R 1 , R 2 , R 3 , R 3k , R 4 , R 5 , R 6 , R 7 , R 8 and R 9 each independently represent an optionally substituted hydrocarbon group or a halogen atom.), —OCF 3 , -SCF 3 , -SF 5 , -SF 3 , -SO 2 H or -SO 3 H. 及びRから選ばれる少なくとも1つが、シアノ基である請求項3に記載の化合物。 4. The compound according to claim 3, wherein at least one selected from R1 and R2 is a cyano group. 式(I)で表される部分構造を含むカチオンが、式(I-A)で表されるカチオンである請求項1~4のいずれかに記載の化合物。
Figure 2023013166000043

[式(I-A)中、
環W、環W、R及びRは、それぞれ上記と同じ意味を表す。
、R、R及びRは、それぞれ独立して、水素原子、複素環基、置換基を有していてもよい炭素数1~24の炭化水素基を表し、該炭化水素基に含まれる-CH-又は=CH-は、-O-又は-S-に置換されていてもよい。
及びRは互いに連結して環を形成してもよい。
及びRは互いに連結して環を形成してもよい。]
5. The compound according to any one of claims 1 to 4, wherein the cation containing the partial structure represented by formula (I) is a cation represented by formula (IA).
Figure 2023013166000043

[In the formula (IA),
Ring W 1 , ring W 2 , R 1 and R 2 each have the same meaning as above.
R 3 , R 4 , R 5 and R 6 each independently represent a hydrogen atom, a heterocyclic group or a hydrocarbon group having 1 to 24 carbon atoms which may have a substituent, and the hydrocarbon group -CH 2 - or =CH- contained in may be substituted with -O- or -S-.
R 3 and R 4 may be linked together to form a ring.
R5 and R6 may be linked together to form a ring. ]
式(I-A)で表されるカチオンが、式(I-B)で表されるカチオン又は式(I-C)で表されるカチオンである請求項5に記載の化合物。
Figure 2023013166000044

[式(I-B)及び式(I-C)中、
環W、環W、R及びRは、それぞれ上記と同じ意味を表す。
Ar及びArは、それぞれ独立して、置換基を有していてもよい炭素数6~24の芳香族炭化水素基を表す。
4A及びR6Aは、それぞれ独立して、水素原子又は置換基を有していてもよい炭素数6~24の炭化水素基を表す。
環W及び環Wは、それぞれ独立して、含窒素複素環基を表す。]
6. The compound according to claim 5, wherein the cation represented by formula (IA) is a cation represented by formula (IB) or a cation represented by formula (IC).
Figure 2023013166000044

[In formula (IB) and formula (IC),
Ring W 1 , ring W 2 , R 1 and R 2 each have the same meaning as above.
Ar 1 and Ar 2 each independently represent an optionally substituted aromatic hydrocarbon group having 6 to 24 carbon atoms.
R 4A and R 6A each independently represent a hydrogen atom or a hydrocarbon group having 6 to 24 carbon atoms which may have a substituent.
Ring W3 and ring W4 each independently represent a nitrogen - containing heterocyclic group. ]
波長400nm~波長700nmの間に極大吸収を示す請求項1~6のいずれかに記載の化合物。 The compound according to any one of claims 1 to 6, which exhibits a maximum absorption between wavelengths of 400 nm and 700 nm. 請求項1~7のいずれかに記載の化合物と樹脂とを含む組成物。 A composition comprising the compound according to any one of claims 1 to 7 and a resin. 請求項1~7のいずれかに記載の化合物と重合性モノマーとを含む組成物。 A composition comprising the compound according to any one of claims 1 to 7 and a polymerizable monomer. 請求項8又は9に記載の組成物から成形される成形体。 A molded article molded from the composition according to claim 8 or 9. 請求項1~7のいずれかに記載の化合物によって染色された染色物。 A dyed article dyed with the compound according to any one of claims 1 to 7. 下記式(I)で表される部分構造を含むカチオンを含む光学層。
Figure 2023013166000045

[式(I)中、
環W及び環Wは、それぞれ独立して、少なくとも1つの二重結合を環の構成要素として有する環構造を表す。
環W及び環Wは、それぞれ独立して、置換基を有していてもよい。
及びRは、それぞれ独立して、水素原子又は1価の置換基を表し、R及びRの少なくとも一方は1価の置換基である。]
An optical layer containing a cation containing a partial structure represented by the following formula (I).
Figure 2023013166000045

[in the formula (I),
Ring W 1 and ring W 2 each independently represent a ring structure having at least one double bond as a ring constituent.
Ring W 1 and ring W 2 may each independently have a substituent.
R 1 and R 2 each independently represent a hydrogen atom or a monovalent substituent, and at least one of R 1 and R 2 is a monovalent substituent. ]
JP2021117147A 2021-07-15 2021-07-15 Compound Pending JP2023013166A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2021117147A JP2023013166A (en) 2021-07-15 2021-07-15 Compound
PCT/KR2022/010249 WO2023287209A1 (en) 2021-07-15 2022-07-13 Compound, composition comprising same, molded product, dyed product, and optical layer
KR1020237026420A KR20230131477A (en) 2021-07-15 2022-07-13 Compounds, compositions containing them, molded bodies, dyes, and optical layers
CN202280029107.1A CN117177966A (en) 2021-07-15 2022-07-13 Compound, composition comprising the compound, molded body, dye and optical layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2021117147A JP2023013166A (en) 2021-07-15 2021-07-15 Compound

Publications (1)

Publication Number Publication Date
JP2023013166A true JP2023013166A (en) 2023-01-26

Family

ID=84920235

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021117147A Pending JP2023013166A (en) 2021-07-15 2021-07-15 Compound

Country Status (4)

Country Link
JP (1) JP2023013166A (en)
KR (1) KR20230131477A (en)
CN (1) CN117177966A (en)
WO (1) WO2023287209A1 (en)

Also Published As

Publication number Publication date
WO2023287209A1 (en) 2023-01-19
CN117177966A (en) 2023-12-05
KR20230131477A (en) 2023-09-13

Similar Documents

Publication Publication Date Title
JP6027962B2 (en) Polymerizable liquid crystal composition, polarized luminescent paint, novel naphtholactam derivative, novel coumarin derivative, novel nile red derivative and novel anthracene derivative
TWI592394B (en) A compound containing a structural unit derived from a vinyl ether compound
JP6294536B2 (en) Liquid crystal composition
KR20220163974A (en) compound
KR20110090830A (en) Novel fluorinated compounds, composition comprising the same and method for preparing of film using the same
CN113490661B (en) Compounds of formula (I)
JP2023013166A (en) Compound
TW202138347A (en) Compound
TWI759346B (en) Compound, liquid crystal composition, optical film, polarizing plate and optical display
JP2023013209A (en) Compound
WO2022168754A1 (en) Compound
JP2021006517A (en) Compound
TWI837310B (en) Compound
TWI833915B (en) Compound
TW202235541A (en) Compositioin
WO2015147126A1 (en) Pentaarylbiimidazole compound and production method for said compound
JP6460701B2 (en) Compound containing structural unit derived from vinyl ether compound
KR20190063855A (en) Polymethine compound