JP2022548648A - Clean isolation valve for reduced dead volume - Google Patents

Clean isolation valve for reduced dead volume Download PDF

Info

Publication number
JP2022548648A
JP2022548648A JP2022517151A JP2022517151A JP2022548648A JP 2022548648 A JP2022548648 A JP 2022548648A JP 2022517151 A JP2022517151 A JP 2022517151A JP 2022517151 A JP2022517151 A JP 2022517151A JP 2022548648 A JP2022548648 A JP 2022548648A
Authority
JP
Japan
Prior art keywords
suction line
gas
line
gas distribution
downstream end
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022517151A
Other languages
Japanese (ja)
Other versions
JP7473635B2 (en
Inventor
アシュトシュ アガルワル,
サンジーヴ バルジャ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022548648A publication Critical patent/JP2022548648A/en
Application granted granted Critical
Publication of JP7473635B2 publication Critical patent/JP7473635B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)

Abstract

ガス分配装置、処理チャンバ、およびデッドボリュームのないバルブを使用する方法について説明する。バルブは、上流端部と下流端部とをもつ第1の吸入ラインと、第1の吸入ラインに接続する下流端部をもつ第2の吸入ラインとを有する。第2の吸入ラインの下流端部にあるシール面は、第1の吸入ラインを第2の吸入ラインから分離し、第1の吸入ラインと第2の吸入ラインとの間の流体連結を防ぐ。【選択図】図5Gas distribution apparatus, process chambers, and methods of using dead-volume-free valves are described. The valve has a first suction line with an upstream end and a downstream end, and a second suction line with a downstream end that connects to the first suction line. A sealing surface at the downstream end of the second suction line separates the first suction line from the second suction line and prevents fluid communication between the first suction line and the second suction line. [Selection drawing] Fig. 5

Description

本開示の実施形態は、一般に、アイソレーションバルブに関する。特に、本開示の実施形態は、低減されたデッドボリューム(dead volume)をもつ、半導体製造のためのアイソレーションバルブに関する。 Embodiments of the present disclosure generally relate to isolation valves. In particular, embodiments of the present disclosure relate to isolation valves for semiconductor manufacturing with reduced dead volume.

様々なバルブを含むガス流路は半導体製造産業において一般的である。現在の流路構成は、プロセスガスが清浄なガスマニホルド中に逆流するのを防ぐためのパージを必要とするデッドボリュームを有する。これは、ガスライン中の気相反応を防ぐために反応ガスが採用される場合に特に重要である。反応生成物は、化学反応または目詰まりを引き起こすことによって機器に損傷を与えることがある。 Gas flow paths containing various valves are common in the semiconductor manufacturing industry. Current flow path configurations have dead volumes that require purging to prevent process gas from flowing back into the clean gas manifold. This is especially important when reactant gases are employed to prevent gas phase reactions in the gas lines. Reaction products can damage equipment by causing chemical reactions or clogging.

さらに、気相反応からプロセスライン中に残された残留物は後続のプロセスにかなりの悪影響を及ぼすことがある。残留物は、後続のガスまたはプロセス条件と反応し、不要な生成物を生成し得る。残留物はまた、プロセス空間に入り、基板上に微粒子を形成し、製造されているデバイスに損傷を与えることがある。製造機器は、かなりのダウンタイムとスループットの損失とにつながる、目詰まりしたラインとバルブとを除き、交換するために広範な保守にかけられる必要がある。 Additionally, residues left in the process line from gas phase reactions can have a significant adverse effect on subsequent processes. Residues can react with subsequent gases or process conditions to produce unwanted products. The residue can also enter the process space, form particulates on the substrate, and damage the device being manufactured. Manufacturing equipment must undergo extensive maintenance to remove and replace clogged lines and valves, leading to significant downtime and loss of throughput.

したがって、デッドボリュームを最小にしおよび/または半導体製造中の逆流を防ぐための装置および方法が必要である。 Therefore, what is needed is an apparatus and method for minimizing dead volume and/or preventing backflow during semiconductor manufacturing.

本開示の1つまたは複数の実施形態は、第1の吸入ライン(inlet line)と第2の吸入ラインとをもつバルブを備えるガス分配装置を対象とする。第1の吸入ラインは、第1の吸入ラインの長さを規定する上流端部と下流端部とを有する。第2の吸入ラインは、第2の吸入ラインの長さを規定する上流端部と下流端部とを有する。吸入ラインの下流端部は第1の吸入ラインの長さに沿って第1の吸入ラインと接続する。シール面(sealing surface)が第2の吸入ラインの下流端部にある。シール面は、第1の吸入ラインと第2の吸入ラインとの間の流体連結を防ぐために第1の吸入ラインと第2の吸入ラインとを分離するように構成される。 One or more embodiments of the present disclosure are directed to a gas distribution apparatus that includes a valve with a first inlet line and a second inlet line. The first suction line has an upstream end and a downstream end that define the length of the first suction line. The second suction line has an upstream end and a downstream end that define the length of the second suction line. The downstream end of the suction line connects with the first suction line along the length of the first suction line. A sealing surface is at the downstream end of the second suction line. The sealing surface is configured to separate the first and second suction lines to prevent fluid communication between the first and second suction lines.

本開示の追加の実施形態は、第1の吸入ラインと第2の吸入ラインとをもつバルブをもつガス分配装置を備える処理チャンバを対象とする。第1の吸入ラインは、第1の吸入ラインの長さを規定する上流端部と下流端部とを有する。第2の吸入ラインは、第2の吸入ラインの長さを規定する上流端部と下流端部とを有する。吸入ラインの下流端部は第1の吸入ラインの長さに沿って第1の吸入ラインと接続する。シール面が第2の吸入ラインの下流端部にある。シール面は、第1の吸入ラインと第2の吸入ラインとの間の流体連結を防ぐために第1の吸入ラインと第2の吸入ラインを分離するように構成される。ガス分配プレートが第1の吸入ラインの第2の端部と流体連結している。ガス分配プレートは、それを通ってガスの流れがガス分配プレートを通ることを可能にする複数の開孔をもつ前面を有する。スペーサがガス分配プレートの周りにある。スペーサは処理チャンバの上部中の開孔内にある。基板支持体が処理チャンバの内部にあり、ガス分配プレートの前面からある距離離間した支持体表面を有する。 Additional embodiments of the present disclosure are directed to a processing chamber comprising a gas distribution apparatus having a valve with a first suction line and a second suction line. The first suction line has an upstream end and a downstream end that define the length of the first suction line. The second suction line has an upstream end and a downstream end that define the length of the second suction line. The downstream end of the suction line connects with the first suction line along the length of the first suction line. A sealing surface is at the downstream end of the second suction line. The sealing surface is configured to separate the first and second suction lines to prevent fluid communication between the first and second suction lines. A gas distribution plate is in fluid communication with the second end of the first suction line. The gas distribution plate has a front face with a plurality of apertures through which gas flow is allowed to pass through the gas distribution plate. A spacer surrounds the gas distribution plate. Spacers are in openings in the top of the processing chamber. A substrate support is inside the processing chamber and has a support surface spaced a distance from the front surface of the gas distribution plate.

本開示のさらなる実施形態は、第1のガスをデッドボリュームのないバルブの第1の吸入ラインを通して処理チャンバ中に流すことを含む処理方法を対象とする。デッドボリュームのないバルブは第1の吸入ラインと第2の吸入ラインとを備える。第1の吸入ラインは、第1の吸入ラインの長さを規定する上流端部と下流端部とを有する。第2の吸入ラインは、第2の吸入ラインの長さを規定する上流端部と下流端部とを有する。吸入ラインの下流端部は第1の吸入ラインの長さに沿って第1の吸入ラインと接続する。シール面が第2の吸入ラインの下流端部にある。シール面は、第1の吸入ラインと第2の吸入ラインとの間の流体連結を防ぐために第1の吸入ラインと第2の吸入ラインとを分離するように構成される。第2のガスがデッドボリュームのないバルブの第2の吸入ラインを通して処理チャンバ中に流される。第1のガスは第2の吸入ライン中に流れない。第1のガスと第2のガスとの間を切り替えることは、バルブから残留ガスを除くパージステップを含まない。 A further embodiment of the present disclosure is directed to a processing method that includes flowing a first gas through a first intake line of a non-dead volume valve into a processing chamber. The dead volume free valve comprises a first suction line and a second suction line. The first suction line has an upstream end and a downstream end that define the length of the first suction line. The second suction line has an upstream end and a downstream end that define the length of the second suction line. The downstream end of the suction line connects with the first suction line along the length of the first suction line. A sealing surface is at the downstream end of the second suction line. The sealing surface is configured to separate the first and second suction lines to prevent fluid communication between the first and second suction lines. A second gas is flowed into the processing chamber through a second inlet line of the non-dead volume valve. The first gas does not flow into the second intake line. Switching between the first gas and the second gas does not include a purge step to remove residual gas from the valve.

上記で具陳された本開示の特徴が詳細に理解され得るように、上記で手短に要約した、本開示のより詳細な説明が、そのうちのいくつかが添付の図面に示されている実施形態を参照することによって得られ得る。しかしながら、本開示は他の等しく効果的な実施形態を認め得るので、添付の図面は、本開示の一般的な実施形態を示しているにすぎず、したがって本開示の範囲を限定すると考えられるべきではないことに留意されたい。 So that the features of the disclosure set forth above may be understood in detail, the more detailed description of the disclosure, briefly summarized above, is set forth in the embodiments, some of which are illustrated in the accompanying drawings. can be obtained by referring to However, as the disclosure is capable of other equally effective embodiments, the attached drawings show only general embodiments of the disclosure and should therefore be considered to limit the scope of the disclosure. Note that it is not

本開示の1つまたは複数の実施形態による、処理チャンバの断面等角図を示す図である。[0014] Figure 2 illustrates a cross-sectional isometric view of a processing chamber, in accordance with one or more embodiments of the present disclosure; 本開示の1つまたは複数の実施形態による、処理チャンバの断面図を示す図である。[0014] Figure 2 illustrates a cross-sectional view of a processing chamber, in accordance with one or more embodiments of the present disclosure; 本開示の1つまたは複数の実施形態による、処理ステーションの分解断面図である。2 is an exploded cross-sectional view of a processing station, according to one or more embodiments of the present disclosure; FIG. 本開示の1つまたは複数の実施形態による、処理プラットフォームの概略表現である。1 is a schematic representation of a processing platform, according to one or more embodiments of the present disclosure; 本開示の1つまたは複数の実施形態による、デッドボリュームのないバルブをもつガス分配装置の概略表現である。1 is a schematic representation of a gas distribution apparatus with no dead volume valves in accordance with one or more embodiments of the present disclosure; 本開示の1つまたは複数の実施形態による、シール位置におけるガスバルブの概略表現である。1 is a schematic representation of a gas valve in a sealed position, according to one or more embodiments of the present disclosure; 本開示の1つまたは複数の実施形態による、開位置におけるガスバルブの概略表現である。1 is a schematic representation of a gas valve in an open position, according to one or more embodiments of the present disclosure;

本開示のいくつかの例示的な実施形態について説明する前に、本開示は、以下の説明に記載されている構成またはプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実施または実行されることが可能である。 Before describing several exemplary embodiments of the present disclosure, it is to be understood that the present disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or of being carried out in various ways.

本明細書および添付の特許請求の範囲で使用する際、「基板」という用語は、プロセスがそれに作用する表面または表面の一部分を指す。また、基板への言及は、文脈が別段に明示しない限り、基板の一部分のみを指すこともあることが当業者によって理解されよう。さらに、基板上に堆積することへの言及は、ベア基板と、1つまたは複数の膜またはフィーチャがその上に堆積または形成された基板の両方を意味することができる。 As used in this specification and the appended claims, the term "substrate" refers to a surface or portion of a surface upon which a process acts. It will also be appreciated by those skilled in the art that references to a substrate may refer to only a portion of the substrate unless the context clearly indicates otherwise. Further, references to depositing on a substrate can refer to both a bare substrate and a substrate having one or more films or features deposited or formed thereon.

本明細書で使用する「基板」は、任意の基板、または作製プロセス中にその上で膜処理が実行される基板上に形成された材料表面を指す。たとえば、処理がその上で実行され得る基板表面は、適用例に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素ドープ酸化ケイ素、アモルファスシリコン、ドープシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、ならびに金属、金属窒化物、金属合金、および他の導電性材料など、任意の他の材料などの材料を含む。基板は、限定はしないが、半導体ウエハを含む。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニーリング、UV硬化、電子ビーム硬化および/または焼成するための前処理プロセスにさらされ得る。基板自体の表面上に直接膜処理することに加えて、本開示では、開示されている膜処理ステップのいずれかも、以下により詳細に開示されているように、基板上に形成された下層上で実行され得、「基板表面」という用語は、文脈が示すように、そのような下層を含むものとする。したがって、たとえば、膜/層または部分膜/層が基板表面上に堆積されている場合、新たに堆積された膜/層の露出した表面が基板表面になる。 As used herein, "substrate" refers to any substrate or material surface formed on the substrate upon which film processing is performed during the fabrication process. For example, substrate surfaces on which processing may be performed include silicon, silicon oxide, strained silicon, silicon-on-insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped silicon, germanium, gallium, depending on the application. Including materials such as arsenic, glass, sapphire, and any other material such as metals, metal nitrides, metal alloys, and other conductive materials. Substrates include, but are not limited to, semiconductor wafers. The substrate may be subjected to pretreatment processes to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface. In addition to direct film processing on the surface of the substrate itself, in the present disclosure any of the disclosed film processing steps may also be performed on underlying layers formed on the substrate, as disclosed in more detail below. As may be practiced, the term "substrate surface" shall include such underlying layers as the context indicates. Thus, for example, if a film/layer or partial film/layer is deposited on a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

本明細書および添付の特許請求の範囲で使用する際、「前駆体」、「反応物」、「反応性ガス」などの用語は、基板表面と、または基板表面上に形成された膜と反応することができる任意のガス種を指すために互換的に使用される。 As used herein and in the appended claims, the terms "precursor," "reactant," "reactive gas," and the like, refer to any gas that reacts with the substrate surface or with a film formed on the substrate surface. used interchangeably to refer to any gas species that can

本開示は、単一ウエハまたは(バッチとも呼ばれる)マルチウエハプロセスチャンバとともに使用するための基板支持体を提供する。図1および図2は本開示の1つまたは複数の実施形態による処理チャンバ100を示す。図1は、本開示の1つまたは複数の実施形態による、断面等角図として示された処理チャンバ100を示す。図2は、本開示の1つまたは複数の実施形態による処理チャンバ100を断面で示す。したがって、本開示のいくつかの実施形態は、基板支持体200を組み込んだ処理チャンバ100を対象とする。 The present disclosure provides substrate supports for use with single-wafer or multi-wafer (also called batch) process chambers. 1 and 2 show a processing chamber 100 according to one or more embodiments of the present disclosure. FIG. 1 shows a processing chamber 100 shown as a cross-sectional isometric view, according to one or more embodiments of the present disclosure. FIG. 2 shows a processing chamber 100 in cross section according to one or more embodiments of the present disclosure. Accordingly, some embodiments of the present disclosure are directed to processing chambers 100 incorporating substrate support 200 .

処理チャンバ100は、壁104と底部106とをもつハウジング102を有する。
ハウジング102は、上部プレート300とともに、処理容量とも呼ばれる内部容量109を規定する。
Processing chamber 100 has a housing 102 with walls 104 and a bottom 106 .
The housing 102 together with the top plate 300 define an internal volume 109, also called a processing volume.

示された処理チャンバ100は複数の処理ステーション110を含む。処理ステーション110は、ハウジング102の内部容量109中に位置し、基板支持体200の回転軸211の周りに円形構成で配置される。各処理ステーション110は、前面114を有する(ガスインジェクタとも呼ばれる)ガス分配プレート112を備える。いくつかの実施形態では、ガス分配プレート112の各々の前面114は実質的に同一平面である。処理ステーション110は、処理がその中で行われることができる領域として規定される。たとえば、いくつかの実施形態では、処理ステーション110は、以下で説明するように、基板支持体200の支持体表面231と、ガス分配プレート112の前面114とによって制限された領域として規定される。示されている実施形態では、ヒーター230は、基板支持体表面として働き、基板支持体200の一部を形成する。 The processing chamber 100 shown includes a plurality of processing stations 110 . The processing stations 110 are located within the interior volume 109 of the housing 102 and are arranged in a circular configuration around the axis of rotation 211 of the substrate support 200 . Each processing station 110 includes a gas distribution plate 112 (also called gas injectors) having a front surface 114 . In some embodiments, the front surface 114 of each gas distribution plate 112 is substantially coplanar. A processing station 110 is defined as an area in which processing can take place. For example, in some embodiments, processing station 110 is defined as an area bounded by support surface 231 of substrate support 200 and front surface 114 of gas distribution plate 112, as described below. In the illustrated embodiment, heater 230 serves as a substrate support surface and forms part of substrate support 200 .

処理ステーション110は、任意の好適なプロセスを実行し、任意の好適なプロセス条件を与えるように構成され得る。使用されるガス分配プレート112のタイプは、たとえば、実行される処理のタイプおよびシャワーヘッドまたはガスインジェクタのタイプに依存する。たとえば、原子層堆積装置として動作するように構成された処理ステーション110はシャワーヘッドまたは渦タイプガスインジェクタを有し得る。一方で、プラズマステーションとして動作するように構成された処理ステーション110は、プラズマガスがウエハに向かって流れることを可能にしながらプラズマを生成するための1つまたは複数の電極および/または接地プレート構成を有し得る。図2に示された実施形態は、図面の左側(処理ステーション110a)に、図面の右側(処理ステーション110b)とは異なるタイプの処理ステーション110を有する。好適な処理ステーション110は、限定はしないが、熱処理ステーション、マイクロ波プラズマ、3電極CCP、ICP、平行プレートCCP、UV露光、レーザー処理、ポンピングチャンバ、アニーリングステーションおよび計測ステーションを含む。 Processing station 110 may be configured to perform any suitable process and provide any suitable process conditions. The type of gas distribution plate 112 used depends, for example, on the type of processing being performed and the type of showerhead or gas injector. For example, a processing station 110 configured to operate as an atomic layer deposition device may have a showerhead or vortex-type gas injector. A processing station 110 configured to operate as a plasma station, on the other hand, includes one or more electrode and/or ground plate configurations for generating a plasma while allowing plasma gases to flow toward the wafer. can have The embodiment shown in FIG. 2 has a different type of processing station 110 on the left side of the drawing (processing station 110a) than on the right side of the drawing (processing station 110b). Suitable processing stations 110 include, but are not limited to, thermal processing stations, microwave plasma, three electrode CCP, ICP, parallel plate CCP, UV exposure, laser processing, pumping chambers, annealing stations and metrology stations.

図3は、本開示の1つまたは複数の実施形態による、処理ステーション110またはプロセスチャンバにおいて使用するためのガス分配アセンブリ105の分解図を示す。図3に示された実施形態は、一般的な概略であり、詳細(たとえば、ガスチャネル)を省略していることを当業者は認識するであろう。示されているガス分配アセンブリ105は、3つの構成要素、すなわち、ガス分配プレート112と、ふた180と、随意のスペーサ330とを備える。スペーサ330はポンプ/パージスペーサ、インサートまたはポンプ/パージインサートとも呼ばれる。いくつかの実施形態では、スペーサ330は真空(排気)に接続されるか、または真空(排気)と流体連結している。いくつかの実施形態では、スペーサ330は、パージガス源に接続されるか、またはパージガス源と流体連結している。 FIG. 3 shows an exploded view of gas distribution assembly 105 for use in processing station 110 or process chamber, according to one or more embodiments of the present disclosure. Those skilled in the art will recognize that the embodiment shown in FIG. 3 is a general schematic and omits details (eg, gas channels). The gas distribution assembly 105 shown comprises three components: gas distribution plate 112 , lid 180 and optional spacer 330 . Spacers 330 are also referred to as pump/purge spacers, inserts, or pump/purge inserts. In some embodiments, spacer 330 is connected to or in fluid communication with a vacuum (exhaust). In some embodiments, spacer 330 is connected to or in fluid communication with a purge gas source.

上部プレート300中の開口310は、一様にサイズ決定されるか、または異なるサイズを有し得る。異なるサイズ/形状のガス分配プレート112は、開口310からガス分配プレート112への移行に好適に成形されたポンプ/パージスペーサ330とともに使用され得る。たとえば、図示のように、ポンプ/パージスペーサ330は、側壁335をもつ上部331と底部333とを含む。上部プレート300中の開口310中に挿入されるとき、レッジ334は、開口310中に配置されるように構成される。 The openings 310 in the top plate 300 can be uniformly sized or have different sizes. Different sized/shaped gas distribution plates 112 may be used with pump/purge spacers 330 suitably shaped to transition from opening 310 to gas distribution plate 112 . For example, as shown, pump/purge spacer 330 includes top 331 with sidewalls 335 and bottom 333 . Ledge 334 is configured to be positioned in opening 310 when inserted into opening 310 in top plate 300 .

ポンプ/パージスペーサ330は、ガス分配プレート112がその中に挿入され得る開口339を含む。示されているガス分配プレート112は、ポンプ/パージスペーサ330の上部331に隣接する裏面332によって形成されたレッジと接触し得るフランジ342を有する。ガス分配プレート112の直径または幅は、ポンプ/パージスペーサ330の開口339内に嵌合することができる任意の好適なサイズであり得る。これにより、様々なタイプの(ガスインジェクタとも呼ばれる)ガス分配プレート112が上部プレート300中の同じ開口310内で使用されることが可能になる。 Pump/purge spacer 330 includes openings 339 into which gas distribution plate 112 can be inserted. The gas distribution plate 112 shown has a flange 342 that can contact the ledge formed by the back surface 332 adjacent the top 331 of the pump/purge spacer 330 . The diameter or width of gas distribution plate 112 may be any suitable size that can fit within opening 339 of pump/purge spacer 330 . This allows different types of gas distribution plates 112 (also called gas injectors) to be used within the same openings 310 in the top plate 300 .

図4は、本開示の1つまたは複数の実施形態による処理プラットフォーム400を示す。図4に示された実施形態は、1つの可能な構成を表すものにすぎず、本開示の範囲を限定するとして取られるべきでない。たとえば、いくつかの実施形態では、処理プラットフォーム400は、処理チャンバ100、バッファステーション420および/またはロボット430構成のうちの、図示の実施形態とは異なる数の1つまたは複数を有する。 FIG. 4 illustrates a processing platform 400 according to one or more embodiments of the disclosure. The embodiment shown in FIG. 4 represents only one possible configuration and should not be taken as limiting the scope of the present disclosure. For example, in some embodiments, processing platform 400 has a different number of one or more of processing chambers 100, buffer stations 420 and/or robot 430 configurations than the illustrated embodiment.

例示的な処理プラットフォーム400は、複数の側面411、412、413、414を有する中央トランスファーステーション410を含む。示されているトランスファーステーション410は、第1の側面411、第2の側面412、第3の側面413および第4の側面414を有する。4つの側面が示されているが、たとえば、処理プラットフォーム400の全体的構成に応じて、トランスファーステーション410に対して任意の好適な数の側面があり得ることを当業者は理解するであろう。いくつかの実施形態では、トランスファーステーション410は、3つの側面、4つの側面、5つの側面、6つの側面、7つの側面または8つの側面を有する。 The exemplary processing platform 400 includes a central transfer station 410 having multiple sides 411 , 412 , 413 , 414 . The transfer station 410 shown has a first side 411 , a second side 412 , a third side 413 and a fourth side 414 . Although four sides are shown, those skilled in the art will appreciate that there may be any suitable number of sides for transfer station 410 depending, for example, on the overall configuration of processing platform 400 . In some embodiments, the transfer station 410 has 3 sides, 4 sides, 5 sides, 6 sides, 7 sides, or 8 sides.

トランスファーステーション410は、その中に配置されたロボット430を有する。ロボット430は、処理中にウエハを移動することが可能な任意の好適なロボットであり得る。いくつかの実施形態では、ロボット430は第1のアーム431と第2のアーム432とを有する。第1のアーム431および第2のアーム432は他のアームとは独立して移動され得る。第1のアーム431および第2のアーム432はx-y平面内でおよび/またはz軸に沿って移動することができる。いくつかの実施形態では、ロボット430は第3のアーム(図示せず)または第4のアーム(図示せず)を含む。アームの各々は、他のアームとは独立して移動することができる。 Transfer station 410 has a robot 430 positioned therein. Robot 430 may be any suitable robot capable of moving wafers during processing. In some embodiments, robot 430 has first arm 431 and second arm 432 . First arm 431 and second arm 432 can be moved independently of the other arms. First arm 431 and second arm 432 can move in the xy plane and/or along the z-axis. In some embodiments, robot 430 includes a third arm (not shown) or a fourth arm (not shown). Each arm can move independently of the other arms.

図示された実施形態は6つの処理チャンバ100を含み、2つの処理チャンバは中央トランスファーステーション410の第2の側面412、第3の側面413および第4の側面414の各々に接続される。処理チャンバ100の各々は、異なる処理を実行するように構成され得る。 The illustrated embodiment includes six processing chambers 100 , two of which are connected to each of second side 412 , third side 413 and fourth side 414 of central transfer station 410 . Each of the processing chambers 100 can be configured to perform different processes.

処理プラットフォーム400はまた、中央トランスファーステーション410の第1の側面411に接続された1つまたは複数のバッファステーション420を含むことができる。バッファステーション420は同じまたは異なる機能を実行することができる。たとえば、バッファステーションは、処理され、元のカセットに戻されるウエハのカセットを保持し得るか、またはバッファステーションのうちの1つは、処理の後に他のバッファステーションに移動される処理されていないウエハを保持し得る。いくつかの実施形態では、バッファステーションのうちの1つまたは複数は、処理の前および/または処理の後にウエハを前処理、予熱または洗浄するように構成される。 Processing platform 400 may also include one or more buffer stations 420 connected to first side 411 of central transfer station 410 . Buffer station 420 may perform the same or different functions. For example, the buffer stations may hold cassettes of wafers that are processed and returned to their original cassettes, or one of the buffer stations may hold unprocessed wafers that are moved to other buffer stations after processing. can hold In some embodiments, one or more of the buffer stations are configured to pretreat, preheat, or clean wafers before and/or after processing.

処理プラットフォーム400はまた、中央トランスファーステーション410と処理チャンバ100のいずれかとの間に1つまたは複数のスリットバルブ418を含み得る。スリットバルブ418は、中央トランスファーステーション410内の環境から処理チャンバ100内の内部容量を隔離するために開閉することができる。たとえば、処理チャンバが処理中にプラズマを生成する場合、漂遊プラズマがトランスファーステーション中のロボットに損傷を与えるのを防ぐために、その処理チャンバのためのスリットバルブを閉じることが有用であり得る。 Processing platform 400 may also include one or more slit valves 418 between central transfer station 410 and any of processing chambers 100 . Slit valve 418 can be opened and closed to isolate the internal volume within processing chamber 100 from the environment within central transfer station 410 . For example, if a processing chamber generates plasma during processing, it may be useful to close the slit valve for that processing chamber to prevent stray plasma from damaging the robot in the transfer station.

処理プラットフォーム400は、ウエハまたはウエハのカセットが処理プラットフォーム400にロードされることを可能にするために、ファクトリインターフェース450に接続され得る。ファクトリインターフェース450内のロボット455は、ウエハまたはカセットをバッファステーションにおよびバッファステーションから移動するために使用され得る。ウエハまたはカセットは中央トランスファーステーション410中のロボット430によって処理プラットフォーム400内で移動され得る。いくつかの実施形態では、ファクトリインターフェース450は別のクラスタツール(すなわち、別の複数チャンバ処理プラットフォーム)のトランスファーステーションである。 Processing platform 400 may be connected to factory interface 450 to allow wafers or cassettes of wafers to be loaded into processing platform 400 . A robot 455 within the factory interface 450 can be used to move wafers or cassettes to and from the buffer station. Wafers or cassettes may be moved within processing platform 400 by robot 430 in central transfer station 410 . In some embodiments, factory interface 450 is a transfer station of another cluster tool (ie, another multi-chamber processing platform).

コントローラ495が与えられ、それの動作を制御するために処理プラットフォーム400の様々な構成要素に結合され得る。コントローラ495は、処理プラットフォーム400全体を制御する単一のコントローラか、または処理プラットフォーム400の個々の部分を制御する複数のコントローラであり得る。たとえば、いくつかの実施形態の処理プラットフォーム400は、個々の処理チャンバ100、中央トランスファーステーション410、ファクトリインターフェース450および/またはロボット430のうちの1つまたは複数のための別個のコントローラを備える。 A controller 495 is provided and may be coupled to various components of processing platform 400 to control its operation. Controller 495 may be a single controller controlling the entire processing platform 400 or multiple controllers controlling individual portions of processing platform 400 . For example, the processing platform 400 of some embodiments comprises separate controllers for one or more of the individual processing chambers 100 , central transfer station 410 , factory interface 450 and/or robot 430 .

いくつかの実施形態では、処理チャンバ100は、第1の温度または第2の温度のうちの1つまたは複数を制御するように構成された、複数の実質的に同一平面の支持体表面231に接続されるコントローラ495をさらに備える。1つまたは複数の実施形態では、コントローラ495は基板支持200の移動速度を制御する(図2)。 In some embodiments, the processing chamber 100 includes a plurality of substantially coplanar support surfaces 231 configured to control one or more of the first temperature or the second temperature. It further comprises a connected controller 495 . In one or more embodiments, controller 495 controls the speed of movement of substrate support 200 (FIG. 2).

いくつかの実施形態では、コントローラ495は、中央処理ユニット(CPU)496と、メモリ497と、サポート回路498とを含む。コントローラ495は、処理プラットフォーム400を直接、または、特定のプロセスチャンバおよび/もしくはサポートシステム構成要素に関連付けられたコンピュータ(もしくはコントローラ)を介して制御し得る。 In some embodiments, controller 495 includes a central processing unit (CPU) 496 , memory 497 and support circuitry 498 . Controller 495 may control processing platform 400 directly or through computers (or controllers) associated with particular process chambers and/or support system components.

コントローラ495は、様々なチャンバおよびサブプロセッサを制御するために工業環境において使用され得る任意の形態の汎用コンピュータプロセッサのうちの1つであり得る。コントローラ495のメモリ497またはコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光ストレージ媒体(たとえば、コンパクトディスクまたはデジタルビデオディスク)、フラッシュドライブ、または、ローカルもしくはリモートの任意の他の形態のデジタルストレージなど、容易に利用可能なメモリのうちの1つまたは複数であり得る。メモリ497は、処理プラットフォーム400のパラメータと構成要素とを制御するためにプロセッサ(CPU496)によって動作可能な命令セットを保持することができる。 Controller 495 can be one of any form of general purpose computer processor that can be used in an industrial environment to control the various chambers and sub-processors. The memory 497 or computer readable medium of the controller 495 may be random access memory (RAM), read only memory (ROM), floppy disk, hard disk, optical storage medium (eg, compact disk or digital video disk), flash drive, or local or any other form of digital storage remotely, one or more of which are readily available. Memory 497 may retain a set of instructions operable by a processor (CPU 496 ) to control parameters and components of processing platform 400 .

サポート回路498は、従来の様式でプロセッサをサポートするためにCPU496に結合される。これらの回路は、キャッシュ、電源、クロック回路、入出力回路、およびサブシステムなどを含む。1つまたは複数の処理は、プロセッサによって実行または呼び出されたとき、プロセッサに処理プラットフォーム400または個々の処理チャンバの動作を本明細書で説明する様式で制御させるソフトウェアルーチンとしてメモリ498に記憶され得る。ソフトウェアルーチンはまた、CPU496によって制御されるハードウェアから遠隔に位置する第2のCPU(図示せず)によって記憶および/または実行され得る。 Support circuitry 498 is coupled to CPU 496 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuits, subsystems, and the like. One or more processes may be stored in memory 498 as software routines that, when executed or invoked by the processor, cause the processor to control the operation of the processing platform 400 or individual processing chambers in the manner described herein. The software routines may also be stored and/or executed by a second CPU (not shown) located remotely from the hardware controlled by CPU 496 .

本開示のいくつかのまたはすべてのプロセスおよび方法はまた、ハードウェアにおいて実行され得る。したがって、プロセスは、ソフトウェアにおいて実装され、たとえば、特定用途向け集積回路もしくは他のタイプのハードウェア実装としてハードウェアにおいて、またはソフトウェアとハードウェアとの組合せとして、コンピュータシステムを使用して実行され得る。ソフトウェアルーチンは、プロセッサによって実行されたとき、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する特定目的コンピュータ(コントローラ)に変換する。 Some or all of the processes and methods of this disclosure may also be implemented in hardware. As such, the processes may be implemented in software and executed using a computer system in hardware, such as an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. The software routines, when executed by the processor, transform a general-purpose computer into a special-purpose computer (controller) that controls chamber operations such that processes are performed.

いくつかの実施形態では、コントローラ495は、本方法を実行するための個々のプロセスまたはサブプロセスを実行するための1つまたは複数の構成を有する。コントローラ495は、本方法の機能を実行するための中間構成要素に接続され、それらを動作させるように構成され得る。たとえば、コントローラ495は、ガスバルブ、アクチュエータ、モーター、スリットバルブ、真空制御または他の構成要素のうちの1つまたは複数に接続され、それらを制御するように構成され得る。 In some embodiments, controller 495 has one or more configurations for executing individual processes or sub-processes for carrying out the method. Controller 495 may be configured to connect to and operate intermediate components for performing the functions of the method. For example, controller 495 may be connected to and configured to control one or more of gas valves, actuators, motors, slit valves, vacuum controls, or other components.

本開示の1つまたは複数の実施形態は、デッドボリュームをなくすか、または低減するためのバルブを提供する。いくつかの実施形態では、バルブはチャンバ中の交互の洗浄とプロセスガス供給を可能にする。本開示のいくつかの実施形態は、逆流を防ぐためのいかなるパージをも必要としない。いくつかの実施形態は、バルブ洗浄からのパージガス流によるガス分配プレート中の左右濃度差をなくす。 One or more embodiments of the present disclosure provide valves for eliminating or reducing dead volume. In some embodiments, the valve allows alternate cleaning and process gas supply in the chamber. Some embodiments of the present disclosure do not require any purging to prevent backflow. Some embodiments eliminate side-to-side concentration differences in the gas distribution plate due to purge gas flow from valve cleaning.

本開示のいくつかの実施形態は、基板処理チャンバ中にガスを導入するための方法および装置を対象とする。デッドボリュームは、このバルブを使用することでなくされ得る。いくつかの実施形態は、チャンバ中の交互の洗浄とプロセスガス供給を可能にする。いくつかの実施形態では、デッドボリュームを交換するための特別なパージの必要がなくされる。 Some embodiments of the present disclosure are directed to methods and apparatus for introducing gases into substrate processing chambers. Dead volume can be eliminated using this valve. Some embodiments allow alternating cleaning and process gas supply in the chamber. In some embodiments, the need for special purging to replace dead volumes is eliminated.

本開示の1つまたは複数の実施形態は、ステーション内の化学作用を抑制し、残留ガス種のクロストークを防ぐために、空間的マルチウエハプロセスツールにおける動き中にシャワーヘッドの上流の圧力を下げる。いくつかの実施形態は、ALDプロセスにおいて行われるCVDタイプ処理を最小にする。いくつかの実施形態は、空間的ツールにおける残留化学作用クロストークを防ぐ。いくつかの実施形態は、時間がかかるパージプロセスとバルブ洗浄プロセスとの必要をなくすことによってサイクル時間を改善する。いくつかの実施形態は、照射後のウエハの上方に低い圧力を作り出すことによって膜質、抵抗率、および/または共形性を改善する。 One or more embodiments of the present disclosure reduce pressure upstream of the showerhead during movement in a spatial multi-wafer process tool to suppress chemistry within the station and prevent cross-talk of residual gas species. Some embodiments minimize CVD type processing performed in the ALD process. Some embodiments prevent residual chemistry cross-talk in spatial tools. Some embodiments improve cycle time by eliminating the need for time consuming purge and valve cleaning processes. Some embodiments improve film quality, resistivity, and/or conformality by creating a low pressure above the wafer after irradiation.

図5は本開示の1つまたは複数の実施形態によるガス分配装置500を示す。当業者によって理解されるように、図示のガス分配装置は、図1~図4に関して説明した処理チャンバおよびプロセスツール中に組み込まれ得る。 FIG. 5 shows a gas distribution apparatus 500 according to one or more embodiments of the present disclosure. As will be appreciated by those skilled in the art, the illustrated gas distribution apparatus may be incorporated into the processing chambers and process tools described with respect to FIGS. 1-4.

図5は本開示の1つまたは複数の実施形態によるバルブ510を示す。バルブ510はデッドボリュームのないバルブとも呼ばれる。バルブ510は、バルブの本体を通る第1の吸入ライン520を有する。第1の吸入ラインは、第1の吸入ライン520の長さを規定する上流端部522と下流端部524とを有する。 FIG. 5 shows valve 510 according to one or more embodiments of the present disclosure. Valve 510 is also referred to as a valve without dead volume. Valve 510 has a first suction line 520 that passes through the body of the valve. The first suction line has an upstream end 522 and a downstream end 524 that define the length of the first suction line 520 .

バルブ510は第2の吸入ライン530を含む。第2の吸入ライン530は、第2の吸入ライン530の長さを規定する上流端部532と下流端部534とを有する。吸入ラインの形状は変動させられ得、長さはラインの流路の中心線に沿って測定される。 Valve 510 includes a second intake line 530 . Second suction line 530 has an upstream end 532 and a downstream end 534 that define the length of second suction line 530 . The shape of the suction line can be varied, with the length measured along the centerline of the line's flow path.

第1の吸入ライン520と第2の吸入ライン530とは分岐合流部525において接続する。第2の吸入ライン530の下流端部534は分岐合流部525において第1の吸入ライン520と接続する。いくつかの実施形態の分岐合流部は第1の吸入ライン520の長さに沿って配置される。別の言い方をすれば、分岐合流部525は、第1の吸入ライン520の上流端部522からある距離に、および第1の吸入ライン520の下流端部524からある距離に位置する。分岐合流部525は、第1の入口端部522からの距離と第1の出口端部524からの距離とが同じまたは異なるように位置し得る。いくつかの実施形態では、分岐合流部525は第1の吸入ライン520の長さの約50%に位置する。いくつかの実施形態では、分岐合流部は第1の吸入ライン520の長さの25%から75%までの範囲内にある。 The first suction line 520 and the second suction line 530 are connected at a junction 525 . A downstream end 534 of the second suction line 530 connects with the first suction line 520 at a junction 525 . The junction of some embodiments is arranged along the length of the first suction line 520 . Stated another way, the junction 525 is located a distance from the upstream end 522 of the first suction line 520 and a distance from the downstream end 524 of the first suction line 520 . The junction 525 may be located at the same or different distances from the first inlet end 522 and from the first outlet end 524 . In some embodiments, junction 525 is located at approximately 50% of the length of first suction line 520 . In some embodiments, the junction is between 25% and 75% of the length of first suction line 520 .

バルブ510は、第2の吸入ライン530の下流端部534に位置するシール面540を含む。シール面540は、シール面540の上流で第1の吸入ライン520と第2の吸入ライン530との間の流体連結を防ぐために、第1の吸入ライン520と第2の吸入ライン530とを分離するように構成される。別の言い方をすれば、いくつかの実施形態では、第2の吸入ライン530は、ガスの流れを下流でのみ可能にするように構成されたバルブ510を有する。シール面540は、第1の吸入ライン520と第2の吸入ライン530とを通して流されるべき化学作用に適合する任意の好適な材料から製造され得る。いくつかの実施形態では、シール面540はチェックバルブを備える。 Valve 510 includes a sealing surface 540 located at downstream end 534 of second suction line 530 . A sealing face 540 separates the first and second suction lines 520 and 530 to prevent fluid communication between the first and second suction lines 520 and 530 upstream of the sealing face 540 . configured to Stated another way, in some embodiments, the second intake line 530 has a valve 510 configured to allow gas flow only downstream. Sealing surface 540 may be manufactured from any suitable material compatible with the chemistry to be flowed through first suction line 520 and second suction line 530 . In some embodiments, sealing surface 540 comprises a check valve.

示された実施形態では、バルブ510は、可動シール面540としてボールバルブを有する。不十分な流れが第2の吸入ライン530を通るとき、シール面は、ガスが第2の吸入ライン530中に逆流することができず、ガスが第2の吸入ライン530から第1の吸入ライン520中に漏れることができないように、第2の吸入ライン530の下流端部534をカバーするシール位置にある。シール位置にあるシール面540をもつバルブ510が図5および図5Aに示されている。このようにして使用する際、「十分な流れ差分」という用語は、第1の吸入ライン520中のガス流528によってシール面540に加えられる力と、第2の吸入ライン530中のガス流538によってシール面540に加えられる力との間の差を指す。いくつかの実施形態では、シール面540をシール位置に移動するのに十分な流れ差分は、第1の吸入ライン520中のガス流528によって加えられる力が、第2の吸入ライン530中のガス流538によって加えられる力よりも、しきい値だけ大きいことを意味する。いくつかの実施形態のしきい値は、シール面540を開位置からシール位置に移動するために必要とされる力に対する、シール面540をシール位置から開位置に移動するために必要とされる力に少なくとも部分的に基づく。たとえば、いくつかの実施形態のしきい値は、バルブ510を閉じるまたはシールするために必要とされる力が、バルブを開いて第2の吸入ライン530中のガス流538がシール面540を超えて流れることを可能にするために必要とされる力よりも小さい場合、変動し、またはその逆の場合も同様である。 In the illustrated embodiment, valve 510 has a ball valve as movable sealing surface 540 . When insufficient flow is through the second inhalation line 530, the sealing surfaces prevent gas from flowing back into the second inhalation line 530 and gas from the second inhalation line 530 to the first inhalation line. It is in a sealed position covering the downstream end 534 of the second suction line 530 so that it cannot leak into 520 . Valve 510 with sealing surface 540 in the sealing position is shown in FIGS. 5 and 5A. As used in this manner, the term "sufficient flow differential" refers to the force exerted on seal surface 540 by gas flow 528 in first suction line 520 and the force exerted on seal surface 540 by gas flow 538 in second suction line 530. refers to the difference between the force applied to the sealing surface 540 by In some embodiments, the flow differential sufficient to move the sealing surface 540 to the sealing position is such that the force exerted by the gas flow 528 in the first intake line 520 is greater than the force exerted by the gas in the second intake line 530. It means greater than the force exerted by stream 538 by a threshold value. The threshold of some embodiments is required to move seal surface 540 from the sealed position to the open position relative to the force required to move seal surface 540 from the open position to the sealed position. Based at least in part on force. For example, the threshold in some embodiments is that the force required to close or seal valve 510 is such that the valve opens and gas flow 538 in second intake line 530 exceeds sealing surface 540 . If it is less than the force required to allow it to flow through it, it will fluctuate, or vice versa.

図5Bは、開位置におけるシール面540をもつバルブ510を示す。第1の吸入ライン520と第2の吸入ライン530との間に十分な流れ差分があるとき、シール面540は、第2の吸入ライン530の下流端部534から分岐合流部525中に入り、第1の吸入ライン520の下流端部524から出る流体連結が起こることを可能にするように移動する。いくつかの実施形態では、(図5Aに示された)シール位置と(図5Bに示された)開位置との間のシール面540の動きにより、バルブ510内に閉じ込められたガスがバルブ510から押し出されて第1の吸入ライン520中に入る。 FIG. 5B shows valve 510 with sealing surface 540 in the open position. When there is a sufficient flow differential between the first suction line 520 and the second suction line 530, the sealing surface 540 enters from the downstream end 534 of the second suction line 530 into the junction 525 and It moves to allow fluid communication out of the downstream end 524 of the first suction line 520 to occur. In some embodiments, movement of seal face 540 between a sealed position (shown in FIG. 5A) and an open position (shown in FIG. into the first suction line 520 .

いくつかの実施形態では、シール面540は、第2の吸入ライン530中の流れによって加えられる力がしきい値よりも大きいときに開位置に移動するように構成される。いくつかの実施形態のしきい値は、シール面540上に第1の吸入ライン520中の流れよりも少ない力を生じ、シール面540を開位置に移動する。 In some embodiments, sealing surface 540 is configured to move to the open position when the force exerted by flow in second intake line 530 is greater than a threshold value. The threshold of some embodiments produces less force on the seal face 540 than the flow in the first suction line 520 to move the seal face 540 to the open position.

いくつかの実施形態のシール面540は、第2の吸入ライン530を通る流体の動きが分岐合流部525において第1の吸入ライン520に入ることを可能にする。いくつかの実施形態では、シール面540は、第1の吸入ライン520からの流体が第2の吸入ライン530の下流端部534に入ることを防ぐ。 The sealing surface 540 of some embodiments allows fluid movement through the second suction line 530 to enter the first suction line 520 at the junction 525 . In some embodiments, sealing surface 540 prevents fluid from first suction line 520 from entering downstream end 534 of second suction line 530 .

いくつかの実施形態では、ガスが第2の吸入ライン530を通って流れるとき、シール面540は開位置に移動する。いくつかの実施形態では、第2の吸入ライン530中のガス流および/または圧力は、シール面540を移動するための所定の圧力および/または流量を超える。いくつかの実施形態では、シール面540は、第2の吸入ライン530中にガス流がないとき、または第2の吸入ライン530中の圧力が所定のしきい値を下回ったときに、(図5に示された)閉位置に移動する。いくつかの実施形態では、シール面540を開く/閉じるためのしきい値は第2の吸入ライン530と第1の吸入ライン520との間の差圧に基づく。いくつかの実施形態では、シール面を開くためのしきい値は、シール面を閉じるためのしきい値とは異なる。 In some embodiments, when gas flows through second intake line 530, seal face 540 moves to the open position. In some embodiments, gas flow and/or pressure in second intake line 530 exceeds a predetermined pressure and/or flow rate to move seal surface 540 . In some embodiments, the sealing surface 540 is activated when there is no gas flow in the second inhalation line 530 or when the pressure in the second inhalation line 530 falls below a predetermined threshold (Fig. 5) to the closed position. In some embodiments, the threshold for opening/closing seal surface 540 is based on the differential pressure between second suction line 530 and first suction line 520 . In some embodiments, the threshold for opening the sealing faces is different than the threshold for closing the sealing faces.

いくつかの実施形態では、バルブ510中にデッドボリュームがない。デッドボリュームは、ガスが渦を形成し、詰まり、それにより、流れが停止された後に、そのガス種の一部が残留し、次のガス流に追加され得る空間である。 In some embodiments, there is no dead volume in valve 510 . Dead volume is the space after a gas has swirled and clogged, thereby stopping the flow, where some of that gas species remains and can be added to the next gas stream.

図5には2つの吸入ラインが示されているが、3つ以上の吸入ラインが本開示の範囲内であることを当業者は認識するであろう。たとえば、バルブは、第2の分岐合流部(図示せず)において第1の吸入ライン520または第2の吸入ライン530に接続する第3の吸入ライン(図示せず)を有することができる。いくつかの実施形態では、第3の吸入ラインは第2の吸入ライン530と同じ分岐合流部525において第1の吸入ライン520に接続する。いくつかの実施形態では、第3の吸入ラインはパージラインとして構成される。 Although two suction lines are shown in FIG. 5, those skilled in the art will recognize that three or more suction lines are within the scope of the present disclosure. For example, the valve can have a third suction line (not shown) that connects to the first suction line 520 or the second suction line 530 at a second junction (not shown). In some embodiments, the third inhalation line connects to the first inhalation line 520 at the same junction 525 as the second inhalation line 530 . In some embodiments, the third intake line is configured as a purge line.

ガス分配装置500のいくつかの実施形態はガス分配アセンブリ105を含む。示されている実施形態は、ガス分配プレート112を装置500の一部として示す。いくつかの実施形態では、ガス分配プレート112は第2の端部524および第1の吸入ライン520と流体連結している。いくつかの実施形態では、ガス分配プレート112はシャワーヘッドを含む。 Some embodiments of gas distribution apparatus 500 include gas distribution assembly 105 . The illustrated embodiment shows the gas distribution plate 112 as part of the device 500 . In some embodiments, gas distribution plate 112 is in fluid communication with second end 524 and first suction line 520 . In some embodiments, gas distribution plate 112 includes a showerhead.

図5は、随意の遠隔プラズマ源550を含む実施形態を示す。いくつかの実施形態の遠隔プラズマ源(RPS)550は第1の吸入ライン520の下流端部524とガス分配プレート112との間に配置される。遠隔プラズマ源550は、当業者に知られている任意の好適なプラズマ源であり得る。好適な供給源は、限定はしないが、容量結合プラズマ(CCP)源、誘導結合プラズマ(ICP)源、マイクロ波プラズマ源を含む。 FIG. 5 shows an embodiment including an optional remote plasma source 550 . A remote plasma source (RPS) 550 of some embodiments is positioned between the downstream end 524 of the first intake line 520 and the gas distribution plate 112 . Remote plasma source 550 can be any suitable plasma source known to those skilled in the art. Suitable sources include, but are not limited to, capacitively coupled plasma (CCP) sources, inductively coupled plasma (ICP) sources, microwave plasma sources.

いくつかの実施形態では、第1の吸入ライン520の下流端部524とガス分配プレート112との間に(図4に示された)ガスマニホルド560がある。いくつかの実施形態では、ガスマニホルドは、バルブ510から出るガス流を複数のプロセスチャンバまたは処理ステーションに分離する。いくつかの実施形態では、第1の吸入ライン520の下流端部524と遠隔プラズマ源550との間にガスマニホルド560がある。 In some embodiments, there is a gas manifold 560 (shown in FIG. 4) between the downstream end 524 of the first intake line 520 and the gas distribution plate 112 . In some embodiments, gas manifolds separate the gas flow exiting valve 510 into multiple process chambers or processing stations. In some embodiments, there is a gas manifold 560 between the downstream end 524 of the first intake line 520 and the remote plasma source 550 .

本明細書全体にわたる「一実施形態」、「いくつかの実施形態」、「1つまたは複数の実施形態」または「実施形態」への言及は、実施形態に関して説明した特定の特徴、構造、材料、または特性が本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体にわたる様々な場所における「1つまたは複数の実施形態では」、「いくつかの実施形態では」、「一実施形態では」または「実施形態では」などのフレーズの出現は必ずしも本開示の同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、材料、または特性は1つまたは複数の実施形態において任意の好適な様式で組み合わせられ得る。 References to "one embodiment," "some embodiments," "one or more embodiments," or "embodiments" throughout this specification may refer to the specific features, structures, materials described with respect to the embodiments. , or that the feature is included in at least one embodiment of the present disclosure. Thus, the appearance of phrases such as "in one or more embodiments," "in some embodiments," "in one embodiment," or "in an embodiment" in various places throughout this specification are not necessarily They do not necessarily refer to the same embodiment of the disclosure. Moreover, the particular features, structures, materials, or properties may be combined in any suitable manner in one or more embodiments.

本明細書の開示について特定の実施形態に関して説明したが、説明された実施形態は本開示の原理および適用例を示すものにすぎないことを当業者は理解するであろう。本開示の趣旨および範囲から逸脱することなく、本開示の方法および装置に対して様々な改変および変形が行われ得ることが当業者に明らかになろう。したがって、本開示は添付の特許請求の範囲内の改変および変形ならびにそれらの均等物を含むことができる。 Although the disclosure herein has been described with respect to particular embodiments, those skilled in the art will appreciate that the described embodiments are merely illustrative of the principles and applications of the disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the disclosed method and apparatus without departing from the spirit and scope of the disclosure. Thus, this disclosure may include modifications and variations that come within the scope of the appended claims and their equivalents.

Claims (20)

第1の吸入ラインであって、前記第1の吸入ラインの長さを規定する上流端部と下流端部とを有する第1の吸入ラインと、
第2の吸入ラインであって、前記第2の吸入ラインの長さを規定する上流端部と下流端部とを有し、前記吸入ラインの前記下流端部が前記第1の吸入ラインの前記長さに沿って前記第1の吸入ラインと接続する、第2の吸入ラインと、
前記第2の吸入ラインの前記下流端部にあるシール面であって、前記第1の吸入ラインと前記第2の吸入ラインとの間の流体連結を防ぐために前記第1の吸入ラインと前記第2の吸入ラインとを分離するように構成された、シール面と
を有するバルブを備える、ガス分配装置。
a first suction line having an upstream end and a downstream end defining a length of said first suction line;
A second suction line having an upstream end and a downstream end defining a length of said second suction line, said downstream end of said suction line being said a second inhalation line connecting with the first inhalation line along its length;
A sealing surface at the downstream end of the second suction line, the sealing surface between the first suction line and the second suction line to prevent fluid communication between the first suction line and the second suction line. A gas distribution device comprising a valve having a sealing surface configured to separate two suction lines.
前記シール面は、前記第2の吸入ラインを通る流体の動きが、前記第1の吸入ラインに入ることを許容し、前記第1の吸入ラインからの流体が前記第2の吸入ラインの前記下流端部に入ることを防ぐ、請求項1に記載のガス分配装置。 The sealing surface permits movement of fluid through the second suction line into the first suction line, and fluid from the first suction line passes through the downstream of the second suction line. 2. The gas distribution apparatus of claim 1, which prevents edge entry. 前記バルブ中にデッドボリュームがない、請求項1に記載のガス分配装置。 2. The gas distribution device of claim 1, wherein there is no dead volume in said valve. 前記第1の吸入ラインの前記第2の端部と流体連結しているガス分配プレートをさらに備える、請求項1に記載のガス分配装置。 2. The gas distribution apparatus of claim 1, further comprising a gas distribution plate in fluid communication with said second end of said first suction line. 前記ガス分配プレートがシャワーヘッドを含む、請求項4に記載のガス分配装置。 5. The gas distribution apparatus of Claim 4, wherein the gas distribution plate comprises a showerhead. 前記第1の吸入ラインの前記下流端部と前記ガス分配プレートとの間に遠隔プラズマ源をさらに備える、請求項5に記載のガス分配装置。 6. The gas distribution apparatus of Claim 5, further comprising a remote plasma source between said downstream end of said first suction line and said gas distribution plate. 前記第1の吸入ラインの前記下流端部と前記遠隔プラズマ源との間にガスマニホルドをさらに備える、請求項6に記載のガス分配装置。 7. The gas distribution apparatus of Claim 6, further comprising a gas manifold between said downstream end of said first suction line and said remote plasma source. 前記第2の吸入ラインを通って流れるガスが所定の圧力を超えたときに、前記シール面が開位置に移動する、請求項1に記載のガス分配装置。 2. The gas distribution apparatus of claim 1, wherein said seal face moves to an open position when gas flowing through said second intake line exceeds a predetermined pressure. 前記第2の吸入ライン中にガス流がないとき、または前記第2のライン中の圧力が所定のしきい値を下回ったときに、前記シール面が閉位置に移動する、請求項8に記載のガス分配装置。 9. The sealing surface of claim 8, wherein the sealing surface moves to the closed position when there is no gas flow in the second suction line or when pressure in the second line falls below a predetermined threshold. gas distribution device. 処理チャンバであって、
バルブを有するガス分配装置を備え、前記バルブが、
第1の吸入ラインであって、前記第1の吸入ラインの長さを規定する上流端部と下流端部とを有する、第1の吸入ラインと、
第2の吸入ラインであって、前記第2の吸入ラインの長さを規定する上流端部と下流端部とを有し、前記吸入ラインの前記下流端部が前記第1の吸入ラインの前記長さに沿って前記第1の吸入ラインと接続する、第2の吸入ラインと、
前記第2の吸入ラインの前記下流端部にあるシール面であって、前記第1の吸入ラインと前記第2の吸入ラインとの間の流体連結を防ぐために前記第1の吸入ラインと前記第2の吸入ラインとを分離するように構成された、シール面と
を備え、前記処理チャンバがさらに、
前記第1の吸入ラインの前記第2の端部と流体連結しているガス分配プレートであって、複数の開孔をもつ前面を有し、前記複数の開孔を通ってガスの流れが前記ガス分配プレートを通ることが可能になる、ガス分配プレートと、
前記ガス分配プレートの周りにあるスペーサであって、前記処理チャンバの上部の開口内にある、スペーサと、
前記処理チャンバの内部にある基板支持体であって、前記ガス分配プレートの前記前面からある距離離間した支持体表面を有する、基板支持体と
を備える、処理チャンバ。
a processing chamber,
a gas distribution device having a valve, the valve comprising:
a first suction line having an upstream end and a downstream end defining a length of said first suction line;
A second suction line having an upstream end and a downstream end defining a length of said second suction line, said downstream end of said suction line being said a second inhalation line connecting with the first inhalation line along its length;
A sealing surface at the downstream end of the second suction line, the sealing surface between the first suction line and the second suction line to prevent fluid communication between the first suction line and the second suction line. a sealing surface configured to separate two suction lines, the processing chamber further comprising:
A gas distribution plate in fluid communication with said second end of said first suction line and having a front surface with a plurality of apertures through which said flow of gas is directed. a gas distribution plate allowing passage through the gas distribution plate;
a spacer around the gas distribution plate, the spacer in an opening at the top of the processing chamber;
a substrate support inside the processing chamber, the substrate support having a support surface spaced a distance from the front surface of the gas distribution plate.
前記バルブの前記シール面は、前記第2の吸入ラインを通る流体の動きが、前記第1の吸入ラインに入ることを許容し、前記第1の吸入ラインからの流体が前記第2の吸入ラインの前記下流端部に入ることを防ぐ、請求項10に記載の処理チャンバ。 The sealing surface of the valve permits fluid movement through the second suction line to enter the first suction line, and fluid from the first suction line passes through the second suction line. 11. The processing chamber of claim 10, preventing entry into the downstream end of the. 前記バルブ中にデッドボリュームがない、請求項10に記載の処理チャンバ。 11. The processing chamber of Claim 10, wherein there is no dead volume in said valve. 前記ガス分配プレートがシャワーヘッドを含む、請求項10に記載の処理チャンバ。 11. The processing chamber of Claim 10, wherein the gas distribution plate comprises a showerhead. 前記第1の吸入ラインの前記下流端部と前記ガス分配プレートとの間に遠隔プラズマ源をさらに備える、請求項10に記載の処理チャンバ。 11. The processing chamber of Claim 10, further comprising a remote plasma source between said downstream end of said first suction line and said gas distribution plate. 前記第1の吸入ラインの前記下流端部と前記遠隔プラズマ源との間にガスマニホルドをさらに備える、請求項14に記載の処理チャンバ。 15. The processing chamber of Claim 14, further comprising a gas manifold between said downstream end of said first suction line and said remote plasma source. 前記第2の吸入ラインを通って流れるガスが所定の圧力を超えたときに、前記シール面が開位置に移動する、請求項10に記載の処理チャンバ。 11. The processing chamber of claim 10, wherein the sealing surface moves to an open position when gas flowing through the second suction line exceeds a predetermined pressure. 前記第2の吸入ライン中にガス流がないとき、または前記第2のライン中の圧力が所定のしきい値を下回ったときに、前記シール面が閉位置に移動する、請求項16に記載の処理チャンバ。 17. The sealing surface of claim 16, wherein the sealing surface moves to the closed position when there is no gas flow in the second suction line or when pressure in the second line falls below a predetermined threshold. processing chamber. 処理方法であって、
デッドボリュームのないバルブの第1の吸入ラインを通して処理チャンバ中に第1のガスを流すことを含み、前記デッドボリュームのないバルブが、
前記第1の吸入ラインの長さを規定する上流端部と下流端部とを有する前記第1の吸入ラインと、
第2の吸入ラインであって、前記第2の吸入ラインの長さを規定する上流端部と下流端部とを有し、前記吸入ラインの前記下流端部が前記第1の吸入ラインの前記長さに沿って前記第1の吸入ラインと接続する、第2の吸入ラインと、
前記第2の吸入ラインの前記下流端部にあるシール面であって、前記第1の吸入ラインと前記第2の吸入ラインとの間の流体連結を防ぐために前記第1の吸入ラインと前記第2の吸入ラインとを分離するように構成された、シール面と
を備え、前記処理方法がさらに、
前記デッドボリュームのないバルブの前記第2の吸入ラインを通して前記処理チャンバ中に第2のガスを流すことを含み、
前記第1のガスが前記第2の吸入ライン中に流れず、前記第1のガスと前記第2のガスとを切り替えることが、前記バルブから残留ガスを除くパージステップを含まない、処理方法。
A processing method comprising:
flowing a first gas into a processing chamber through a first intake line of the dead volume free valve, the dead volume free valve comprising:
said first suction line having an upstream end and a downstream end defining a length of said first suction line;
A second suction line having an upstream end and a downstream end defining a length of said second suction line, said downstream end of said suction line being said a second inhalation line connecting with the first inhalation line along its length;
A sealing surface at the downstream end of the second suction line, the sealing surface between the first suction line and the second suction line to prevent fluid communication between the first suction line and the second suction line. a sealing surface configured to separate the two suction lines, the method further comprising:
flowing a second gas into the processing chamber through the second inlet line of the dead volume-free valve;
The method of treatment wherein the first gas does not flow into the second intake line and switching between the first gas and the second gas does not include a purge step to remove residual gas from the valve.
前記デッドボリュームのないバルブの下流に位置する遠隔プラズマ源中の前記第1のガスまたは前記第2のガスのうちの1つまたは複数からプラズマに点火することをさらに含む、請求項18に記載の処理方法。 19. The method of claim 18, further comprising igniting a plasma from one or more of the first gas or the second gas in a remote plasma source located downstream of the dead volume free valve. Processing method. 前記第2の吸入ラインを通って流れる第2のガスが所定の圧力を超えたときに、前記第2のガスが前記シール面を開位置に移動させ、前記第2の吸入ライン中にガス流がないとき、または前記第2のライン中の圧力が所定のしきい値を下回ったときに、前記シール面が閉位置に移動する、請求項18に記載の処理方法。 when the second gas flowing through the second suction line exceeds a predetermined pressure, the second gas causes the seal surface to move to an open position, allowing gas flow into the second suction line; 19. The method of claim 18, wherein the sealing surface moves to the closed position when there is no pressure or when the pressure in the second line falls below a predetermined threshold.
JP2022517151A 2019-09-19 2020-09-18 Clean isolation valve for reduced dead volume Active JP7473635B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962902912P 2019-09-19 2019-09-19
US62/902,912 2019-09-19
US202063022466P 2020-05-09 2020-05-09
US63/022,466 2020-05-09
PCT/US2020/051514 WO2021055766A1 (en) 2019-09-19 2020-09-18 Clean isolation valve for reduced dead volume

Publications (2)

Publication Number Publication Date
JP2022548648A true JP2022548648A (en) 2022-11-21
JP7473635B2 JP7473635B2 (en) 2024-04-23

Family

ID=74881760

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022517151A Active JP7473635B2 (en) 2019-09-19 2020-09-18 Clean isolation valve for reduced dead volume

Country Status (5)

Country Link
US (2) US11479857B2 (en)
JP (1) JP7473635B2 (en)
KR (1) KR20220062114A (en)
TW (1) TW202117217A (en)
WO (1) WO2021055766A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202117217A (en) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 Clean isolation valve for reduced dead volume

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015015469A (en) * 2013-07-03 2015-01-22 ラム リサーチ コーポレーションLam Research Corporation Chemical deposition apparatus having conductance control
US20150170908A1 (en) * 2013-12-17 2015-06-18 Intermolecular Inc. One-Way Valves for Controlling Flow into Deposition Chamber
JP2018014479A (en) * 2016-07-11 2018-01-25 東京エレクトロン株式会社 Gas-supply system, substrate processing system and gas-supply method
JP2018026532A (en) * 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Integrated cluster tool for area selective deposition
JP2018533192A (en) * 2015-09-04 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Process chamber for periodic and selective material removal and etching
WO2018202949A1 (en) * 2017-05-02 2018-11-08 Picosun Oy Apparatus with a valve and method of operation

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5307568A (en) * 1991-09-09 1994-05-03 Tokyo Electron Limited Gas supply system
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100232112B1 (en) * 1996-01-05 1999-12-01 아마노 시게루 Gas supply unit
US5730174A (en) * 1996-07-01 1998-03-24 Lubriquip, Inc. Solenoid valve cartridge for lubrication divider valves
US5879458A (en) * 1996-09-13 1999-03-09 Semifab Incorporated Molecular contamination control system
JP3997337B2 (en) * 1996-11-20 2007-10-24 忠弘 大見 Fluid control device
JP4022696B2 (en) * 1996-11-20 2007-12-19 忠弘 大見 Circuit breaker
JP3921565B2 (en) * 1998-07-10 2007-05-30 株式会社フジキン Fluid control device
AU1320500A (en) * 1998-10-23 2000-05-15 Chemand Corporation Fluid handling port array
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
TW500891B (en) * 2000-03-10 2002-09-01 Tokyo Electron Ltd A fluid control device
JP3482601B2 (en) * 2000-06-30 2003-12-22 東京エレクトロン株式会社 Fluid control device
JP2002130479A (en) * 2000-10-23 2002-05-09 Tokyo Electron Ltd Integrated fluid supplying device, seal material used in it, and semiconductor manufacturing device fitted therewith
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP4092164B2 (en) * 2002-09-20 2008-05-28 シーケーディ株式会社 Gas supply unit
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
JP2006083959A (en) * 2004-09-16 2006-03-30 Fujikin Inc Joint member with sensor
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US7905252B2 (en) * 2006-03-20 2011-03-15 Tescom Corporation Apparatus and methods to dispense fluid from a bank of containers and to refill same
US8056577B2 (en) * 2006-03-20 2011-11-15 Tescom Corporation Apparatus and methods to dispense fluid from a bank of containers and to refill same
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US7816200B2 (en) * 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
JP5792617B2 (en) * 2008-07-08 2015-10-14 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッドFujiFilm Electronic Materials USA, Inc. Compositions containing cyclic alkene derivatives and methods of using the same
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20120000606A1 (en) 2010-07-02 2012-01-05 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity system and method
CN202144891U (en) * 2011-07-25 2012-02-15 中芯国际集成电路制造(上海)有限公司 Backflow prevention device and exhaust device
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
TWI768849B (en) * 2017-10-27 2022-06-21 美商應用材料股份有限公司 Single wafer processing environments with spatial separation
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
TW202117217A (en) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 Clean isolation valve for reduced dead volume
KR20210048408A (en) * 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds
KR20230024400A (en) * 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 High Temperature Chemical Vapor Deposition Cover

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015015469A (en) * 2013-07-03 2015-01-22 ラム リサーチ コーポレーションLam Research Corporation Chemical deposition apparatus having conductance control
US20150170908A1 (en) * 2013-12-17 2015-06-18 Intermolecular Inc. One-Way Valves for Controlling Flow into Deposition Chamber
JP2018533192A (en) * 2015-09-04 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Process chamber for periodic and selective material removal and etching
JP2018026532A (en) * 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Integrated cluster tool for area selective deposition
JP2018014479A (en) * 2016-07-11 2018-01-25 東京エレクトロン株式会社 Gas-supply system, substrate processing system and gas-supply method
WO2018202949A1 (en) * 2017-05-02 2018-11-08 Picosun Oy Apparatus with a valve and method of operation

Also Published As

Publication number Publication date
US11479857B2 (en) 2022-10-25
TW202117217A (en) 2021-05-01
WO2021055766A1 (en) 2021-03-25
US20210087685A1 (en) 2021-03-25
KR20220062114A (en) 2022-05-13
US20230017577A1 (en) 2023-01-19
US11746417B2 (en) 2023-09-05
JP7473635B2 (en) 2024-04-23

Similar Documents

Publication Publication Date Title
KR102614522B1 (en) Single wafer processing environments with spatial separation
JP7443250B2 (en) Atomic layer self-aligned substrate processing and integrated toolset
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
US11746417B2 (en) Clean isolation valve for reduced dead volume
KR20230024400A (en) High Temperature Chemical Vapor Deposition Cover
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
JP2023113690A (en) Methods of operating spatial deposition tool
KR102638144B1 (en) Complementary pattern station designs
KR102630443B1 (en) Spatial wafer processing with improved temperature uniformity
CN110998788A (en) Metal oxide post-treatment method
TW202204679A (en) High temperature vacuum seal

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220511

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230809

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240124

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20240131

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240411

R150 Certificate of patent or registration of utility model

Ref document number: 7473635

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150