JP2022523095A - 急速交互プロセスにおいて均一性を向上させるためのマルチロケーションガス注入 - Google Patents

急速交互プロセスにおいて均一性を向上させるためのマルチロケーションガス注入 Download PDF

Info

Publication number
JP2022523095A
JP2022523095A JP2021544486A JP2021544486A JP2022523095A JP 2022523095 A JP2022523095 A JP 2022523095A JP 2021544486 A JP2021544486 A JP 2021544486A JP 2021544486 A JP2021544486 A JP 2021544486A JP 2022523095 A JP2022523095 A JP 2022523095A
Authority
JP
Japan
Prior art keywords
gas
zone
supply system
orifice
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021544486A
Other languages
English (en)
Other versions
JP7514245B2 (ja
Inventor
シエ・ウィリアム
キム・ジスー
ミラー・アラン・ジェイ.
ウェイ・レイ
リン・フランク・ワイ.
ハン・ジュン・ヒーヒー
リュー・ジエ
チャン・コナン
マーティン・マイケル・ジョン
セレステ・ニコラス・ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022523095A publication Critical patent/JP2022523095A/ja
Application granted granted Critical
Publication of JP7514245B2 publication Critical patent/JP7514245B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【解決手段】急速交互プロセスのために処理チャンバに堆積ガスおよびエッチングガスを提供するように構成されたガス供給システムは、第1のオリフィスを通じて堆積ガスマニホールドからガス分配装置の第1のゾーンに堆積ガスを提供し、第1のオリフィスよりも直径を有する第2のオリフィスを通じて、堆積ガスマニホールドからガス分配装置の第2のゾーンに堆積ガスを提供するように配置された第1の弁を備える。第2の弁は、第3のオリフィスを通じてエッチングガスマニホールドからガス分配装置の第1のゾーンにエッチングガスを提供し、第3のオリフィスとは異なる直径を有する第4のオリフィスを通じて、エッチングガスマニホールドからガス分配装置の第2のゾーンにエッチングガスを提供するように配置されている。【選択図】図2B

Description

[関連出願の相互参照]
本願は、2019年1月31日出願の米国仮出願第62/799,288号の利益を主張する。上記出願の全ての開示は、参照により本明細書に援用される。
本開示は基板処理システムに関し、特に、急速交互プロセス(RAP)のためのガス注入システムおよび方法に関する。
本明細書に記載の背景技術の説明は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
半導体ウエハなどの基板の製造中に、処理チャンバ内でエッチングプロセスおよび堆積プロセスが実施されてよい。基板は、処理チャンバ内で静電チャック(ESC)または台座などの基板支持体の上に配置される。処理チャンバでは処理ガスが導入され、プラズマが生成される。
いくつかの基板処理システムは、エッチングプロセスと堆積プロセスとの間の急速切り替えを含む急速交互プロセス(RAP)を実施するように構成されてよい。いくつかの例では、各エッチングプロセスおよび各堆積プロセスの持続期間は、1秒未満であってよい。例えばRAPは、微小電気機械システム(MEMS)エッチング、シリコン深堀り(DSiE)処理などで用いられてよい。
急速交互プロセス(RAP)のために処理チャンバに堆積ガスおよびエッチングガスを提供するように構成されたガス供給システムは、堆積ガスマニホールドおよびガス分配装置と流体連通する第1の弁と、第1の弁とガス分配装置との間に配置された第1のオリフィスと、第1の弁とガス分配装置との間に配置された第2のオリフィスと、を備える。第1の弁は、第1のオリフィスを通じて堆積ガスマニホールドからガス分配装置の第1のゾーンに堆積ガスを提供し、第2のオリフィスを通じて堆積ガスマニホールドからガス分配装置の第2のゾーンに堆積ガスを提供するように配置され、第1のオリフィスおよび第2のオリフィスは、異なる直径を有する。ガス供給システムはさらに、エッチングガスマニホールドおよびガス分配装置と流体連通する第2の弁と、第2の弁とガス分配装置との間に配置された第3のオリフィスと、第2の弁とガス分配装置との間に配置された第4のオリフィスと、を備える。第2の弁は、第3のオリフィスを通じてエッチングガスマニホールドからガス分配装置の第1のゾーンにエッチングガスを提供し、第4のオリフィスを通じてエッチングガスマニホールドからガス分配装置の第2のゾーンにエッチングガスを提供するように配置され、第3のオリフィスおよび第4のオリフィスは、異なる直径を有する。
他の特徴では、第1の弁および第2の弁は、10ms以内に開状態と閉状態との間で遷移するように構成された高速切替弁である。ガス分配装置はシャワーヘッドであり、第1のゾーンはシャワーヘッドの内側ゾーンであり、第2のゾーンはシャワーヘッドの外側ゾーンである。第2のオリフィスの直径は、第1のオリフィスの直径よりも大きく、第3のオリフィスの直径は、第4のオリフィスの直径よりも大きい。第1のオリフィスおよび第2のオリフィスの直径は、第1の所定比の堆積ガスを第1のゾーンおよび第2のゾーンに提供するように選択され、第3のオリフィスおよび第4のオリフィスの直径は、第2の所定比のエッチングガスを第1のゾーンおよび第2のゾーンに提供するように選択される。
他の特徴では、ガス供給システムはさらに、コントローラであって、RAPの堆積サイクル中に第1の所定比で堆積ガスを第1のゾーンおよび第2のゾーンに提供するために第1の弁を選択的に開閉し、RAPのエッチングサイクル中に第2の所定比でエッチングガスを第1のゾーンおよび第2のゾーンに提供するために第2の弁を選択的に開閉するように構成されたコントローラを備える。
他の特徴では、ガス分配装置は3つ以上のゾーンを備える。第1のゾーンおよび第2のゾーンは、径方向のゾーンである。第1のゾーンは、ガス分配装置の中央の1つの注入点に対応する。第2のゾーンは、ガス分配装置の端の1つの注入点に対応する。
本開示のさらなる適用分野は、発明を実行するための形態、特許請求の範囲、および図面から明らかになるだろう。発明を実行するための形態および特定の例は、例示のみの目的を意図し、本開示の範囲を限定する意図はない。
急速交互プロセス(RAP)のために堆積ガスおよびエッチングガスを処理チャンバに提供するように構成されたガス供給システムは、堆積ガスマニホールドおよびガス分配装置と流体連通する第1の流量コントローラと、第1の流量コントローラとガス分配装置との間に配置された第1の弁と、第1の流量コントローラとガス分配装置との間に配置された第2の弁と、を備える。第1の弁は、第1の流量コントローラからガス分配装置の第1のゾーンに堆積ガスを提供するように配置され、第2の弁は、第1の流量コントローラからガス分配装置の第2のゾーンに堆積ガスを提供するように配置されている。ガス供給システムはさらに、エッチングガスマニホールドおよびガス分配装置と流体連通する第2の流量コントローラと、第2の流量コントローラとガス分配装置との間に配置された第3の弁と、第1の流量コントローラとガス分配装置との間に配置された第4の弁と、を備える。第3の弁は、第2の流量コントローラからガス分配装置の第1のゾーンにエッチングガスを提供するように配置され、第4の弁は、第2の流量コントローラからガス分配装置の第2のゾーンにエッチングガスを提供するように配置されている。
他の特徴では、第1、第2、第3、および第4の弁は、10ms以内に開状態と閉状態との間で遷移するように構成された高速切替弁である。ガス分配装置はシャワーヘッドであり、第1のゾーンはシャワーヘッドの内側ゾーンであり、第2のゾーンはシャワーヘッドの外側ゾーンである。第1の流量コントローラは、第1の所定比の堆積ガスを第1のゾーンおよび第2のゾーンに提供するように構成され、第2の流量コントローラは、第2の所定比のエッチングガスを第1のゾーンおよび第2のゾーンに提供するように構成されている。
他の特徴では、ガス供給システムはさらに、コントローラであって、RAPの堆積サイクル中に第1の所定比で堆積ガスを第1のゾーンおよび第2のゾーンに提供するために、第1の流量コントローラを選択的に調節して第1の弁および第2の弁を開閉し、RAPのエッチングサイクル中に第2の所定比でエッチングガスを第1のゾーンおよび第2のゾーンに提供するために、第2の流量コントローラを選択的に調節して第3の弁および第4の弁を開閉するように構成されたコントローラを備える。
他の特徴では、ガス分配装置は3つ以上のゾーンを備える。第1のゾーンおよび第2のゾーンは、径方向のゾーンである。第1のゾーンは、ガス分配装置の中央の1つの注入点に対応する。第2のゾーンは、ガス分配装置の端の1つの注入点に対応する。
処理チャンバにおいて急速交互プロセス(RAP)を実施するための方法は、処理チャンバ内に基板が配置された状態で、第1の期間に処理チャンバに堆積ガス混合物を提供する工程を含む。堆積ガス混合物を提供する工程は、第1の弁および第1のオリフィスを通じて堆積ガスマニホールドからガス分配装置の第1のゾーンに堆積ガス混合物を提供する工程と、第1の弁および第2のオリフィスを通じて堆積ガスマニホールドからガス分配装置の第2のゾーンに堆積ガス混合物を提供する工程と、を含む。第1のオリフィスおよび第2のオリフィスは、異なる直径を有する。この方法はさらに、処理チャンバから堆積ガス混合物をパージする工程と、第2の期間に処理チャンバにエッチングガス混合物を提供する工程と、を含む。エッチングガス混合物を提供する工程は、第3のオリフィスを通じてエッチングガスマニホールドからガス分配装置の第1のゾーンにエッチングガス混合物を提供する工程と、第4のオリフィスを通じてエッチングガスマニホールドからガス分配装置の第2のゾーンにエッチングガス混合物を提供する工程と、を含む。第3のオリフィスおよび第4のオリフィスは、異なる直径を有する。この方法はさらに、処理チャンバからエッチングガス混合物をパージする工程と、堆積ガス混合物およびエッチングガス混合物の提供を少なくとも1回繰り返す工程と、を含む。
本開示は、発明を実施するための形態および添付の図面からより深く理解されるだろう。
本開示による例示的な基板処理システムの機能ブロック図。
本開示による例示的なデュアルゾーンシャワーヘッド。 本開示による例示的なデュアルゾーンシャワーヘッド。
本開示による例示的なデュアルゾーンシャワーヘッドの断面図。
本開示による例示的なガス供給システムの機能ブロック図。
本開示による別の例示的なガス供給システムの機能ブロック図。
本開示による急速交互プロセス(RAP)を実施するための例示的な方法の工程。
図中では、類似および/または同一の要素を識別するために、参照番号は繰り返し用いられてよい。
エッチング、堆積などのプロセスの間、基板は、基板処理システムの基板支持体上に配置される。基板支持体は、基板を支持するように配置されたセラミック層を備えてよい。例えば、基板は処理の間、セラミック層にクランプされてよい。いくつかの例では、基板処理システムは、エッチングプロセスと堆積プロセスとの間で速やかに切り替わるように(すなわち、急速交互プロセス(RAP)において)構成されてよい。通常のRAPでは、それぞれのサイクル中に、堆積プロセスガスおよびエッチングプロセスガスは、ガス分配装置(例えば、シャワーヘッド)の外側または端のゾーンおよび内側ゾーンに提供される。例えば、いくつかの実施形態では、堆積プロセスガス混合物は外側または端のゾーンに提供され、エッチングプロセスガス混合物は内側ゾーンに提供される。いくつかの例では、堆積および/またはエッチングプロセスガス混合物は、サイドガス注入ノズルを通じて供給されてもよい。
本開示の原理によるガス注入システムおよび方法は、特定の選択されたプロセスガス混合物をシャワーヘッドのそれぞれの位置(例えば、シャワーヘッドの異なるゾーン、径方向位置など)に注入するように構成されている。注入位置は、所望のエッチングおよび堆積の均一性を実現するため、特定のプロセス、レシピ、ガスおよびガス混合物などのために最適化されうる。例えば、ディープトレンチ素子分離RAPは、エッチングプロセスガス混合物(例えば、六フッ化硫黄(SF6))を内側ゾーンに注入し、堆積プロセスガス混合物(例えば、オクタフルオロシクロブタン/ペルフルオロシクロブタン(C48))を外側ゾーンに注入するように構成されたデュアルゾーンシャワーヘッドのために最適化されてよい。ガスの注入位置、流量、および比率は、高速切替弁(例えば、10ms以内に反応するように構成された原子層堆積(ALD)弁)、流量コントローラ、および/または、所望のガス注入比を実現するように構成されたガス通過孔を用いて制御されてよい。
ここで図1Aを参照すると、本開示による基板処理システム10の例が示されている。基板処理システム10は、コイル駆動回路11を備える。図のように、コイル駆動回路11は、RF源12およびチューニング回路13を備える。チューニング回路13は、1つ以上の誘導トランス結合プラズマ(TCP)コイル16に直結されてよい。あるいは、チューニング回路13は、任意の反転回路15によって1つ以上のコイル16に接続されてよい。チューニング回路13は、RF源12の出力を所望の周波数および/または所望の位相に調整し、コイル16のインピーダンスを合わせ、TCPコイル16の間で電力を分割する。反転回路15は、1つ以上のTCPコイル16を通る電流の極性を選択的に切り替えるのに用いられる。いくつかの例では、コイル駆動回路11は、TCPコイル16を駆動するためにトランス結合容量性同調切り替え(TCCT)整合回路網を実装する。例えば、スイッチドキャパシタを有するTCCT整合回路網を用いる処理チャンバは、その全てが参照により本明細書に援用される、本発明の譲受人に譲渡された米国特許第9,515,633号において図説されている。
ガス分配装置(例えば、内部に1つ以上のプレナムを規定するシャワーヘッド20)は、誘電体窓24と処理チャンバ28との間に配置される。例えば、誘電体窓24はセラミックを備える。いくつかの例では、シャワーヘッド20は、セラミックまたは別の誘電材料を備える。処理チャンバ28はさらに、基板支持体(または、台座)32を備える。基板支持体32は、静電チャック(ESC)、または機械式チャック、または他の種類のチャックを備えてよい。
プロセスガスは、シャワーヘッド20を通じて処理チャンバ28に供給され、プラズマ40は、処理チャンバ28の内部で生成される。例えばRF信号は、TCPコイルから誘電体窓24を通って処理チャンバ28の内部に伝達される。RF信号は、処理チャンバ28内でガス分子を励起してプラズマ40を生成する。プラズマ40は、基板34の露出面をエッチングする。RF源50およびバイアス整合回路52は、イオンエネルギを制御するために、動作中に基板支持体32にバイアスを印加するのに用いられてよい。
ガス供給システム56は、処理チャンバ28にプロセスガス混合物を供給するのに用いられてよい。ガス供給システム56は、プロセスガスおよび不活性ガス源57(例えば、堆積ガス、エッチングガス、キャリアガス、不活性ガスなどを含む)、弁および流量コントローラ(例えば、マスフローコントローラ(MFC))などのガス計量システム58-1および58-2、ならびに、それぞれのマニホールド59-1および59-2を備えてよい。例えば、ガス計量システム58-1およびマニホールド59-1は、エッチング中に処理チャンバ28にエッチングガス混合物を提供するように配置されてよく、ガス計量システム58-2およびマニホールド59-2は、堆積中に処理チャンバ28に堆積ガス混合物を提供するように配置されてよい。例えば、エッチングガス混合物および堆積ガス混合物は、コイル16を介し誘電体窓24のそれぞれの経路を通じて、シャワーヘッド20のプレナムに提供されてよい。本開示の原理によるガス供給システム56の例示的実施形態は、以下の図2および3においてより詳細に説明される。ヒータ/クーラ64は、基板支持体32を所定温度に加熱/冷却するのに用いられてよい。排気システム65は、パージまたは排気によって処理チャンバ28から反応剤を除去するために、弁66およびポンプ67を備える。
コントローラ54は、エッチングプロセスを制御するのに用いられてよい。コントローラ54は、システムパラメータを監視し、ガス混合物の供給、プラズマの生成、維持、および消弧、反応剤の除去などを制御する。また、コントローラ54は、コイル駆動回路11、RF源50、およびバイアス整合回路52などの様々な態様を制御してよい。いくつかの例では、基板支持体32は温度調整が可能である。一例では、温度コントローラ68は、基板支持体32に配置された熱制御素子(TCE)などの複数の発熱体70に接続されてよい。温度コントローラ68は、基板支持体32および基板34の温度を制御するよう複数の発熱体70を制御するために用いられてよい。
いくつかの例では、本開示の原理によるガス供給システム56は、図1Bおよび1Cにそれぞれ示されるように、デュアルゾーンシャワーヘッド120-1および120-2(総称して、シャワーヘッド120)にエッチングプロセスガス混合物および堆積プロセスガス混合物を提供するように構成されている。シャワーヘッド120は、内側ゾーン124および外側ゾーンまたはエッジゾーン128(例えば、内側径方向ゾーンおよび外側径方向ゾーン)を備えてよい。図には2つの径方向(すなわち、同心環状)ゾーンが示されているが、他の例では、シャワーヘッド120は、異なる形状および向きを有する任意の数のゾーン(例えば、複数のくさび形または扇形のゾーン)を備えてよい。
図1Dには、径方向の内側ゾーン136および外側ゾーン140を備える例示的なシャワーヘッド132の断面が示されている。例えばシャワーヘッド132は、内側ゾーン136に対応する第1のプレナム144と、外側ゾーン140に対応する第2のプレナム148とを規定する。堆積ガス混合物およびエッチングガス混合物は、以下により詳細に説明されるRAPに従って、それぞれの流入口152を通じて第1のプレナム144および第2のプレナム148に提供される。内側ゾーン136に提供されたガス混合物は、第1のプレナム144を満たして加圧し、孔156から処理チャンバ28に流れ出る。一方で、外側ゾーン140に提供されたガス混合物は、第2のプレナム148を満たして加圧し、孔160から処理チャンバ28に流れ出る。
次に図2Aを参照すると、本開示によりシャワーヘッド208を介して処理チャンバ204に堆積ガスおよびエッチングガスを提供するように構成された例示的なガス供給システム200がより詳細に示されている。例えのみでは、シャワーヘッド208は、図1Bおよび1Cに示された、内側および外側の径方向ゾーンを備えるデュアルゾーンシャワーヘッドである。シャワーヘッド208は、基板支持体216の上に配置された基板212において堆積プロセスおよびエッチングプロセスを実施するために、堆積ガス混合物およびエッチングガス混合物を流すように構成されている。分かりやすくするために、図1Aに示されたいくつかの構造(例えば、誘電体窓24)は、図2Aおよび2Bから省かれている。
ガス供給システム200は、1つ以上のガスをガス源220から、堆積ガスマニホールド224およびエッチングガスマニホールド228、それぞれの流量コントローラ232-1および232-2(総称して、流量コントローラ232)、ならびにそれぞれの弁236-1、236-2、236-3、および236-4(総称して、弁236)を通じて選択的に提供する。ガス供給システム200は、以下により詳細に説明されるように、コントローラ240から受信するコマンド(例えば、信号)に応答して、堆積ガス混合物およびエッチングガス混合物を提供する。弁236は、図2Aでは流量コントローラ232の下流に配置されて示されているが、他の例では流量コントローラ232の上流に配置されてよい。
ガス源220は、プロセスガス、不活性ガス、パージガスなどを含むがこれらに限定されないガスを含んでよい。プロセスガスは、堆積ガスマニホールド224およびエッチングガスマニホールド228にそれぞれ選択的に提供される、堆積ガスおよび堆積ガス混合物、ならびにエッチングガスおよびエッチングガス混合物の両方を含む。堆積ガスおよびエッチングガスは各々、マニホールド内で混合される組み合わせとしてなど、個々に提供されてよい。
堆積ガス混合物およびエッチングガス混合物は、第1の導管248を通じてシャワーヘッド208の内側ゾーン244に、第2の導管256を通じてシャワーヘッド208の外側ゾーン252に選択的に提供される。外側ゾーン252に提供されるガス量に対する内側ゾーン244に提供されるガス量の比率は、流量コントローラ232および弁236を用いて制御される。弁236は、シャワーヘッド208に堆積ガスまたはエッチングガスのいずれかを選択的に提供するために、オン(開)状態とオフ(閉)状態との間で切り替えられる。例えば、シャワーヘッド208に堆積ガスを提供するために、RAPの堆積サイクル中に弁236-1および236-2はオンされ、弁236-3および236-4はオフされる。さらにいくつかの例では、堆積ガスがゾーン244および252のいずれか一方にだけ提供されるように、弁236-1および236-2の一方はオンされ、弁236-1および236-2のもう一方はオフされてよい。
一方で、シャワーヘッド208にエッチングガスを提供するために、RAPのエッチングサイクル中に弁236-1および236-2はオフされ、弁236-3および236-4はオンされる。さらにいくつかの例では、エッチングガスがゾーン244および252のいずれか一方にだけ提供されるように、弁236-3および236-4の一方はオンされ、弁236-3および236-4のもう一方はオフされてよい。
弁236は、コントローラ240から対応するコマンドを受信して10ms以内に、全開(オン)状態と全閉(オフ)状態との間で切り替わるように構成された高速切替弁(例えば、原子層堆積(ALD)弁)である。このように、ガス供給システム200は、RAPの交互サイクルに従って、堆積ガスの供給とエッチングガスの供給との間で正確に移行するように構成されている。
各流量コントローラ232は、(i)内側ゾーン244に提供される各ガスの量、対、(ii)外側ゾーン252に提供されるガスの量、の比率を制御するように構成されている。例えば、各堆積およびエッチングサイクル(「工程」)の間に、コントローラ240は、シャワーヘッド208のゾーン244および252への選択されたガスの所望の流量を実現するように、それぞれの流量コントローラ232を調節する。例えば、堆積ガスの比率は、99:1(内側ゾーン244:外側ゾーン252)の比率から1:99(外側ゾーン252:内側ゾーン244)の比率の範囲内で調節されてよい。同様に、エッチングガスの比率は、99:1(内側ゾーン244:外側ゾーン252)の比率から1:99(外側ゾーン252:内側ゾーン244)の比率の範囲内で調節されてよい。
外側ゾーン252には、内側ゾーン244よりも多くの量の堆積ガスが提供されてよい(例えば、95:5、90:10などの比率で)。一方で、内側ゾーン244には、外側ゾーン252よりも多くの量のエッチングガスが提供される(例えば、95:5、90:10などの比率で)。コントローラ240は、レシピ、ガスの種類、ユーザ入力、処理およびチャンバのパラメータなどを含むがこれらに限定されない1つ以上の基準により、比率を選択的に調節するように構成されてよい。例えば、実施される所定のRAPでは、コントローラ200は、選択されたレシピに従って堆積ガス混合物流およびエッチングガス混合物流のそれぞれの所定比を選択するように構成されてよい。一例では、コントローラ200は、選択されたレシピを堆積ガス流およびエッチングガス流の所望の比率に関連付ける、ルックアップテーブルまたはモデルなどのデータを格納してよい。いくつかの例では、この比率は、(例えば、計算された、測定/検知された、ユーザに入力された)処理および/またはチャンバのパラメータなど、他の基準に従って調節されてよい。いくつかの例では、この比率はサイクルごとに調節されてよい。つまり、この比率は、第1の堆積工程またはエッチング工程について第1の値を有してよく、続く堆積工程またはエッチング工程について第2の値に調節されてよい。
次に図2Bを参照すると、ガス供給システム200の別の例が示されている。この例では、ガス供給システム200は、図2Aの流量コントローラ232を備えておらず、2つの弁(すなわち、高速切替ALD弁)260-1および260-2(総称して、弁260)を備えるのみである。オリフィス264-1、264-2、264-3、および264-4(総称して、オリフィス264)は、弁260と内側ゾーン244および外側ゾーン252との間のそれぞれの流路に配置されている。オリフィス264は、内側ゾーン244および外側ゾーン252に所望流量の堆積ガスおよびエッチングガスの各々を提供するようにサイズ決めされる。例えば、オリフィス264-1および264-2の直径は、堆積サイクルにおいて弁260-1がオンされ(例えば、弁260-2がオフされ)たときに、シャワーヘッド208のゾーン244および252に所望比の堆積ガスを提供するようにサイズ決めされる。一方で、オリフィス264-3および264-4の直径は、エッチングサイクルにおいて弁260-2がオンされ(例えば、弁260-1がオフされ)たときに、シャワーヘッド208のゾーン244および252に所望比のエッチングガスを提供するようにサイズ決めされる。
例えば、オリフィス264-1および264-2は、内側ゾーン244よりも大きい比率(例えば、95:5、90:10などの比率)の堆積ガスを外側ゾーン252に提供するようにサイズ決めされてよく、オリフィス264-3および264-4は、外側ゾーン252よりも大きい比率(例えば、95:5、90:10などの比率)のエッチングガスを内側ゾーン244に提供するようにサイズ決めされてよい。一例では、オリフィス264-1の直径は、10~20サーキュラーミルに相当する範囲であり、オリフィス264-2の直径は、240~260サーキュラーミルに相当する範囲である。一方で、オリフィス264-3の直径は、240~260サーキュラーミルに相当する範囲であり、オリフィス264-4の直径は、10~20サーキュラーミルに相当する範囲である。従って、実施される所定のRAPでは、オリフィス264は、堆積ガス混合物流およびエッチングガス混合物流の所望の所定比に応じて選択されてよい。
図2Aおよび2Bの各々に示されるように、導管248および256、ならびに/または、シャワーヘッド208のプレナムは、残った堆積ガス混合物およびエッチングガス混合物を除去するために、堆積サイクルとエッチングサイクルとの間にパージされてよい。例えば、堆積サイクルの後でエッチングサイクルの前に、残った堆積ガス混合物を導管248および256ならびにシャワーヘッド208から除去するために、パージガス(例えば、不活性ガス)が流されてよい。一方で、エッチングサイクルの後で堆積サイクルの前に、残ったエッチングガス混合物を導管248および256ならびにシャワーヘッド208から除去するために、パージガス(例えば、不活性ガス)が流されてよい。一例では、パージガスは、ガス供給システム200を用いてガス源220から提供されてよい。他の例では、パージガスは、別のパージガス供給システム268によって提供されてよい。パージガスならびに残った堆積ガス混合物およびエッチングガス混合物は、任意の排気システム272(例えば、図1に示された弁66およびポンプ67を備える)を用いてパージまたは排気されてよい。あるいは、パージガスならびに残った堆積ガス混合物およびエッチングガス混合物は、図1Aに示された排気システム65の配置と同様に、パージまたは排気によって処理チャンバ204から材料を除去するように配置された排気システムを用いて除去されてよい。
次に図3を参照すると、本開示によるRAPを(例えば、コントローラ240およびガス供給システム200を用いて)実施するための例示的な方法300は、304で開始する。308では、基板が処理チャンバ(例えば、処理チャンバ204)内に配置される。312では、所定の堆積期間に堆積ガス混合物が処理チャンバ204に提供される。例えば、コントローラ240は、弁236-1、236-2、または260-1を開き、堆積ガス混合物を所望の比率(すなわち、外側ゾーン252に提供される堆積ガス混合物の量に対する、内側ゾーン244に提供されるガス混合物の量の比率)でシャワーヘッド208に流すようにガス供給システム200を制御する。図2Aに示された例では、コントローラ240は、所望の比率に従って流量コントローラ232-1を調節してよい。316では、この方法300は必要に応じて、導管248および256、シャワーヘッド208、ならびに/または処理チャンバ204をパージ/排気する。
320では、所定のエッチング期間に処理チャンバ204にエッチングガス混合物が提供される。例えば、コントローラ240は、弁236-3、236-4、または260-2を開き、弁236-1、236-2、または260-1を閉じ、エッチングガス混合物を所望の比率(すなわち、外側ゾーン252に提供されるエッチングガス混合物の量に対する、内側ゾーン244に提供されるガス混合物の量の比率)でシャワーヘッド208に流すようにガス供給システム200を制御する。図2Aに示された例では、コントローラ240は、所望の比率に従って流量コントローラ232-2を調節してよい。324では、この方法300は必要に応じて、導管248および256、シャワーヘッド208、ならびに/または処理チャンバ204をパージ/排気する。
328では、方法300は、RAPが完了したかどうかを決定する。例えばRAPは、所定の期間、所定数の堆積およびエッチングサイクルなどで実施されてよい。真の場合は、方法300は332で終了する。偽の場合は、方法300は312に続いて、RAPの追加の堆積およびエッチングサイクルを実施する。
図3で上述された例示的なRAPは、所定のRAPサイクルにおいてエッチング工程と堆積工程とを交互に行うことを含むが、他の例では、各RAPサイクルは、複数のエッチング、堆積、および/または、パージもしくは洗浄工程を含んでよい。例えば、1回のRAPサイクルは、2つ以上の連続する堆積工程、および/または、2つ以上の連続するエッチング工程を含んでよく、堆積工程およびエッチング工程のセットの間に、洗浄工程またはパージ工程が含まれてよい。
前述は本質的に単なる説明であり、断じて本開示、その適用、または使用を限定する意図はない。本開示の広義の教示は、様々な形態で実施されうる。よって、本開示は特定の例を含むが、図面、明細書、および以下の特許請求の範囲を検討すると他の変更が明らかになるため、本開示の真の範囲はそれほど限定されるべきでない。方法内の1つ以上の工程は、本開示の原理を変更することなく、異なる順序で(または、同時に)実行されてよいことを理解されたい。さらに、各実施形態は特定の特徴を有すると上述されているが、本開示の任意の実施形態に関して説明されたそれらの特徴の任意の1つ以上の特徴は、他の実施形態において実施されうる、および/または、他の実施形態の特徴と組み合わせて(その組み合わせが明記されていない場合でも)実施されうる。つまり、記載の実施形態は互いに排他的でなく、1つ以上の実施形態の相互の並べ替えは、本開示の範囲内に留まる。
要素間(例えば、モジュール間、回路素子間、半導体層間など)の空間的関係および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接する」、「近接する」、「上に」、「上方」、「下方」、および「配置された」を含む様々な用語を用いて説明される。上記の開示で第1要素と第2要素との関係が説明されるときは、「直接的」であると明記されない限り、その関係は、第1要素と第2要素との間に他の介在要素が存在しない直接的関係でありうるが、第1要素と第2要素との間に1つ以上の介在要素が(空間的または機能的に)存在する間接的関係でもありうる。本明細書で用いられる、A、B、およびCのうちの少なくとも1つという表現は、非排他的論理ORを用いる論理(A OR B OR C)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実施形態では、コントローラは、上述の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理構成部品(ウエハ台座、ガス流システムなど)を備える半導体処理装置を含みうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてよい。これらの電子機器は「コントローラ」と呼ばれてよく、システムの様々な構成部品または副部品を制御してよい。コントローラは、処理条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールに対するウエハ搬入出、ならびに/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出を含む、本明細書に開示されたあらゆるプロセスを制御するようにプログラムされてよい。
概してコントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造時における1つ以上の処理工程を遂行するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、コントローラは、システムと統合もしくは結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。例えばコントローラは、ウエハ処理のリモートアクセスを可能にする「クラウド」内にあってよい、またはファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータはシステムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査して、現行の処理のパラメータを変更し、現行の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理工程のパラメータを特定するデータ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のようにコントローラは、例えば互いにネットワーク接続する1つ以上の別々のコントローラを含むことと、本明細書に記載のプロセスや制御などの共通の目的に向けて協働することとによって分散されてよい。かかる目的のために分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路だろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバまたはPVDモジュール、化学蒸着(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他のあらゆる半導体処理システムを含んでよい。
上述のようにコントローラは、ツールによって実施されるプロセス工程に応じて、他のツール回路もしくはモジュール、他のツール構成部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。

Claims (20)

  1. 急速交互プロセス(RAP)のために処理チャンバに堆積ガスおよびエッチングガスを提供するように構成されたガス供給システムであって、
    堆積ガスマニホールドおよびガス分配装置と流体連通する第1の弁と、
    前記第1の弁と前記ガス分配装置との間に配置された第1のオリフィスと、
    前記第1の弁と前記ガス分配装置との間に配置された第2のオリフィスと、
    エッチングガスマニホールドおよび前記ガス分配装置と流体連通する第2の弁と、
    前記第2の弁と前記ガス分配装置との間に配置された第3のオリフィスと、
    前記第2の弁と前記ガス分配装置との間に配置された第4のオリフィスと、を備え、
    前記第1の弁は、(i)前記第1のオリフィスを通じて前記堆積ガスマニホールドから前記ガス分配装置の第1のゾーンに堆積ガスを提供し、(ii)前記第2のオリフィスを通じて前記堆積ガスマニホールドから前記ガス分配装置の第2のゾーンに前記堆積ガスを提供し、前記第1のオリフィスおよび前記第2のオリフィスは、異なる直径を有し、
    前記第2の弁は、(i)前記第3のオリフィスを通じて前記エッチングガスマニホールドから前記ガス分配装置の前記第1のゾーンにエッチングガスを提供し、(ii)前記第4のオリフィスを通じて前記エッチングガスマニホールドから前記ガス分配装置の前記第2のゾーンに前記エッチングガスを提供し、前記第3のオリフィスおよび前記第4のオリフィスは、異なる直径を有する、ガス供給システム。
  2. 請求項1に記載のガス供給システムであって、
    前記第1の弁および前記第2の弁は、10ms以内に開状態と閉状態との間で遷移するように構成された高速切替弁である、ガス供給システム。
  3. 請求項1に記載のガス供給システムであって、
    前記ガス分配装置はシャワーヘッドであり、前記第1のゾーンは前記シャワーヘッドの内側ゾーンであり、前記第2のゾーンは前記シャワーヘッドの外側ゾーンである、ガス供給システム。
  4. 請求項3に記載のガス供給システムであって、
    前記第2のオリフィスの直径は、前記第1のオリフィスの直径よりも大きく、前記第3のオリフィスの直径は、前記第4のオリフィスの直径よりも大きい、ガス供給システム。
  5. 請求項1に記載のガス供給システムであって、
    (i)前記第1のオリフィスおよび前記第2のオリフィスの前記直径は、前記第1のゾーンおよび前記第2のゾーンに第1の所定比の前記堆積ガスを提供するように選択され、(ii)前記第3のオリフィスおよび前記第4のオリフィスの前記直径は、前記第1のゾーンおよび前記第2のゾーンに第2の所定比の前記エッチングガスを提供するように選択される、ガス供給システム。
  6. 請求項5に記載のガス供給システムであって、さらに、
    コントローラであって、(i)前記RAPの堆積サイクル中に、前記第1のゾーンおよび前記第2のゾーンに前記第1の所定比で前記堆積ガスを提供するために前記第1の弁を選択的に開閉し、(ii)前記RAPのエッチングサイクル中に、前記第1のゾーンおよび前記第2のゾーンに前記第2の所定比で前記エッチングガスを提供するために前記第2の弁を選択的に開閉するように構成されたコントローラを備える、ガス供給システム。
  7. 請求項1に記載のガス供給システムであって、
    前記ガス分配装置は、3つ以上のゾーンを備える、ガス供給システム。
  8. 請求項1に記載のガス供給システムであって、
    前記第1のゾーンおよび前記第2のゾーンは、径方向のゾーンである、ガス供給システム。
  9. 請求項1に記載のガス供給システムであって、
    前記第1のゾーンは、前記ガス分配装置の中央の1つの注入点に対応する、ガス供給システム。
  10. 請求項1に記載のガス供給システムであって、
    前記第2のゾーンは、前記ガス分配装置の端の1つの注入点に対応する、ガス供給システム。
  11. 急速交互プロセス(RAP)のために処理チャンバに堆積ガスおよびエッチングガスを提供するように構成されたガス供給システムであって、
    堆積ガスマニホールドおよびガス分配装置と流体連通する第1の流量コントローラと、
    前記第1の流量コントローラと前記ガス分配装置との間に配置された第1の弁と、
    前記第1の流量コントローラと前記ガス分配装置との間に配置された第2の弁と、
    エッチングガスマニホールドおよび前記ガス分配装置と流体連通する第2の流量コントローラと、
    前記第2の流量コントローラと前記ガス分配装置との間に配置された第3の弁と、
    前記第1の流量コントローラと前記ガス分配装置との間に配置された第4の弁と、を備え、
    前記第1の弁は、前記第1の流量コントローラから前記ガス分配装置の第1のゾーンに堆積ガスを提供するように配置され、(ii)前記第2の弁は、前記第1の流量コントローラから前記ガス分配装置の第2のゾーンに前記堆積ガスを提供するように配置され、
    前記第3の弁は、前記第2の流量コントローラから前記ガス分配装置の前記第1のゾーンにエッチングガスを提供するように配置され、前記第4の弁は、前記第2の流量コントローラから前記ガス分配装置の前記第2のゾーンに前記エッチングガスを提供するように配置されている、ガス供給システム。
  12. 請求項11に記載のガス供給システムであって、
    前記第1、前記第2、前記第3、および前記第4の弁は、10ms以内に開状態と閉状態との間で遷移するように構成された高速切替弁である、ガス供給システム。
  13. 請求項11に記載のガス供給システムであって、
    前記ガス分配装置はシャワーヘッドであり、前記第1のゾーンは前記シャワーヘッドの内側ゾーンであり、前記第2のゾーンは前記シャワーヘッドの外側ゾーンである、ガス供給システム。
  14. 請求項11に記載のガス供給システムであって、
    (i)前記第1の流量コントローラは、前記第1のゾーンおよび前記第2のゾーンに第1の所定比の前記堆積ガスを提供するように構成され、(ii)前記第2の流量コントローラは、前記第1のゾーンおよび前記第2のゾーンに第2の所定比の前記エッチングガスを提供するように構成されている、ガス供給システム。
  15. 請求項14に記載のガス供給システムであって、さらに、
    コントローラであって、(i)前記RAPの堆積サイクル中に、前記第1のゾーンおよび前記第2のゾーンに前記第1の所定比で前記堆積ガスを提供するために、前記第1の流量コントローラを選択的に調節して前記第1の弁および前記第2の弁を開閉し、(ii)前記RAPのエッチングサイクル中に、前記第1のゾーンおよび前記第2のゾーンに前記第2の所定比で前記エッチングガスを提供するために、前記第2の流量コントローラを選択的に調節して前記第3の弁および前記第4の弁を開閉するように構成されたコントローラを備える、ガス供給システム。
  16. 請求項11に記載のガス供給システムであって、
    前記ガス分配装置は、3つ以上のゾーンを備える、ガス供給システム。
  17. 請求項11に記載のガス供給システムであって、
    前記第1のゾーンおよび前記第2のゾーンは、径方向のゾーンである、ガス供給システム。
  18. 請求項11に記載のガス供給システムであって、
    前記第1のゾーンは、前記ガス分配装置の中央の1つの注入点に対応する、ガス供給システム。
  19. 請求項11に記載のガス供給システムであって、
    前記第2のゾーンは、前記ガス分配装置の端の1つの注入点に対応する、ガス供給システム。
  20. 処理チャンバにおいて急速交互プロセス(RAP)を実施するための方法であって、
    (i)前記処理チャンバ内に基板が配置された状態で、第1の期間に前記処理チャンバに堆積ガス混合物を提供する工程であって、
    第1の弁および第1のオリフィスを通じて、堆積ガスマニホールドからガス分配装置の第1のゾーンに前記堆積ガス混合物を提供することと、
    前記第1の弁および第2のオリフィスを通じて、前記堆積ガスマニホールドから前記ガス分配装置の第2のゾーンに前記堆積ガスを提供することであって、前記第1のオリフィスおよび前記第2のオリフィスは、異なる直径を有することと、を含む、工程と、
    (ii)前記処理チャンバから前記堆積ガス混合物をパージする工程と、
    (iii)第2の期間に前記処理チャンバにエッチングガス混合物を提供する工程であって、
    第3のオリフィスを通じて、エッチングガスマニホールドから前記ガス分配装置の前記第1のゾーンに前記エッチングガス混合物を提供することと、
    第4のオリフィスを通じて、前記エッチングガスマニホールドから前記ガス分配装置の前記第2のゾーンに前記エッチングガス混合物を提供することであって、前記第3のオリフィスおよび前記第4のオリフィスは、異なる直径を有することと、を含む、工程と、
    (iv)前記処理チャンバから前記エッチングガス混合物をパージする工程と、
    (v)(i)~(iv)を少なくとも1回繰り返す工程と、
    を含む、方法。
JP2021544486A 2019-01-31 2020-01-23 急速交互プロセスにおいて均一性を向上させるためのマルチロケーションガス注入 Active JP7514245B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962799288P 2019-01-31 2019-01-31
US62/799,288 2019-01-31
PCT/US2020/014743 WO2020159791A1 (en) 2019-01-31 2020-01-23 Multi-location gas injection to improve uniformity in rapid alternating processes

Publications (2)

Publication Number Publication Date
JP2022523095A true JP2022523095A (ja) 2022-04-21
JP7514245B2 JP7514245B2 (ja) 2024-07-10

Family

ID=

Also Published As

Publication number Publication date
WO2020159791A1 (en) 2020-08-06
CN113383409A (zh) 2021-09-10
EP3918632A1 (en) 2021-12-08
US20220108875A1 (en) 2022-04-07
EP3918632A4 (en) 2022-10-26
KR20210111356A (ko) 2021-09-10

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
EP3207558B1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
US20220108875A1 (en) Multi-location gas injection to improve uniformity in rapid alternating processes
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
JP2016105466A (ja) 半導体基板処理装置において半導体基板を均一に処理するためのガス注入方法
KR102492984B1 (ko) 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
JP7420754B2 (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
JP2022527460A (ja) 高エッチング選択性かつ低応力のアッシャブルカーボンハードマスク
KR20200027941A (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
JP7514245B2 (ja) 急速交互プロセスにおいて均一性を向上させるためのマルチロケーションガス注入
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
WO2018112192A1 (en) System and method for calculating substrate support temperature
US20220305601A1 (en) Use of vacuum during transfer of substrates
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室
US20220375773A1 (en) Autoclean for load locks in substrate processing systems
US20220181128A1 (en) Apparatus for cleaning plasma chambers
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240417

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240604