JP2017146547A - Reflection type photomask - Google Patents

Reflection type photomask Download PDF

Info

Publication number
JP2017146547A
JP2017146547A JP2016030165A JP2016030165A JP2017146547A JP 2017146547 A JP2017146547 A JP 2017146547A JP 2016030165 A JP2016030165 A JP 2016030165A JP 2016030165 A JP2016030165 A JP 2016030165A JP 2017146547 A JP2017146547 A JP 2017146547A
Authority
JP
Japan
Prior art keywords
light
shape
pattern
concavo
reflective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016030165A
Other languages
Japanese (ja)
Other versions
JP6728748B2 (en
Inventor
福上 典仁
Norihito Fukugami
典仁 福上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Printing Co Ltd filed Critical Toppan Printing Co Ltd
Priority to JP2016030165A priority Critical patent/JP6728748B2/en
Publication of JP2017146547A publication Critical patent/JP2017146547A/en
Application granted granted Critical
Publication of JP6728748B2 publication Critical patent/JP6728748B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Optical Elements Other Than Lenses (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

PROBLEM TO BE SOLVED: To reduce reflection ratio of an out of band light which includes in a light source of exposure light and reaches wafer which is an article to be exposed in a reflection type photomask having a light shielding area for reducing effects of multiple light exposure formed.SOLUTION: There is provided a reflection photomask having a substrate, a multilayer reflection film and an absorption film, a surface of the photomask is divided into a plurality areas including a circuit pattern area and a light shielding area in an outside of the circuit pattern area, the multilayer reflection film and the absorption film are laminated on the substrate in this order in the circuit pattern area, the absorption film consists a circuit pattern, the substrate surface has an uneven structure in the light shielding area and average reflection ratio to an incident light with wavelength of 100 to 400 nm is 4% or less in the light shielding area.SELECTED DRAWING: Figure 1

Description

本発明は、リソグラフィ用の反射型フォトマスクに関する。   The present invention relates to a reflective photomask for lithography.

半導体デバイスの製造プロセスにおいては、半導体デバイスの微細化に伴い、フォトリソグラフィ技術における微細化の要求が高まっている。近年のフォトリソグラフィの露光光は波長193nmのArFエキシマレーザー光が主流であった。現在では、EUV(Extreme Ultra Violet:極端紫外線)光と呼ばれる主に15nm以下の波長領域の光(以下「EUV光という」)、特には波長13.5nmのEUV光の適用が進められている。   In the manufacturing process of a semiconductor device, with the miniaturization of a semiconductor device, the demand for miniaturization in the photolithography technology is increasing. In recent years, ArF excimer laser light having a wavelength of 193 nm has been mainly used as exposure light for photolithography. At present, application of light having a wavelength region of 15 nm or less (hereinafter referred to as “EUV light”) called EUV (Extreme Ultra Violet) light, particularly EUV light having a wavelength of 13.5 nm, is in progress.

EUV光は、ほとんどの物質に対して非常に吸収され易い性質をもつため、EUV露光に用いるフォトマスク(以下「EUVマスク」という)は、従来の透過型のフォトマスクとは異なり、反射型のフォトマスクとなる。EUVマスクは、例えば、ガラス基板上にモリブデン(Mo)層とシリコン(Si)層を交互に積層した多層反射膜が形成され、その上に一般的にタンタル(Ta)を主成分とする光吸収膜が形成され、この光吸収膜に回路パターンが形成されて構成される。   Since EUV light is very easily absorbed by most substances, a photomask used for EUV exposure (hereinafter referred to as “EUV mask”) is different from a conventional transmission type photomask, and is a reflective type. It becomes a photomask. An EUV mask is, for example, a multilayer reflective film in which a molybdenum (Mo) layer and a silicon (Si) layer are alternately laminated on a glass substrate, and a light absorption generally comprising tantalum (Ta) as a main component. A film is formed, and a circuit pattern is formed on the light absorption film.

EUVマスクを用いたリソグラフィでは、一般に、EUVマスクへのEUV光の入射角度を6度程度傾斜させ、反射したEUV光を、被露光物であるウェハーに導き、ウェハー上に塗布された、EUV光に感光性を有するレジストを感光させる。   In lithography using an EUV mask, in general, the incident angle of EUV light to the EUV mask is tilted by about 6 degrees, and the reflected EUV light is guided to a wafer that is an object to be exposed, and applied to the wafer. A resist having photosensitivity is exposed.

前記のようにEUVマスクに入射するEUV光の入射角度を傾斜させると、EUVマスク上の回路パターンでEUV光が反射する際、反射光の方向によっては、光吸収膜による回路パターンの一部が影となり、ウェハー上に照射されない現象(いわゆる射影効果)が生じることが知られている。そこで射影効果を抑制するために、回路パターンが形成される光吸収膜の厚みを薄くして、影の影響を低減する手法が用いられている。   When the incident angle of the EUV light incident on the EUV mask is tilted as described above, when the EUV light is reflected by the circuit pattern on the EUV mask, depending on the direction of the reflected light, a part of the circuit pattern by the light absorption film may be It is known that a phenomenon (so-called projection effect) occurs in which a shadow is formed and the wafer is not irradiated. Therefore, in order to suppress the projection effect, a method is used in which the thickness of the light absorption film on which the circuit pattern is formed is reduced to reduce the influence of the shadow.

しかし、光吸収膜を薄くすると、本来光吸収膜において必要な光の減衰量が不足するため、ウェハー上のレジストへ照射されるEUV光の反射光が必要以上に増加し、回路パターンの形成精度が劣化することが懸念される。加えて、実際の露光工程では、一枚のウェハーにチップが多面付けされることが多いため、隣接するチップ同士の境界領域におけるレジストへの露光量が増加することが、特に懸念される。すなわち、チップ同士の境界領域において多重露光が発生して、回路パターンの形成精度に影響を及ぼし、後工程で得られるチップの品質低下やスループット低下が生じてしまう。   However, if the light absorption film is made thin, the amount of attenuation of light originally required in the light absorption film is insufficient, so that the reflected light of the EUV light irradiated to the resist on the wafer increases more than necessary, and the circuit pattern formation accuracy There is concern about deterioration. In addition, in an actual exposure process, chips are often applied to a single wafer, so that there is a particular concern that the amount of exposure to the resist in the boundary region between adjacent chips increases. That is, multiple exposure occurs in the boundary region between chips, which affects the formation accuracy of the circuit pattern, resulting in a reduction in the quality and throughput of chips obtained in subsequent processes.

そのため、上記のように光吸収膜を薄くする場合には、ウェハー上で隣接するチップ同士の境界領域となる、フォトマスクの回路パターンを囲む外周部の光吸収膜と多層反射膜を全て除去し、ガラス基板の表面まで掘り込んだ溝を形成する方法がある(特許文献1参照)。これは、上述した溝をEUV光の波長に対する遮光性の高い遮光領域とし、EUV光の反射を抑制して隣接するチップ同士の境界領域における多重露光を抑制しようとするものである。   Therefore, when thinning the light absorption film as described above, all of the light absorption film and multilayer reflection film on the outer periphery surrounding the circuit pattern of the photomask, which is a boundary region between adjacent chips on the wafer, are removed. There is a method of forming a groove dug up to the surface of a glass substrate (see Patent Document 1). This is intended to suppress the multiple exposure in the boundary region between adjacent chips by suppressing the reflection of the EUV light by using the groove described above as a light blocking region having a high light blocking property with respect to the wavelength of the EUV light.

ところが、EUV光を発生させる光源からは、13.5nm付近のEUV領域の光だけでなく、真空紫外線(VUV;約200nm以下)、深紫外線(DUV;約300nm以下)、紫外線(UV;約400nm以下)、近赤外線(約800nm付近)、さらには赤外領域(1000nm以上)に亘る波長帯の光も放射される場合が多い。これらの波長帯
は、一般にアウトオブバンド(Out of Band)と呼ばれる。このように、EUVマスクには、EUV光に伴ってアウトオブバンドの波長を有する光(以下「OOB光」という)も入射する。
However, from a light source that generates EUV light, not only light in the EUV region near 13.5 nm, but also vacuum ultraviolet light (VUV; about 200 nm or less), deep ultraviolet light (DUV; about 300 nm or less), ultraviolet light (UV; about 400 nm). In the following, light in a wavelength band extending in the near infrared (about 800 nm) and further in the infrared region (1000 nm or more) is often emitted. These wavelength bands are generally called out-of-band. In this way, light having an out-of-band wavelength (hereinafter referred to as “OOB light”) is incident on the EUV mask along with the EUV light.

上述した従来のEUVマスクの遮光領域では、EUV光の遮光性は比較的高いものの、アウトオブバンド光の遮光性は比較的低い。そのため遮光領域において、光源から放射された光のうちEUV光の反射は殆ど抑えることができるが、OOB光の一部は遮光領域で反射してウェハー上に照射される。そしてチップ同士の境界領域で、上述したような多重露光を生じさせてしまうという問題がある。ウェハー上で用いられるEUVリソグラフィ用のレジストは、本来、KrF光(波長248nm)やArF光(波長193nm)のリソグラフィ用レジストをベースに開発されているため、特に100〜400nmの波長領域のOOB光に感光性が高くなっている。   In the light shielding region of the conventional EUV mask described above, the light shielding property of EUV light is relatively high, but the light shielding property of out-of-band light is relatively low. For this reason, reflection of EUV light in the light emitted from the light source can be hardly suppressed in the light shielding region, but a part of the OOB light is reflected on the light shielding region and irradiated onto the wafer. In addition, there is a problem that multiple exposure as described above occurs in the boundary region between chips. Since the resist for EUV lithography used on the wafer is originally developed based on a resist for lithography of KrF light (wavelength 248 nm) or ArF light (wavelength 193 nm), OOB light particularly in the wavelength region of 100 to 400 nm. The photosensitivity is high.

そこで、こうした問題を解決するための技術を見てみると、特許文献2に記載の技術がある。これは、ガラス基板の多層反射膜とは反対面となる裏面に、微細構造のパターンを形成し、これによって、遮光領域に入射したOOB光がガラス基板の裏面に至った後、裏面導電膜で反射することを抑制するものである。   Then, when looking at the technique for solving such a problem, there is a technique described in Patent Document 2. This is because a fine pattern is formed on the back surface opposite to the multilayer reflective film of the glass substrate, whereby the OOB light incident on the light shielding region reaches the back surface of the glass substrate, and then the back surface conductive film. It suppresses reflection.

特開2009−212220号公報JP 2009-212220 A 特開2013−074195号公報JP 2013-074195 A

本発明者らは、特許文献2に記載の技術を検証するため、遮光領域で反射してウェハーに照射されるOOB光の成分を調べたところ、基板の表(オモテ)面で反射する光の方が、基板の裏面で反射する光よりも支配的であることが判明した。従って遮光領域に入射したOOB光の反射率を低減するためには、基板の裏面で反射する反射光を抑制するだけでは十分でなく、基板の表面における反射光を抑制する必要があるとの結論に至った。   In order to verify the technique described in Patent Document 2, the present inventors examined the component of OOB light reflected on the light-shielding region and irradiated onto the wafer. As a result, the light reflected on the front (front) surface of the substrate was examined. Was found to be dominant over the light reflected from the backside of the substrate. Therefore, in order to reduce the reflectance of the OOB light incident on the light shielding region, it is not sufficient to suppress the reflected light reflected on the back surface of the substrate, and it is necessary to suppress the reflected light on the surface of the substrate. It came to.

本発明は上記の問題に鑑みてなされたものであり、その目的は、多重露光の影響を低減するための遮光領域が形成された反射型フォトマスクにおいて、露光光の光源に含まれ、被露光物であるウェハーに到達してしまうアウトオブバンド光の反射率を、従来よりも低減することができる反射型フォトマスクを提供することである。   The present invention has been made in view of the above problems, and an object of the present invention is to be included in a light source of exposure light in a reflective photomask in which a light-shielding region for reducing the influence of multiple exposure is formed. It is an object of the present invention to provide a reflective photomask that can reduce the reflectance of out-of-band light that reaches a wafer, which is an object, as compared with the conventional case.

上述の課題を解決するために、請求項1に記載の発明は、基板、多層反射膜、吸収膜を備える反射型フォトマスクであって、
前記フォトマスクの表面が回路パターン領域と、前記回路パターン領域の外側の遮光領域とを含む複数の領域に区分されており、
前記回路パターン領域では、前記基板上に前記多層反射膜と前記吸収膜がこの順に積層されており、かつ、前記吸収膜が回路パターンを構成しており、
前記遮光領域では、前記基板表面が凹凸構造を有しており、
前記遮光領域における、波長100〜400nmの入射光に対する平均反射率が4%以下であることを特徴とする反射型フォトマスクとしたものである。
In order to solve the above-described problem, the invention described in claim 1 is a reflective photomask including a substrate, a multilayer reflective film, and an absorption film,
The surface of the photomask is divided into a plurality of regions including a circuit pattern region and a light shielding region outside the circuit pattern region;
In the circuit pattern region, the multilayer reflective film and the absorption film are laminated in this order on the substrate, and the absorption film constitutes a circuit pattern,
In the light shielding region, the substrate surface has an uneven structure,
The reflective photomask is characterized in that an average reflectance with respect to incident light having a wavelength of 100 to 400 nm in the light shielding region is 4% or less.

請求項2に記載の発明は、前記平均反射率が2%以下であることを特徴とする請求項1に記載の反射型フォトマスクとしたものである。   The invention according to claim 2 is the reflective photomask according to claim 1, wherein the average reflectance is 2% or less.

請求項3記載に発明は、前記凹凸構造は、平面視で、ライン&スペースパターン、ドットパターン、ホールパターンからなる群より選択される少なくとも1種類のパターンを含むことを特徴とする請求項1、または2に記載の反射型フォトマスクとしたものである。   The invention according to claim 3 is characterized in that the concavo-convex structure includes at least one pattern selected from the group consisting of a line & space pattern, a dot pattern, and a hole pattern in plan view. Alternatively, the reflective photomask described in 2 is used.

請求項4に記載の発明は、前記凹凸構造に含まれる前記少なくとも1種類のパターンは、平面視で、少なくとも1種類の周期構造を有することを特徴とする請求項3に記載の反射型フォトマスクとしたものである。   The reflective photomask according to claim 3, wherein the at least one pattern included in the concavo-convex structure has at least one periodic structure in plan view. It is what.

請求項5記載の発明は、前記周期構造の少なくとも1つの周期は、20nm〜4000nmであることを特徴とする請求項4に記載の反射型フォトマスクとしたものである。   The invention according to claim 5 is the reflective photomask according to claim 4, wherein at least one period of the periodic structure is 20 nm to 4000 nm.

請求項6記載の発明は、前記周期構造の周期は、20nm〜500nmと、500nm〜4000nmの少なくとも2種類の周期を含むことを特徴とする請求項5に記載の反射型フォトマスクとしたものである。   The invention according to claim 6 is the reflective photomask according to claim 5, wherein the period of the periodic structure includes at least two periods of 20 nm to 500 nm and 500 nm to 4000 nm. is there.

請求項7に記載の発明は、前記凹凸構造の深さは、25nm以上であることを特徴とする請求項1〜6のいずれか一項に記載の反射型フォトマスクとしたものである。   The invention according to claim 7 is the reflective photomask according to any one of claims 1 to 6, wherein the depth of the concavo-convex structure is 25 nm or more.

請求項8に記載の発明は、前記凹凸構造の深さは、50nm以上であることを特徴とする請求項1〜6のいずれか一項に記載の反射型フォトマスクとしたものである。   The invention according to claim 8 is the reflective photomask according to any one of claims 1 to 6, wherein the depth of the concavo-convex structure is 50 nm or more.

請求項9に記載の発明は、前記凹凸構造の断面形状は、矩形状、もしくは傾斜した形状であることを特徴とする請求項1〜8のいずれか一項に記載の反射型フォトマスクとしたものである。   The invention according to claim 9 is the reflective photomask according to any one of claims 1 to 8, wherein a cross-sectional shape of the concavo-convex structure is a rectangular shape or an inclined shape. Is.

請求項10に記載の発明は、前記傾斜した形状は、台形状、ピラミッド状、逆ピラミッド状、半球状、放物線状、円錐状、逆半球状、サインカーブ状、双曲線状からなる群より選択される少なくとも1種類の形状を含むことを特徴とする請求項9に記載の反射型フォトマスクとしたものである。   In the invention described in claim 10, the inclined shape is selected from the group consisting of trapezoidal shape, pyramid shape, inverted pyramid shape, hemispherical shape, parabolic shape, conical shape, inverted hemispherical shape, sine curve shape, and hyperbolic shape. The reflective photomask according to claim 9, further comprising at least one shape.

本発明によれば、遮光領域が形成された反射型フォトマスクにおいて、遮光領域でのアウトオブバンド光の反射を、従来よりも抑制することができるため、ウェハー上へチップを多面付けする際、EUV光だけでなく、アウトオブバンド光によるチップ同士の境界領域での多重露光を効果的に抑制できる。そして、高精度・高品質の回路パターンをウェハー上に転写することができる。   According to the present invention, in the reflection type photomask in which the light shielding region is formed, the reflection of the out-of-band light in the light shielding region can be suppressed as compared with the conventional case. It is possible to effectively suppress multiple exposure not only in EUV light but also in the boundary region between chips due to out-of-band light. Then, a highly accurate and high quality circuit pattern can be transferred onto the wafer.

本発明に係る反射型フォトマスクの概略(a)平面図(b)断面図。BRIEF DESCRIPTION OF THE DRAWINGS (a) Top view (b) Sectional drawing of the reflection type photomask which concerns on this invention. 本発明に係る(a)反射型フォトマスクの概略平面図(b)〜(j)は遮光領域の凹凸構造のパターンの例を示した概略平面図。(A) Schematic plan views of a reflective photomask according to the present invention (b) to (j) are schematic plan views showing an example of a pattern of concavo-convex structure in a light shielding region. (a)〜(h)本発明に係る反射型フォトマスクの遮光領域の凹凸構造の例を示した概略断面図。(A)-(h) The schematic sectional drawing which showed the example of the uneven structure of the light-shielding area | region of the reflection type photomask which concerns on this invention. 実施例、及び比較例の反射型フォトマスクの作製工程(回路パターン形成まで)(a)反射型フォトマスクブランク(b)レジスト塗布後(c)描画後(d)現像後(e)ドライエッチング後(f)レジスト剥離・洗浄・乾燥後。Example and Comparative Example Production Process of Reflective Photomask (Up to Circuit Pattern Formation) (a) Reflective Photomask Blank (b) After Resist Application (c) After Drawing (d) After Development (e) After Dry Etching (F) After resist removal / cleaning / drying. 実施例、及び比較例の反射型フォトマスクの作製工程(遮光領域の形成まで)(a)レジスト塗布後(b)描画後(c)現像後(d)ドライエッチング後(e)レジスト剥離・洗浄・乾燥後。Production steps of reflective photomasks of Examples and Comparative Examples (until formation of light shielding region) (a) After resist application (b) After drawing (c) After development (d) After dry etching (e) Resist stripping and washing・ After drying. 実施例の反射型フォトマスクの作製工程(遮光領域の凹凸構造の形成まで)(a)レジスト塗布後(b)描画後(c)現像後(d)ドライエッチング後(e)レジスト剥離・洗浄・乾燥後。Production process of reflective photomask of example (until formation of uneven structure of light shielding region) (a) After resist application (b) After drawing (c) After development (d) After dry etching (e) Resist peeling / cleaning After drying. 実施例1の遮光領域の凹凸構造の原子間力顕微鏡像(周期1μmのライン&スペースパターンの例)。2 is an atomic force microscope image of a concavo-convex structure in a light shielding region of Example 1 (an example of a line and space pattern with a period of 1 μm). 実施例1及び比較例の遮光領域のOOB光の分光反射率の測定結果(ライン&スペースパターン)。The measurement result (line & space pattern) of the spectral reflectance of the OOB light of the light shielding area | region of Example 1 and a comparative example. 実施例2の遮光領域の凹凸構造の原子間力顕微鏡像(a)ドットアレイパターン(周期280nmの例)(b)ホールアレイパターン(周期280nmの例)。(A) Dot array pattern (example with a period of 280 nm) (b) Hole array pattern (example with a period of 280 nm). 実施例2(ドットアレイパターン)及び比較例の遮光領域のOOB光の分光反射率の測定結果。The measurement result of the spectral reflectance of the OOB light of the light shielding area | region of Example 2 (dot array pattern) and a comparative example. 実施例2(ホールアレイパターン)及び比較例の遮光領域のOOB光の分光反射率の測定結果。The measurement result of the spectral reflectance of the OOB light of the light shielding area | region of Example 2 (hole array pattern) and a comparative example. 実施例3(高いアスペクト比のドットアレイパターン)及び比較例の遮光領域のOOB光の分光反射率の測定結果。The measurement result of the spectral reflectance of the OOB light of the light shielding area | region of Example 3 (high aspect ratio dot array pattern) and a comparative example. 実施例1及び比較例の露光評価におけるチップコーナー部(4重露光部)でのレジスト寸法変動量(チップ中心部に対する変動量)とOOB光反射率との関係。The relationship between the resist dimension variation | change_quantity (variation with respect to a chip | tip center part) in the chip | tip corner part (quad exposure part) in the exposure evaluation of Example 1 and a comparative example, and an OOB light reflectance.

以下、図面を用いて本発明の実施形態について説明する。尚、同一の構成要素については便宜上の理由がない限り同一の符号を付け、重複する説明は省略する。また、以下の説明で用いる図面は、特徴をわかりやすくするために、特徴となる部分を拡大して示している場合があり、各構成要素の寸法比率などが実際と同じであるとは限らない。
まず、本発明の反射型フォトマスクを特徴付ける構成要素から説明する。
Hereinafter, embodiments of the present invention will be described with reference to the drawings. In addition, the same code | symbol is attached | subjected about the same component unless there is a reason for convenience, and the overlapping description is abbreviate | omitted. In addition, in the drawings used in the following description, in order to make the features easy to understand, the portions that become the features may be shown in an enlarged manner, and the dimensional ratios of the respective components are not always the same as the actual ones. .
First, components that characterize the reflective photomask of the present invention will be described.

(本発明の反射型フォトマスクの全体構成)
図1は本発明の反射型フォトマスク101の概略図である。本発明の反射型フォトマスク101は、波長5〜15nmの光、特に13.5nmのEUV光を露光光とするEUVリソグラフィ用であり、その膜構造は、基板11上に多層反射膜12、保護膜13、吸収膜14が順次構成されており、基板11の裏面には裏面導電膜15を備えている。さらに本発明の反射型フォトマスクは、回路パターン領域10を取り囲むように、凹凸構造30を有する遮光領域20を備えている。
(Whole structure of the reflective photomask of the present invention)
FIG. 1 is a schematic view of a reflective photomask 101 of the present invention. The reflective photomask 101 of the present invention is for EUV lithography that uses 5 to 15 nm wavelength light, particularly 13.5 nm EUV light as exposure light, and its film structure is a multilayer reflective film 12 on a substrate 11 and a protective film. A film 13 and an absorption film 14 are sequentially formed, and a back surface conductive film 15 is provided on the back surface of the substrate 11. Furthermore, the reflective photomask of the present invention includes a light shielding region 20 having a concavo-convex structure 30 so as to surround the circuit pattern region 10.

遮光領域20の幅は、EUV露光機の構造(露光領域の設定精度)と半導体メーカーがウェハーへ露光する際のチップ配置の間隔に依存するが、通常は2mmから5mm程度である。本発明においては、遮光領域の幅は限定しない。最低限必要な幅以上であれば充分な効果が得られるためである。   The width of the light-shielding area 20 depends on the structure of the EUV exposure machine (exposure area setting accuracy) and the interval of chip arrangement when the semiconductor manufacturer exposes the wafer, but it is usually about 2 mm to 5 mm. In the present invention, the width of the light shielding region is not limited. This is because a sufficient effect can be obtained if the width is at least the necessary width.

(本発明の反射型フォトマスクの遮光領域)
遮光領域20は、吸収膜14、保護膜13、多層反射膜12が完全に除去されており、遮光領域の底面には、基板11が露出し、その表面に凹凸構造30が形成されている。このように、EUV光の反射を担っている多層反射膜12が完全に除去されることで、EUV光に対する遮光性が得られる。基板11だけではEUV光は反射しない。
(Light-shielding region of the reflective photomask of the present invention)
In the light shielding region 20, the absorption film 14, the protective film 13, and the multilayer reflective film 12 are completely removed. The substrate 11 is exposed on the bottom surface of the light shielding region, and the uneven structure 30 is formed on the surface thereof. Thus, the multilayer reflective film 12 responsible for EUV light reflection is completely removed, so that a light shielding property against EUV light is obtained. EUV light is not reflected by the substrate 11 alone.

さらに、遮光領域の凹凸構造30によって、プラズマ光源からEUV光に伴って放射される波長100〜400nmのOOB光の反射を低減し、ウェハー上のレジストへの過剰な露光を抑制する機能を発現している。基板表面に凹凸構造30が無い場合、OOB光の反射率は5〜20%程度であるが、凹凸構造を形成すれば、OOB光の波長100〜400nmの平均反射率は4%以下に低減することが出来、さらに効果的なパターン種、周期、深さ、断面形状を選択することにより2%以下が得られる。   Furthermore, the uneven structure 30 in the light shielding region reduces the reflection of OOB light having a wavelength of 100 to 400 nm emitted from the plasma light source along with the EUV light, and expresses the function of suppressing excessive exposure to the resist on the wafer. ing. When there is no concavo-convex structure 30 on the substrate surface, the reflectance of OOB light is about 5 to 20%. However, if the concavo-convex structure is formed, the average reflectance of OOB light with a wavelength of 100 to 400 nm is reduced to 4% or less. 2% or less can be obtained by selecting a more effective pattern type, period, depth, and cross-sectional shape.

図2に遮光領域の凹凸構造30の例を示す。図2(b)〜(j)は、図2(a)の本発明の反射型フォトマスクの遮光領域の一部を拡大したものである。凹凸構造30は、平面視で、ライン&スペースパターン、ドットパターン、ホールパターンからなる群より選択される少なくとも1種類のパターンを含んでいることが好ましい。これらのパターンは周期性を持たせることが可能であるためである。本発明においては、図2(b)と図2(e)のようにパターンの向きは関係なく、また、ホールやドットの平面視形状も真円、正方形、三角形、あるいはそれらの形状がやや鈍ったパターンのいずれであっても一定の効果は得られる。   FIG. 2 shows an example of the uneven structure 30 of the light shielding region. 2B to 2J are enlarged views of a part of the light shielding region of the reflective photomask of the present invention shown in FIG. The uneven structure 30 preferably includes at least one pattern selected from the group consisting of a line & space pattern, a dot pattern, and a hole pattern in plan view. This is because these patterns can have periodicity. In the present invention, the orientation of the pattern does not matter as in FIGS. 2B and 2E, and the shape of the hole or dot in plan view is also a perfect circle, square, triangle, or those shapes are slightly dull. A certain effect can be obtained with any of the patterns.

前記少なくとも1種類のパターンは、平面視で、少なくとも1種類の周期構造を有し、密に配置されていることが好ましい。周期は20〜4000nmであれば、波長100〜400nmのOOB光の反射を効果的に低減することが出来る。OOB光の波長と概ね同等以下の周期の凹凸構造においては、断面視で線幅が徐々に変化し基板表面から深さ方向に徐々に屈折率が変化する錐状の構造(以下、モスアイ構造と呼ぶ)とすることで、基板表面で光を閉じ込める(低反射化する)効果が得られる。一方、OOB光の波長と概ね同等以上の周期の凹凸構造においては、断面視で矩形形状であっても、反射する光の回折現象が発現し、正反射(0次回折光)が減少し、1次、2次、・・・の0次以外の回折光が増える。ウェハーへ到達する光は正反射のみであるため、ウェハーへ照射されるOOB光を低減する効果をもたらす。このように、モスアイ構造による低反射効果や回折現象による正反射成分の低減効果によって、本発明の反射型フォトマスクが実現できる。   The at least one type of pattern preferably has at least one type of periodic structure in plan view and is densely arranged. If the period is 20 to 4000 nm, reflection of OOB light having a wavelength of 100 to 400 nm can be effectively reduced. In a concavo-convex structure with a period substantially equal to or less than the wavelength of the OOB light, a cone-shaped structure (hereinafter referred to as a moth-eye structure) in which the line width gradually changes in cross-sectional view and the refractive index gradually changes in the depth direction from the substrate surface. In this case, the effect of confining light (reducing the reflection) on the substrate surface can be obtained. On the other hand, in the concavo-convex structure having a period substantially equal to or longer than the wavelength of the OOB light, even when it has a rectangular shape in cross-sectional view, a diffraction phenomenon of reflected light appears, regular reflection (0th order diffracted light) decreases, and 1 Second, second,... Diffracted light other than the 0th order increases. Since the light reaching the wafer is only specular reflection, it brings about an effect of reducing the OOB light irradiated to the wafer. Thus, the reflection type photomask of the present invention can be realized by the low reflection effect by the moth-eye structure and the effect of reducing the regular reflection component by the diffraction phenomenon.

周期が20〜4000nmで、波長100〜400nmのOOB光の低反射効果が高いことは、実験により得られた結果であるが、その中で具体的にどの程度の周期でパターンを形成するかは、EUVリソグラフィを使用する半導体製造者が任意に選択することができる。何故なら、EUVリソグラフィに使用するEUVレジストは各社で異なり、使用するレジストによっては、OOB光の中でも感光性の高い波長帯が異なるためである。   The fact that the low reflection effect of OOB light having a period of 20 to 4000 nm and a wavelength of 100 to 400 nm is high is a result obtained by an experiment, but in what period the pattern is specifically formed. The semiconductor manufacturer using EUV lithography can arbitrarily choose. This is because EUV resists used in EUV lithography are different in each company, and depending on the resist used, the wavelength band with high photosensitivity is different even in OOB light.

前述の凹凸構造の周期は、1種類でも効果は充分得られるが、例えば図2(j)のように周期が2種類以上あっても良い。まず周期が1種類であっても効果が得られる理由は、上述したモスアイ構造による低反射効果や光の回折現象は単一波長のみに効果があるのではなく、比較的広い波長領域に効果をもたらすためである。例えば回折現象について言えば、ある一定の周期を持った凹凸構造にOOB光が当たった場合、1次や2次などの回折光の反射する角度は、OOB光に含まれるそれぞれの波長によって異なるが、反射光の回折現象自体は、確実に発現する。従って、正反射の成分が減り、1次や2次などの回折光が増えることはOOB光の広い波長領域について言える現象である。   The effect of the above-described concavo-convex structure can be sufficiently obtained even with one type, but for example, there may be two or more types as shown in FIG. First, the reason why the effect can be obtained even with one period is that the low reflection effect and the light diffraction phenomenon due to the moth-eye structure described above are not effective only for a single wavelength, but are effective for a relatively wide wavelength region. To bring. For example, regarding the diffraction phenomenon, when the OOB light hits a concavo-convex structure having a certain period, the reflection angle of the diffracted light such as the first order or the second order varies depending on the respective wavelengths included in the OOB light. The diffraction phenomenon of reflected light itself is surely manifested. Therefore, the specular reflection component decreases and the first-order and second-order diffracted light increases, which is a phenomenon that can be said for a wide wavelength region of OOB light.

また、凹凸構造の周期が2種類以上あることによって、OOB光の中で、特に反射率を下げたい波長が複数ある場合、それらの複数の波長に対して、それぞれ有効な周期を選択することができる。あるいは、モスアイ構造による低反射効果と回折現象の両者を強く発現させるために、2種類以上の周期を用いることも可能である。特に、20〜500nmのうちの一周期と、500〜4000nmのうちの一周期の2種類の周期を有する凹凸構造で充分な反射率の低減効果が得られる。   In addition, since there are two or more types of periods of the concavo-convex structure, when there are a plurality of wavelengths in the OOB light that are particularly desired to reduce the reflectance, it is possible to select an effective period for each of these wavelengths. it can. Alternatively, two or more types of cycles can be used in order to strongly develop both the low reflection effect and the diffraction phenomenon due to the moth-eye structure. In particular, a sufficient reflectance reduction effect can be obtained with a concavo-convex structure having two periods of one period of 20 to 500 nm and one period of 500 to 4000 nm.

次に、本発明の反射型フォトマスクの遮光領域の凹凸構造の深さ(高さ)D(図3参照)について説明する。上述したモスアイ構造による低反射効果や回折現象による正反射成分の低減のためには、凹凸構造の深さDは、基本的には深いほど良いが、比較的浅くても効果が得られることが実験により判明した。本発明の凹凸構造の深さDは、反射率低減に一定の効果が見られるためには25nm以上であることが好ましい。より充分に効果を得るには、50nm以上であることが望ましい。   Next, the depth (height) D (see FIG. 3) of the concavo-convex structure in the light shielding region of the reflective photomask of the present invention will be described. In order to reduce the low reflection effect by the moth-eye structure and the regular reflection component by the diffraction phenomenon, the depth D of the concavo-convex structure is basically better as it is deeper, but the effect can be obtained even when it is relatively shallow. It became clear by experiment. The depth D of the concavo-convex structure of the present invention is preferably 25 nm or more so that a certain effect can be seen in reducing the reflectance. In order to obtain a sufficient effect, the thickness is desirably 50 nm or more.

本発明の反射型フォトマスクの凹凸構造の断面形状は、矩形状、もしくは傾斜した形状である。断面形状の例を図3に示す。矩形状(a)、台形状(b)、ピラミッド状(c)、逆ピラミッド状(d)、半球状(e)、放物線状(f)、円錐状(c)、逆半球状(g)、サインカーブ状(不図示)、双曲線状(不図示)からなる群より選択される少なくとも一種類の断面形状を含むことが好ましい。また、図3(a)(b)(d)や、図3(g)のパターン周期Pを変えた(h)のように、凹凸構造の凸部が平面になっていても良い。逆に凹凸構造の凹部が平面になっていても良い。   The cross-sectional shape of the concavo-convex structure of the reflective photomask of the present invention is a rectangular shape or an inclined shape. An example of the cross-sectional shape is shown in FIG. Rectangular shape (a), trapezoidal shape (b), pyramid shape (c), inverted pyramid shape (d), hemispherical shape (e), parabolic shape (f), conical shape (c), inverted hemispherical shape (g), It is preferable to include at least one cross-sectional shape selected from the group consisting of a sine curve shape (not shown) and a hyperbolic shape (not shown). Further, as shown in FIGS. 3A, 3B, and 3D and FIG. 3G in which the pattern period P is changed (h), the convex portions of the concavo-convex structure may be flat. Conversely, the concave portion of the concavo-convex structure may be a flat surface.

前記のような様々な断面形状の凹凸構造は、レジストを熱フローした後のドライエッチング、等方的な断面形状となるドライエッチング条件、多段ドライエッチング、連続的に条件を変える可変ドライエッチング、ウェットエッチングとの併用などの手法により形成することができる。   The concavo-convex structure having various cross-sectional shapes as described above includes dry etching after heat-flowing the resist, dry etching conditions that provide an isotropic cross-sectional shape, multistage dry etching, variable dry etching that continuously changes conditions, wet It can be formed by a technique such as combined use with etching.

本実施形態に係る凹凸構造は、遮光領域の中の基板の露出した部分全体に形成されているが、本発明に係る凹凸構造はこれに限定されず、アウトオブバンド光の反射を抑制できる限り、基板の露出した部分の一部に形成される態様であってもよい。   The concavo-convex structure according to the present embodiment is formed on the entire exposed portion of the substrate in the light shielding region, but the concavo-convex structure according to the present invention is not limited to this, as long as reflection of out-of-band light can be suppressed. Further, it may be formed on a part of the exposed part of the substrate.

(本発明に係るその他の事項)
本発明に係る反射型フォトマスクの製造方法は、上述した実施形態では、凹凸構造の形成にリソグラフィとドライエッチング法を用いて作製したが、この実施形態に限定されるものではない。例えば、リソグラフィに代わってナノインプリントや自己組織化単分子膜により形成したレジストパターンを用いても良く、ドライエッチングに代わってウェットエッチングを用いても良い。あるいは、従来型の遮光領域を形成し、露出した基板表面に対して、サンドブラストやプラズマ処理によって、表面を荒らす処理を行い、凹凸構造を形成しても良い。
(Other matters according to the present invention)
In the above-described embodiment, the reflective photomask manufacturing method according to the present invention is formed by using lithography and dry etching to form the concavo-convex structure. However, the present invention is not limited to this embodiment. For example, a resist pattern formed by nanoimprint or a self-assembled monomolecular film may be used instead of lithography, and wet etching may be used instead of dry etching. Alternatively, a conventional light-shielding region may be formed, and the surface of the exposed substrate may be roughened by sandblasting or plasma treatment to form a concavo-convex structure.

凹凸構造を形成する順序についても、上記実施形態では、従来型の遮光領域を形成した後に、凹凸構造を形成する例を示したが、これに限らない。例えば、露光領域の回路パターン形成と同時、あるいは形成前に、後に遮光領域となる部分の吸収膜に凹凸構造を形成しておき、遮光領域を形成するためのエッチング(吸収膜、保護膜、多層反射膜の除去)によって、吸収膜の凹凸構造が、下地の基板表面へ転写されることで、基板の凹凸構造を形成する方法でも良い。   Regarding the order of forming the concavo-convex structure, in the above-described embodiment, the example in which the concavo-convex structure is formed after the conventional light-shielding region is formed is shown, but the present invention is not limited thereto. For example, a concave / convex structure is formed in the absorption film in a portion that will later become a light shielding region at the same time or before the formation of the circuit pattern in the exposure region, and etching for forming the light shielding region (absorption film, protective film, multilayer) A method of forming the concavo-convex structure of the substrate by transferring the concavo-convex structure of the absorption film to the surface of the underlying substrate by removing the reflective film may be used.

以下、本発明の反射型フォトマスクを構成するその他の要素について説明する。   Hereinafter, other elements constituting the reflective photomask of the present invention will be described.

基板11の材料としては、遮光領域の基板11の表面上に微細な凹凸構造を形成する加工に適した特性を備える材料が望ましい。例えば、石英(SiO)を主成分とし、酸化チタン(TiO)を含む材料等が挙げられる。上記加工技術としてリソグラフィ技術及びエッチング技術を用いる場合、基板11の材料には石英ガラスを用いるのが望ましい。 The material of the substrate 11 is preferably a material having characteristics suitable for processing for forming a fine concavo-convex structure on the surface of the substrate 11 in the light shielding region. For example, a material containing quartz (SiO 2 ) as a main component and titanium oxide (TiO 2 ) can be used. When the lithography technique and the etching technique are used as the processing technique, it is desirable to use quartz glass as the material of the substrate 11.

多層反射膜12は、EUV光を反射する膜であり、EUV光に対する吸収(消衰係数)が小さく、且つ積層される膜間のEUV光での屈折率差が大きい材料が用いられる。多層反射膜12は、例えば波長13.5nmのEUV光に対して理論上72%程度の反射率を達成できるように設計され、厚さ2〜3nmのモリブデン(Mo)層と厚さ4〜5nmのシリコン(Si)層とを交互に40〜50ペア積層するように構成される。モリブデン(Mo)やシリコン(Si)は、EUV光に対する吸収(消衰係数)が小さいとともに、EUV光に対する屈折率の差が大きいので、これらの界面での反射率を高く構成できる。   The multilayer reflective film 12 is a film that reflects EUV light, and a material that has a small absorption (extinction coefficient) with respect to the EUV light and that has a large refractive index difference in the EUV light between the stacked films is used. The multilayer reflective film 12 is designed so that, for example, a reflectance of about 72% can be theoretically achieved with respect to EUV light having a wavelength of 13.5 nm, and a molybdenum (Mo) layer having a thickness of 2 to 3 nm and a thickness of 4 to 5 nm. 40 to 50 pairs of silicon (Si) layers are alternately stacked. Molybdenum (Mo) and silicon (Si) have low absorption (extinction coefficient) with respect to EUV light and a large difference in refractive index with respect to EUV light, so that the reflectance at these interfaces can be made high.

保護膜13は、酸やアルカリによる洗浄耐性を有する材料である必要があり、例えば、厚さ2〜3nmのルテニウム(Ru)あるいは厚さ10nm程度のシリコン(Si)を用いることができる。保護膜13がルテニウム(Ru)により構成される場合、保護膜13は、吸収膜14の加工におけるストッパー層としての役割や、マスク洗浄における薬液に対する保護膜としての役割を果たす。なお、ルテニウム(Ru)からなる保護膜13の下に位置する多層反射膜12の最上層はSi層となる。   The protective film 13 needs to be a material having resistance to washing with an acid or an alkali. For example, ruthenium (Ru) having a thickness of 2 to 3 nm or silicon (Si) having a thickness of about 10 nm can be used. When the protective film 13 is made of ruthenium (Ru), the protective film 13 serves as a stopper layer in the processing of the absorption film 14 and serves as a protective film against a chemical solution in mask cleaning. The uppermost layer of the multilayer reflective film 12 located under the protective film 13 made of ruthenium (Ru) is a Si layer.

保護膜13がシリコン(Si)により構成される場合は、吸収膜14との間に、緩衝層(不図示)を設ける場合もある。その場合、緩衝層は、吸収膜14のエッチングやパターン修正時に、保護膜13を保護するために設けられ、例えば、クロムの窒素化合物(CrN)により構成することが出来る。また保護膜13は、単層構造でも積層構造でもよい。保護膜13は、積層構造の場合には、保護膜13の最上層がルテニウム(Ru)及びその酸化物、窒化物、酸窒化物、シリコン(Si)及びその酸化物、窒化物、酸窒化物のいずれかを含む材料で形成される。   When the protective film 13 is made of silicon (Si), a buffer layer (not shown) may be provided between the protective film 13 and the absorption film 14. In this case, the buffer layer is provided to protect the protective film 13 during etching of the absorption film 14 or pattern correction, and can be composed of, for example, a chromium nitrogen compound (CrN). The protective film 13 may have a single layer structure or a laminated structure. When the protective film 13 has a laminated structure, the uppermost layer of the protective film 13 is ruthenium (Ru) and its oxide, nitride, oxynitride, silicon (Si) and its oxide, nitride, and oxynitride. It is formed with the material containing any of these.

吸収膜14は、EUV光を吸収する膜であり、単層構造でも2層構造でもよい。吸収膜14が単層構造の場合、吸収膜14は、例えば、EUV光に対して吸収率の高いタンタル(Ta)及びその酸化物、窒化物、酸窒化物のいずれかを含む材料で一般的に形成される。具体的には、タンタル(Ta)、タンタルホウ素窒化物(TaBN)、タンタルシリコン(TaSi)や、それらの酸化物(TaO、TaBON、TaSiO)を用いることが出来る。吸収膜14の膜厚は、通常50〜70nmとされる。   The absorption film 14 is a film that absorbs EUV light, and may have a single-layer structure or a two-layer structure. When the absorption film 14 has a single layer structure, the absorption film 14 is generally made of a material containing, for example, tantalum (Ta) having a high absorption rate for EUV light and any of oxides, nitrides, and oxynitrides thereof. Formed. Specifically, tantalum (Ta), tantalum boron nitride (TaBN), tantalum silicon (TaSi), and oxides thereof (TaO, TaBON, TaSiO) can be used. The film thickness of the absorption film 14 is normally 50 to 70 nm.

吸収膜14が2層構造の場合、上層として検査用である波長190〜260nmの紫外光に対して反射防止機能を有するよう低反射層(不図示)を設けてもよい。低反射層としては、例えば、タンタル(Ta)の酸化物、窒化物、酸窒化物やシリコン(Si)の酸化物、窒化物、酸窒化物のいずれかを含む材料を用いることができる。低反射層は、マスクの欠陥検査機の検査波長に対して、コントラストを高くし、検査性を向上させるためのものである。   When the absorption film 14 has a two-layer structure, a low reflection layer (not shown) may be provided as an upper layer so as to have an antireflection function for ultraviolet light with a wavelength of 190 to 260 nm for inspection. As the low reflection layer, for example, a material containing any of tantalum (Ta) oxide, nitride, oxynitride, silicon (Si) oxide, nitride, and oxynitride can be used. The low reflection layer is for increasing the contrast and improving the inspection property with respect to the inspection wavelength of the mask defect inspection machine.

裏面導電膜は、導電性があれば良く、一般的にクロム(Cr)またはタンタル(Ta)のいずれかの金属もしくはその酸化物、窒化物、酸窒化物のいずれか、または、導電性のあるその他の金属材料を含む材料で形成される。具体的にはCrNが用いられることが多い。裏面導電膜の膜厚は、20〜400nmとされる。   The back surface conductive film only needs to have conductivity, and is generally one of chromium (Cr) or tantalum (Ta) metal or oxide, nitride, oxynitride thereof, or is conductive. It is formed of a material including other metal materials. Specifically, CrN is often used. The film thickness of the back conductive film is 20 to 400 nm.

上述した多層反射膜12、保護膜13、吸収膜14及び裏面導電膜は、スパッタリング法等を用いて成膜することができる。   The multilayer reflective film 12, the protective film 13, the absorption film 14, and the back surface conductive film described above can be formed using a sputtering method or the like.

本発明に係る反射型フォトマスクの反射層は、上記各実施形態に示した多層反射膜に限定されず、必要な高反射が得られれば単層であってもよい。また、本発明に係る反射型フォトマスクは、基板に裏面導電膜を設けない構成であってもよい。   The reflective layer of the reflective photomask according to the present invention is not limited to the multilayer reflective film shown in the above embodiments, and may be a single layer as long as necessary high reflection can be obtained. In addition, the reflective photomask according to the present invention may have a configuration in which the back surface conductive film is not provided on the substrate.

以下、本発明の反射型フォトマスクの実施例を製造工程に即して説明し、OOB光に対する反射率等を測定した結果を説明する。   Hereinafter, examples of the reflective photomask of the present invention will be described in accordance with the manufacturing process, and the results of measuring the reflectance with respect to OOB light will be described.

<実施例1>
(使用した反射型フォトマスクブランク)
図4(a)は使用した反射型フォトマスクブランクである。反射型フォトマスクブランクには、基板11の上に、波長13.5nmのEUV光に対して反射率が64%程度となるように設計されたMo層とSi層の40ペアの多層反射膜12が、その上に2.5nm厚のRuの保護膜13が、更にその上に70nm厚のTaSiからなる吸収膜14が、順次形成されている。裏面にも導電膜15が形成されている。基板11としては、石英(SiO)を用いた極低熱膨張性ガラス(Corning社製、屈折率1.4801〜1.4892)を用いている。
<Example 1>
(Reflective photomask blank used)
FIG. 4A shows the used reflection type photomask blank. In the reflective photomask blank, 40 pairs of multilayer reflective films 12 of Mo layer and Si layer designed to have a reflectance of about 64% with respect to EUV light having a wavelength of 13.5 nm on the substrate 11. However, a Ru protective film 13 having a thickness of 2.5 nm is formed thereon, and an absorption film 14 made of TaSi having a thickness of 70 nm is further formed thereon. A conductive film 15 is also formed on the back surface. As the substrate 11, an extremely low thermal expansion glass using quartz (SiO 2 ) (made by Corning, refractive index: 1.4801 to 1.4892) is used.

[凹凸構造マスクの作製工程]
(回路パターン形成まで)
反射型フォトマスクブランクに回路パターンを形成するため、まず、反射型フォトマスクブランク(図4(a))の表面に、ポジ型化学増幅レジスト(レジスト21)(SEBP9012:富士フイルムエレクトロニクスマテリアルズ製)を150nmの膜厚に塗布した(図4(b))。次に電子線描画機(JBX3040:日本電子製)によって、マスク中心の10cm×10cmの領域に、線幅100nmの1:1のライン&スペースパターンを描画(図4(c))した後、110℃で10分間のPEBを行い、更にスプレー現像(SFG3000:シグマメルテック製)を行って、レジストパターンを形成した((図4(d))。
[Manufacturing process of uneven structure mask]
(Until circuit pattern formation)
In order to form a circuit pattern on the reflective photomask blank, first, a positive chemically amplified resist (resist 21) (SEBP9012: manufactured by Fuji Film Electronics Materials) is formed on the surface of the reflective photomask blank (FIG. 4A). Was applied to a film thickness of 150 nm (FIG. 4B). Next, a 1: 1 line & space pattern having a line width of 100 nm is drawn in an area of 10 cm × 10 cm in the center of the mask by an electron beam drawing machine (JBX3040: manufactured by JEOL) (FIG. 4C), and then 110 PEB was carried out at a temperature of 10 minutes, followed by spray development (SFG3000: manufactured by Sigma Meltech) to form a resist pattern ((FIG. 4 (d)).

次に、ドライエッチング装置を用いて、CFプラズマとClプラズマにより、吸収膜14をエッチング(図4(e))した。その後、残ったレジストパターンを剥離・洗浄・乾燥し、吸収膜14に回路パターンを有する反射型フォトマスクを作製した(図4(f))。 Next, the absorption film 14 was etched by CF 4 plasma and Cl 2 plasma using a dry etching apparatus (FIG. 4E). Thereafter, the remaining resist pattern was peeled, washed, and dried to produce a reflective photomask having a circuit pattern on the absorption film 14 (FIG. 4F).

(遮光領域の形成)
次に、上記、回路パターン付きの反射型フォトマスクのパターン面に、i線レジスト(レジスト22)を500nmの膜厚で塗布し(図5(a))、そこへi線描画機(ALTA3000:アプライドマテリアル社製)により遮光領域となる部分を描画し(図5(b))、現像(図5(c))を行った。これにより、吸収膜14上に遮光領域となる部分を開口させたレジストパターンを形成した。このときレジストパターンの開口幅は5mmとし、この開口部の端から、予め10cmx10cm領域に形成した回路パターン領域の端までの距離を3μmとなるように形成した。
(Shading area formation)
Next, an i-line resist (resist 22) is applied to the pattern surface of the reflective photomask with a circuit pattern to a thickness of 500 nm (FIG. 5A), and an i-line drawing machine (ALTA3000: A portion to be a light-shielding region was drawn (Applied Materials Co., Ltd.) (FIG. 5 (b)) and developed (FIG. 5 (c)). As a result, a resist pattern in which a portion to be a light shielding region was opened on the absorption film 14 was formed. At this time, the opening width of the resist pattern was 5 mm, and the distance from the end of the opening to the end of the circuit pattern region previously formed in the 10 cm × 10 cm region was 3 μm.

その後、CHFプラズマを用いた下記の条件で垂直性ドライエッチングにより、上記レジストパターン開口部の吸収膜14、保護膜13及び多層反射膜12を選択的に除去し(図5(d))、残ったレジストの剥離・洗浄・乾燥を行い、遮光領域20を有する反射型フォトマスクを作製した(図5(e))。
前記のドライエッチング条件は次の通りである。
CHFの流量:20sccm
ドライエッチング装置内の圧力:4mTorr
ICP(誘導結合プラズマ)パワー:350W
RIE(反応性イオンエッチング)パワー:200W
処理時間:6分
Thereafter, the absorption film 14, the protective film 13, and the multilayer reflective film 12 in the resist pattern opening are selectively removed by vertical dry etching using CHF 3 plasma under the following conditions (FIG. 5D), The remaining resist was peeled, washed, and dried to produce a reflective photomask having a light shielding region 20 (FIG. 5E).
The dry etching conditions are as follows.
CHF 3 flow rate: 20 sccm
Pressure in dry etching equipment: 4 mTorr
ICP (inductively coupled plasma) power: 350 W
RIE (reactive ion etching) power: 200W
Processing time: 6 minutes

以上、ここまでの工程は従来の反射型フォトマスクと同様である。   The steps so far are the same as those of the conventional reflective photomask.

(凹凸構造の形成)
次に、前記の遮光領域を含む反射型フォトマスクの全面に、ネガ型化学増幅レジスト(レジスト23)(FEN271:富士フイルムエレクトロニクスマテリアルズ製)を400nmの膜厚で塗布した(図6(a))。次いで、遮光領域以外の全面を描画し、遮光領域内には周期280nm(0.28μm)、1000nm(1μm)、3000nm(3μm)の3種類の1:1のライン&スペースパターンを描画(図6(b))した。その後、110℃で10分間のPEB及びスプレー現像(SFG3000:シグマメルテック製)により、遮光領域内に前記レジスト23のライン&スペースパターンを形成した(図6(c)。
(Formation of uneven structure)
Next, a negative chemical amplification resist (resist 23) (FEN271: manufactured by FUJIFILM Electronics Materials Co., Ltd.) was applied to the entire surface of the reflective photomask including the light shielding region with a film thickness of 400 nm (FIG. 6A). ). Next, the entire surface other than the light shielding region is drawn, and three types of 1: 1 line and space patterns having a period of 280 nm (0.28 μm), 1000 nm (1 μm), and 3000 nm (3 μm) are drawn in the light shielding region (FIG. 6). (B)). Thereafter, the line and space pattern of the resist 23 was formed in the light shielding area by PEB and spray development (SFG3000: manufactured by Sigma Meltech) for 10 minutes at 110 ° C. (FIG. 6C).

次に、ドライエッチング装置を用いて、CFプラズマにより基板11の表面を60秒の処理時間でエッチングし(図6(d))、その後、残ったレジストを剥離、洗浄・乾燥し、遮光領域の基板表面に凹凸構造30を有する本発明の反射型フォトマスクを作製した(図6(e))。 Next, using a dry etching apparatus, the surface of the substrate 11 is etched with a CF 4 plasma in a processing time of 60 seconds (FIG. 6D), and then the remaining resist is peeled off, washed and dried, and the light shielding region. A reflective photomask of the present invention having a concavo-convex structure 30 on the substrate surface was prepared (FIG. 6E).

<比較例>
上記の本発明の実施例1の反射型フォトマスクの製造工程のうちの遮光領域の形成(図5(e))までと、同じ材料、同じ装置、同じ条件の工程により、図5(e)の形態の従来の反射型フォトマスクを作製した。
<Comparative example>
The process up to the formation of the light-shielding region (FIG. 5E) in the manufacturing process of the reflective photomask of the first embodiment of the present invention described above is performed using the same material, the same apparatus, and the same process steps, as shown in FIG. A conventional reflective photomask of the form was prepared.

(原子間力顕微鏡による測定)
実施例1で作製した本発明の反射型フォトマスクの凹凸構造のうち、1μmライン&スペースパターンについて原子間力顕微鏡にてパターンの断面形状とパターン深さを測定した。このときの観察像を図7に示す。パターン断面形状は、凹部の裾にやや丸みがあるが、図3(a)のような矩形状で、深さDは57nmであった。
(Measurement by atomic force microscope)
Of the concavo-convex structure of the reflective photomask of the present invention produced in Example 1, the cross-sectional shape and pattern depth of the 1 μm line & space pattern were measured with an atomic force microscope. An observation image at this time is shown in FIG. The pattern cross-sectional shape was slightly round at the bottom of the recess, but was rectangular as shown in FIG. 3A, and the depth D was 57 nm.

(OOB光の反射率測定)
上記のように作製した、実施例1の遮光領域に凹凸構造を有する反射型フォトマスクと、比較例の反射型フォトマスクの両方について、波長200〜400nmのOOB光の分光反射率測定を実施した結果を図8に示す。このように、基板表面にライン&スペースの凹凸構造を有する実施例1の遮光領域の反射率は、凹凸構造の無い比較例と比べて、1/2〜1/4程度に低減していることを確認出来た。
(Measurement of OOB light reflectivity)
Spectral reflectivity measurement of OOB light having a wavelength of 200 to 400 nm was carried out for both the reflection type photomask having the concavo-convex structure in the light shielding region of Example 1 and the reflection type photomask of the comparative example, which were manufactured as described above. The results are shown in FIG. Thus, the reflectance of the light-shielding region of Example 1 having the line-and-space concavo-convex structure on the substrate surface is reduced to about ½ to ¼ compared to the comparative example without the concavo-convex structure. I was able to confirm.

<実施例2>
実施例2として、本発明の遮光領域に形成する凹凸構造が、周期280nm(0.28μm)、1000nm(1μm)、3000nm(3μm)のドットアレイパターン、及びホールアレイパターンの場合ついて、反射型フォトマスクを作製した。反射型フォトマスクの作製手順及び作製条件については、ドットアレイパターンとホールアレイパターンを作製するための描画領域の変更以外は実施例1と同じである。
<Example 2>
As Example 2, a reflection-type photo is formed when the uneven structure formed in the light-shielding region of the present invention is a dot array pattern having a period of 280 nm (0.28 μm), 1000 nm (1 μm), 3000 nm (3 μm), and a hole array pattern. A mask was prepared. The production procedure and production conditions of the reflective photomask are the same as those in Example 1 except that the drawing area for producing the dot array pattern and the hole array pattern is changed.

(原子間力顕微鏡による測定)
作製した凹凸構造(ドットアレイパターン及びホールアレイパターン)を原子間力顕微鏡にて観察し、パターン深さを測定したところ、いずれも深さは約42nmであった。このときの観察像を図9(a)、(b)に示す。
(Measurement by atomic force microscope)
When the produced uneven structure (dot array pattern and hole array pattern) was observed with an atomic force microscope and the pattern depth was measured, the depth was about 42 nm. The observation images at this time are shown in FIGS.

(OOB光の反射率測定)
実施例2の反射型フォトマスクについて、波長200〜400nmのOOB光の分光反射率測定を実施した結果を図10(ドットアレイパターン)、図11(ホールアレイパターン)に示す。このように、基板表面にドットアレイパターンやホールアレイパターンを形成した場合においても、遮光領域の反射率は、凹凸構造の無い比較例と比べて、1/2〜1/4程度に低減していることを確認出来た。
(Measurement of OOB light reflectivity)
FIG. 10 (dot array pattern) and FIG. 11 (hole array pattern) show the results of the spectral reflectance measurement of OOB light having a wavelength of 200 to 400 nm for the reflective photomask of Example 2. Thus, even when a dot array pattern or a hole array pattern is formed on the substrate surface, the reflectance of the light shielding region is reduced to about ½ to ¼ compared with the comparative example without the uneven structure. I was able to confirm.

<実施例3>
実施例3として、本発明の遮光領域に形成する凹凸構造が、実施例1や2と比べて高いアスペクト比を有する反射型フォトマスクを作製した。凹凸構造は実施例2と同様の280nm(0.28μm)の周期をもつドットアレイパターンとした。
<Example 3>
As Example 3, a reflective photomask in which the concavo-convex structure formed in the light-shielding region of the present invention has a higher aspect ratio than Examples 1 and 2 was produced. The concavo-convex structure was a dot array pattern having the same period of 280 nm (0.28 μm) as in Example 2.

実施例3の作製手順及び作製条件は、回路パターン及び遮光領域を形成する工程(図5(e))までは、実施例2の周期280nmのドットアレイパターンと同じである。実施例3の凹凸構造を形成する工程(図6)では、CFプラズマによる基板11の表面のエッチング処理時間(図6(d))を、実施例2の場合の10倍である600秒とした。 The manufacturing procedure and manufacturing conditions of Example 3 are the same as those of the dot array pattern having a period of 280 nm in Example 2 until the step of forming the circuit pattern and the light shielding region (FIG. 5E). In the step of forming the concavo-convex structure of Example 3 (FIG. 6), the etching process time (FIG. 6D) of the surface of the substrate 11 by CF 4 plasma was 600 seconds, which is 10 times that of Example 2. did.

実施例3では、凹凸構造が高いアスペクト比となり、原子間力顕微鏡では深さを測定出来ないため、同様のサンプルを複数作製し、断裁して走査型電子顕微鏡にて断面を観察することで、ドットアレイの凹凸構造の深さ(高さ)を確認したところ、深さ411nmであった。従って、パターンのアスペクト比は2.9(=深さ411を、周期280nmの半分の140で割った値)となった。尚、実施例2の周期280nmのドットアレイパターンは、深さが42nmであったことから、アスペクト比は0.3である。   In Example 3, since the concavo-convex structure has a high aspect ratio and the depth cannot be measured with an atomic force microscope, a plurality of similar samples are produced, cut, and observed with a scanning electron microscope. When the depth (height) of the uneven structure of the dot array was confirmed, the depth was 411 nm. Therefore, the aspect ratio of the pattern was 2.9 (= the value obtained by dividing the depth 411 by 140, which is half the period of 280 nm). The dot array pattern having a period of 280 nm in Example 2 had a depth of 42 nm, and thus the aspect ratio was 0.3.

(OOB光の反射率測定)
上記のようにして作製した、遮光領域に高いアスペクト比(凹凸の深い)のドットアレイパターンを有する実施例3の反射型フォトマスクについて、波長200〜400nmのOOB光の分光反射率測定を実施した結果を図12に示す。その結果、凹凸構造の無い比較例と比べて、1/10〜1/20程度と、大幅に低減していることを確認出来た。波長よりも狭いパターン幅(周期280nmx1/2)と波長よりも深いパターン深さによって、光の閉じ込め効率が高くなったためと考えられる。
(Measurement of OOB light reflectivity)
Spectral reflectance measurement of OOB light having a wavelength of 200 to 400 nm was performed on the reflective photomask of Example 3 having a dot array pattern with a high aspect ratio (deep concavoconvex) in the light-shielding region produced as described above. The results are shown in FIG. As a result, compared with the comparative example without a concavo-convex structure, it was confirmed that it was significantly reduced to about 1/10 to 1/20. This is probably because the light confinement efficiency is increased by the pattern width narrower than the wavelength (period 280 nm × 1/2) and the pattern depth deeper than the wavelength.

(露光評価)
次に実施例1で作成した本発明の反射型フォトマスク(周期3μm、1μm、280nmの3種)、及び凹凸構造の無い比較例の反射型フォトマスクを用いて、EUV露光機により、ウェハー上でチップを4面付けする露光評価を行い、重複する各チップのコーナー部(4重露光部)におけるレジストの寸法変動を調べた。4面付けの場合、チップのコーナー部には自らのパターン形成のための露光に加えて、余分に3回の多重露光(遮光領域から反射するOOB光から3回の照射)により4重露光を受け、寸法変動が最も大きくなりやすいコーナー部が存在する。露光機は、ASML社のNXE3300を用い、露光条件は、通常照明、ドーズ量30mJ/cmで実施した。このときの各サンプルの、波長200〜300nmのOOB光に対する平均反射率と、4重露光を受けるチップコーナー部のチップ中心部に対する寸法変動量を表1に示す。
(Exposure evaluation)
Next, using the reflective photomask of the present invention prepared in Example 1 (three types having a period of 3 μm, 1 μm, and 280 nm) and the reflective photomask of the comparative example having no concavo-convex structure, an EUV exposure machine is used on the wafer. Then, the exposure evaluation for attaching the four faces of the chip was performed, and the dimensional variation of the resist at the corner portion (four exposure portion) of each overlapping chip was examined. In the case of four-sided attachment, in addition to exposure for forming the pattern itself, the corner portion of the chip is subjected to four times of extra exposure by three times of multiple exposure (three times of irradiation from OOB light reflected from the light shielding region). Therefore, there is a corner portion where the dimensional variation is likely to be greatest. The exposure machine used was NXE3300 manufactured by ASML, and the exposure conditions were normal illumination and a dose of 30 mJ / cm 2 . Table 1 shows the average reflectance of each sample at this time with respect to OOB light having a wavelength of 200 to 300 nm and the dimensional variation with respect to the chip center portion of the chip corner portion subjected to quadruple exposure.

表1の結果より、OOB光の平均反射率が5.2%である比較例の反射型フォトマスクに対して、本発明の反射型フォトマスクでは、OOB光の平均反射率が2.8%、2.0%、1.6%の3種類全てで、コーナー部の寸法変動量を低減できた。OOB光の平均反射率と寸法変動量の関係をプロットしたグラフを図13に示す。このようにOOB光の反射率が小さいほど、ウェハー上のチップコーナー部のレジストパターン寸法の変動が少なく、均一なレジストパターンが形成され、回路パターンの形成精度が向上することを確認した。   From the results shown in Table 1, the average reflectance of OOB light is 2.8% in the reflective photomask of the present invention compared to the reflective photomask of the comparative example in which the average reflectance of OOB light is 5.2%. In all three types, 2.0% and 1.6%, the dimensional variation of the corner portion could be reduced. A graph plotting the relationship between the average reflectance of the OOB light and the dimensional variation is shown in FIG. As described above, it was confirmed that the smaller the OOB light reflectance, the smaller the variation of the resist pattern dimension at the chip corner portion on the wafer, the more uniform resist pattern is formed, and the circuit pattern formation accuracy is improved.

101 反射型フォトマスク
11 基板
12 多層反射膜
13 保護膜
14 吸収膜
15 裏面導電膜
10 回路パターン
20 遮光領域
30 凹凸構造
21、22、23 レジスト
DESCRIPTION OF SYMBOLS 101 Reflective photomask 11 Substrate 12 Multilayer reflective film 13 Protective film 14 Absorbing film 15 Back surface conductive film 10 Circuit pattern 20 Light-shielding region 30 Uneven structure 21, 22, 23 Resist

Claims (10)

基板、多層反射膜、吸収膜を備える反射型フォトマスクであって、
前記フォトマスクの表面が回路パターン領域と、前記回路パターン領域の外側の遮光領域とを含む複数の領域に区分されており、
前記回路パターン領域では、前記基板上に前記多層反射膜と前記吸収膜がこの順に積層されており、かつ、前記吸収膜が回路パターンを構成しており、
前記遮光領域では、前記基板表面が凹凸構造を有しており、
前記遮光領域における、波長100〜400nmの入射光に対する平均反射率が4%以下であることを特徴とする反射型フォトマスク。
A reflective photomask comprising a substrate, a multilayer reflective film, and an absorption film,
The surface of the photomask is divided into a plurality of regions including a circuit pattern region and a light shielding region outside the circuit pattern region;
In the circuit pattern region, the multilayer reflective film and the absorption film are laminated in this order on the substrate, and the absorption film constitutes a circuit pattern,
In the light shielding region, the substrate surface has an uneven structure,
A reflection type photomask having an average reflectance of 4% or less with respect to incident light having a wavelength of 100 to 400 nm in the light shielding region.
前記平均反射率が2%以下であることを特徴とする請求項1に記載の反射型フォトマスク。   2. The reflective photomask according to claim 1, wherein the average reflectance is 2% or less. 前記凹凸構造は、平面視で、ライン&スペースパターン、ドットパターン、ホールパターンからなる群より選択される少なくとも1種類のパターンを含むことを特徴とする請求項1、または2に記載の反射型フォトマスク。   The reflective photo according to claim 1, wherein the concavo-convex structure includes at least one pattern selected from the group consisting of a line & space pattern, a dot pattern, and a hole pattern in plan view. mask. 前記凹凸構造に含まれる前記少なくとも1種類のパターンは、平面視で、少なくとも1種類の周期構造を有することを特徴とする請求項3に記載の反射型フォトマスク。   4. The reflective photomask according to claim 3, wherein the at least one pattern included in the concavo-convex structure has at least one type of periodic structure in a plan view. 前記周期構造の少なくとも1つの周期は、20nm〜4000nmであることを特徴とする請求項4に記載の反射型フォトマスク。   The reflective photomask according to claim 4, wherein at least one period of the periodic structure is 20 nm to 4000 nm. 前記周期構造の周期は、20nm〜500nmと、500nm〜4000nmの少なくとも2種類の周期を含むことを特徴とする請求項5に記載の反射型フォトマスク。   6. The reflective photomask according to claim 5, wherein the period of the periodic structure includes at least two kinds of periods of 20 nm to 500 nm and 500 nm to 4000 nm. 前記凹凸構造の深さは、25nm以上であることを特徴とする請求項1〜6のいずれか一項に記載の反射型フォトマスク。   The reflective photomask according to any one of claims 1 to 6, wherein a depth of the concavo-convex structure is 25 nm or more. 前記凹凸構造の深さは、50nm以上であることを特徴とする請求項1〜6のいずれか一項に記載の反射型フォトマスク。   The reflective photomask according to any one of claims 1 to 6, wherein a depth of the concavo-convex structure is 50 nm or more. 前記凹凸構造の断面形状は、矩形状、もしくは傾斜した形状であることを特徴とする請求項1〜8のいずれか一項に記載の反射型フォトマスク。   9. The reflective photomask according to claim 1, wherein a cross-sectional shape of the concavo-convex structure is a rectangular shape or an inclined shape. 前記傾斜した形状は、台形状、ピラミッド状、逆ピラミッド状、半球状、放物線状、円錐状、逆半球状、サインカーブ状、双曲線状からなる群より選択される少なくとも1種類の形状を含むことを特徴とする請求項9に記載の反射型フォトマスク。   The inclined shape includes at least one shape selected from the group consisting of trapezoidal shape, pyramid shape, inverted pyramid shape, hemispherical shape, parabolic shape, conical shape, inverted hemispherical shape, sine curve shape, and hyperbolic shape. The reflective photomask according to claim 9.
JP2016030165A 2016-02-19 2016-02-19 Reflective photomask Active JP6728748B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2016030165A JP6728748B2 (en) 2016-02-19 2016-02-19 Reflective photomask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016030165A JP6728748B2 (en) 2016-02-19 2016-02-19 Reflective photomask

Publications (2)

Publication Number Publication Date
JP2017146547A true JP2017146547A (en) 2017-08-24
JP6728748B2 JP6728748B2 (en) 2020-07-22

Family

ID=59681371

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016030165A Active JP6728748B2 (en) 2016-02-19 2016-02-19 Reflective photomask

Country Status (1)

Country Link
JP (1) JP6728748B2 (en)

Also Published As

Publication number Publication date
JP6728748B2 (en) 2020-07-22

Similar Documents

Publication Publication Date Title
KR102303158B1 (en) Assist feature for a photolithographic process
US8367279B2 (en) Reflective mask blank, reflective mask, and method of manufacturing the same
KR100604938B1 (en) Reflection mask for euvl lithography, fabricating method of the same
JP5953833B2 (en) Reflective photomask and method of manufacturing the same
KR102262761B1 (en) Reflective photomask and production method therefor
TWI753273B (en) Mask for euv lithography and method of manufacturing the same
JP2010103463A (en) Reflection type photomask blank, reflection type photomask, and method of manufacturing semiconductor device
CN110658676B (en) Extreme ultraviolet lithography mask and method of manufacturing the same
WO2013046641A1 (en) Reflective mask blank, reflective mask, and methods for manufacturing reflective mask blank and reflective mask
JP5948778B2 (en) Reflective mask blank
JP2010122304A (en) Reflective mask blank, reflective mask, method for manufacturing reflective mask blank, and method for manufacturing reflective mask
TWI712849B (en) Extreme ultraviolet mask
JP5990961B2 (en) Reflective mask
JP2017227702A (en) Reflective photomask
JP5742300B2 (en) REFLECTIVE MASK BLANK AND ITS MANUFACTURING METHOD, REFLECTIVE MASK
JP6743539B2 (en) Reflective mask and method of manufacturing reflective mask
JP6260149B2 (en) Reflective mask blank and reflective mask
JP2014232844A (en) Method for manufacturing reflective mask
JP6728748B2 (en) Reflective photomask
US10852634B2 (en) Phase shifter mask
JP2018025716A (en) Reflection type exposure mask and manufacturing method thereof
JP2014183075A (en) Reflective mask, and method of manufacturing the same
JP5909964B2 (en) Reflective mask blank and reflective mask
US20170306475A1 (en) Reflective mask, reflective mask blank, and manufacturing method therefor
TWI808103B (en) Substrate with multilayer reflective film, reflective mask substrate, reflective mask, and manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200303

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200602

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200615

R150 Certificate of patent or registration of utility model

Ref document number: 6728748

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250