JP2017084837A - Reflection type photomask blank, method of manufacturing the same, and reflection type photomask - Google Patents

Reflection type photomask blank, method of manufacturing the same, and reflection type photomask Download PDF

Info

Publication number
JP2017084837A
JP2017084837A JP2014170229A JP2014170229A JP2017084837A JP 2017084837 A JP2017084837 A JP 2017084837A JP 2014170229 A JP2014170229 A JP 2014170229A JP 2014170229 A JP2014170229 A JP 2014170229A JP 2017084837 A JP2017084837 A JP 2017084837A
Authority
JP
Japan
Prior art keywords
reflective
multilayer film
film
pattern
mark
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014170229A
Other languages
Japanese (ja)
Inventor
泰史 西山
Yasushi Nishiyama
泰史 西山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Printing Co Ltd filed Critical Toppan Printing Co Ltd
Priority to TW104108718A priority Critical patent/TW201543138A/en
Priority to PCT/JP2015/001543 priority patent/WO2015141230A1/en
Publication of JP2017084837A publication Critical patent/JP2017084837A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To improve positional accuracy of a transferred image by reducing the positional deviation amount of a transfer pattern, which causes a problem when forming a light shielding frame by reducing a reflective multilayer film around a pattern region and is resulting from compression stress of the reflective multilayer film, in manufacture of a reflection type photomask.SOLUTION: In this reflection type photomask blank, a reflective multilayer film 20, an absorption film 30 on top thereof, and a resist film 40 are laminated on one side of a substrate, and a back conductive layer is provided on the other side thereof. A fiducial mark 42, and a mark 34 for measuring a positional relation between itself and the fiducial mark are provided in the periphery of the reflection photomask plank, a reflective multilayer removal mark 35 from which the absorption film and the reflective multilayer film are removed is provided in the vicinity of the mark 34 for measuring the positional relation between itself and the fiducial mark, and the mark 34 for measuring the positional relation between itself and the fiducial mark is utilized to correct positional deviation due to stress release which is caused when forming a light shielding frame.SELECTED DRAWING: Figure 1

Description

本発明は、半導体デバイス等をリソグラフィ技術により製造する際に用いられるフォトマスクブランクであって、露光光が極端紫外光の場合に用いる反射型のフォトマスクブランク、フォトマスク、製造方法に関する。   The present invention relates to a photomask blank used when a semiconductor device or the like is manufactured by a lithography technique, and relates to a reflective photomask blank, a photomask, and a manufacturing method used when exposure light is extreme ultraviolet light.

半導体集積回路は、性能および生産性を向上させるために微細化、高集積化が進んでおり、回路パターンを形成するリソグラフィ技術についても、より微細なパターンを高精度に形成するための技術開発が進められている。   Semiconductor integrated circuits are being miniaturized and highly integrated in order to improve performance and productivity, and as for lithography technology for forming circuit patterns, technological development for forming finer patterns with higher accuracy is being carried out. It is being advanced.

これらの技術の進展に伴い、パターン形成に使用される露光装置の光源についても短波長化が進められ、13.5ナノメートルの極端紫外光(Extreme Ultra Violet光、以下「EUV光」と称する)を用いた装置およびパターン転写のプロセスが開発されている。   With the development of these technologies, the light source of an exposure apparatus used for pattern formation is also shortened, and extreme ultraviolet light of 13.5 nanometers (Extreme Ultra Violet light, hereinafter referred to as “EUV light”). An apparatus and a pattern transfer process using the sapphire have been developed.

EUV光の波長において、あらゆる物質の屈折率は1に近い値となり、また吸収も大きい。このため従来用いられてきた193nmの紫外光を用いる場合のように透過型の光学系を用いることができない。そこで屈折率の異なる複数の材料を用いて、界面での反射が強まるように多数の層を積層した反射膜を利用した反射光学系が用いられる。   At the wavelength of EUV light, the refractive index of all substances is close to 1, and the absorption is large. Therefore, a transmission type optical system cannot be used as in the case of using 193 nm ultraviolet light which has been conventionally used. Therefore, a reflection optical system using a reflection film in which a plurality of layers are laminated so that reflection at an interface is strengthened using a plurality of materials having different refractive indexes.

デバイスの回路などの転写パターンを形成したフォトマスクについても、多層反射膜を用いることになる。(以下、EUV光を用いた露光に使用する反射型マスクをEUVマスクと称する。)パターンを形成する方法としては、反射多層膜自体をパターン加工する方法と、反射多層膜上に吸収パターンを形成する方法とが考えられるが、微細なパターンを高精度で形成する点においては後者の方が有利であり一般的になっている。   A multilayer reflective film is also used for a photomask on which a transfer pattern such as a device circuit is formed. (Hereinafter, a reflective mask used for exposure using EUV light is referred to as an EUV mask.) As a method of forming a pattern, a method of patterning the reflective multilayer film itself and an absorption pattern on the reflective multilayer film are formed. However, the latter method is more advantageous and general in terms of forming a fine pattern with high accuracy.

図9は一般的な反射型フォトマスクブランク100の断面を図示したものである。反射型フォトマスクブランク100は基板10の上にEUV光を反射する反射多層膜20、パターンを形成する吸収膜30、および吸収膜を選択的に加工するためのレジスト膜40、また、上記各層を形成する裏側の基板面には静電チャックを使用するための導電膜50が形成されている。   FIG. 9 illustrates a cross section of a general reflective photomask blank 100. The reflective photomask blank 100 includes a reflective multilayer film 20 that reflects EUV light on a substrate 10, an absorption film 30 that forms a pattern, a resist film 40 for selectively processing the absorption film, and the above-described layers. A conductive film 50 for using an electrostatic chuck is formed on the rear substrate surface to be formed.

マスク上に形成するパターンの位置精度については従来のフォトマスクと同様に反射型フォトマスクにおいても非常に精密な制御が必要である。反射型フォトマスクであるEUVマスクは従来のフォトマスクとは異なり、露光光の光軸は反射型フォトマスク基板に対して垂直ではなくやや斜めに入射する。この角度は典型的には約6゜である。このため、基板の平坦度も位置精度に影響を及ぼす大きな要因と考えられている。   As for the positional accuracy of the pattern formed on the mask, very precise control is required in the reflection type photomask as well as the conventional photomask. Unlike a conventional photomask, an EUV mask, which is a reflective photomask, has an optical axis of exposure light that is incident on the reflective photomask substrate at a slight angle rather than perpendicularly. This angle is typically about 6 °. For this reason, the flatness of the substrate is also considered as a major factor affecting the position accuracy.

このことから、EUVマスク基板および露光装置内での吸着に用いる静電チャックの、両方について平坦度を向上させる技術開発が進められている。   For this reason, technical development is progressing to improve the flatness of both the EUV mask substrate and the electrostatic chuck used for adsorption in the exposure apparatus.

一方、上記のように露光光の入射方向がやや斜めであることから、露光光軸に対して平行なパターンと垂直なパターンでは転写特性が異なるなど、反射型フォトマスクから反射する光には様々な非対称性が生じる。このような影響を小さくするためには、吸収パターンの厚さを薄くするほど有利になることは明らかである。   On the other hand, since the incident direction of exposure light is slightly oblique as described above, there are various types of light reflected from the reflective photomask, such as different transfer characteristics between a pattern parallel to the exposure optical axis and a pattern perpendicular to the exposure optical axis. Asymmetry occurs. In order to reduce such an influence, it is obvious that the thinner the absorption pattern, the more advantageous.

しかしながら、吸収パターンを薄くすると反射像のコントラストが低下してしまうため、従来のフォトマスクにおけるハーフトーン型位相シフトマスクのように、吸収パターン部分から反射した微小な光の位相が反射多層膜から反射した光に対しておおよそ180゜ずれるように設計することが考案されている(特許文献1)。   However, since the contrast of the reflected image is reduced when the absorption pattern is made thinner, the phase of the minute light reflected from the absorption pattern portion is reflected from the reflective multilayer film, as in the halftone phase shift mask in the conventional photomask. It has been devised to design so as to be shifted by about 180 ° with respect to the light (Patent Document 1).

また、吸収膜を薄くするとともに、それによって転写される露光ブロックに隣接する光が漏れないように回路パターンの周りを囲うように反射率の低い領域を設ける提案がなされ、これを遮光枠と呼んでいる(特許文献2)。   In addition, a proposal has been made to make the absorption film thin and provide a low-reflectance region so as to surround the circuit pattern so that light adjacent to the exposure block transferred thereby does not leak, which is called a light shielding frame. (Patent Document 2).

遮光枠としては特許文献2にも各種の形成方法が開示されているが、反射多層膜をエッチング除去する方法が最も有力である。   Various methods for forming the light shielding frame are also disclosed in Patent Document 2, but the method of removing the reflective multilayer film by etching is the most effective.

また、前記多層反射膜はモリブデンとケイ素をそれぞれ約4nm、3nmの厚さとして交互に40対乃至50対積層したものが一般的である。このような反射多層膜を無欠陥で作成するのは非常に困難であり、基板上の欠陥部上に平坦に積層されなかった部分を平坦に修正することも事実上不可能である。   The multilayer reflective film is generally formed by alternately stacking 40 to 50 pairs of molybdenum and silicon with a thickness of about 4 nm and 3 nm, respectively. It is very difficult to produce such a reflective multilayer film without defects, and it is practically impossible to flatly correct a portion that is not flatly stacked on a defective portion on a substrate.

このように反射多層膜の欠陥のない完全な反射型フォトマスクブランクを用意するのは極めて難しく、多少の欠陥であればそれを回避するようにパターン形成位置をずらして欠陥部分を吸収部に重なるようにしたり、吸収パターンの修正によって反射多層膜の欠陥を補正して正常な転写ができるようにしたりする技術が開発されている。   In this way, it is extremely difficult to prepare a complete reflection type photomask blank having no defect in the reflective multilayer film, and if there are some defects, the pattern formation position is shifted so as to avoid it, and the defective part is overlapped with the absorption part. In other words, a technique has been developed in which a defect in the reflective multilayer film is corrected by correcting the absorption pattern so that normal transfer can be performed.

上記のように欠陥をよけるように配置するためには基準となるパターンが必要であり、吸収膜を形成する前に、反射多層膜の欠陥を検査する前にフィデュシャルマークと呼ばれるパターンをあらかじめ形成しておき、反射多層膜欠陥の位置をフィデュシャルマークに対する相対位置として記録しておくことが行われる。   As described above, a reference pattern is necessary to arrange so as to avoid the defect, and before forming the absorption film, a pattern called a fiducial mark is required before inspecting the defect of the reflective multilayer film. It is formed in advance and the position of the reflective multilayer film defect is recorded as a relative position with respect to the fiducial mark.

すでに述べたようにEUVマスクにおいてはパターン位置精度を高めるために極めて平坦な基板を用いている。しかしながら、反射多層膜はイオンビームスパッタリングで成膜されるが、たとえば特許文献3に示されるように、一般に圧縮方向の内部応力を持っており、反射多層膜側が凸状になるように基板のそりを生じるが、その対策として、裏面に形成する導電膜で応力を相殺する手法が開示されている(特許文献3)。   As described above, in the EUV mask, a very flat substrate is used in order to increase the pattern position accuracy. However, although the reflective multilayer film is formed by ion beam sputtering, as shown in Patent Document 3, for example, the reflective multilayer film generally has internal stress in the compression direction, and the substrate is warped so that the reflective multilayer film side is convex. However, as a countermeasure against this, a technique of canceling stress with a conductive film formed on the back surface is disclosed (Patent Document 3).

例えば、反射多層膜の応力は400MPaの圧縮応力であり、この場合、通常用いられている厚さ6.35mmの基板は約0.6ミクロン程度反ってしまうことが記載されている(非特許文献1)。   For example, the stress of the reflective multilayer film is a compressive stress of 400 MPa, and in this case, it is described that a commonly used substrate having a thickness of 6.35 mm warps about 0.6 microns (Non-Patent Document). 1).

一方、上記特許文献に示されたようにパターン領域の周りに遮光帯として反射多層膜をエッチング除去すると、遮光枠の端部で反射多層膜の応力が開放されることによって位置ずれが生じる。この現象をおおまかに説明したものが図10である。   On the other hand, when the reflective multilayer film is removed by etching as a light shielding band around the pattern area as shown in the above-mentioned patent document, the stress of the reflective multilayer film is released at the end of the light shielding frame, thereby causing a positional shift. FIG. 10 roughly explains this phenomenon.

図10(a)はEUVマスク基板上に形成された反射多層膜20の断面図を示しており、パターン領域周囲の反射多層膜を除去して遮光枠を形成した部分は、反射多層膜の応力が無視できるならば図10(b)のようになる。しかしながら、反射多層膜の圧縮応力が大きい場合には、遮光枠の端部で内部応力が開放されるために図10(c)のようになる。図は現象を理解しやすいように変形を誇張してあり、また複雑な変形を単純化して描いている。   FIG. 10A shows a cross-sectional view of the reflective multilayer film 20 formed on the EUV mask substrate. The portion where the light-shielding frame is formed by removing the reflective multilayer film around the pattern region is the stress of the reflective multilayer film. Can be ignored as shown in FIG. However, when the compressive stress of the reflective multilayer film is large, the internal stress is released at the end of the light shielding frame, so that the state shown in FIG. The figure exaggerates the deformations so that the phenomenon can be easily understood, and the complicated deformations are simplified.

このような変形においては、遮光枠の端部に近くなるにしたがって、その位置ずれ量は大きくなることが容易に想定できる。このことから、遮光枠内にあって遮光枠端部に近い
パターンは基板中心から外側に向かって設計値よりもずれてしまうことになる。
In such a modification, it can be easily assumed that the amount of positional deviation increases as the distance from the end of the light shielding frame becomes closer. For this reason, the pattern within the light shielding frame and close to the edge of the light shielding frame is shifted from the design value toward the outside from the center of the substrate.

このようなずれは、複数の層からなる半導体デバイスを順次リソグラフィ工程にて製造する場合に、各層の重ね合わせ誤差による動作不良の原因となってしまう。   Such a shift causes a malfunction due to an overlay error of each layer when a semiconductor device composed of a plurality of layers is sequentially manufactured in a lithography process.

また、直接デバイスパターンではなくても、例えばチップアライメントマークを配置してしまうと、露光装置でアライメント調整しても、設計よりやや縮小されて転写されてしまうことになり、ウェハ上に転写される像の位置精度が低下してしまう要因となってしまう。   Even if it is not a direct device pattern, for example, if a chip alignment mark is arranged, even if alignment adjustment is performed by an exposure apparatus, it will be transferred with a slightly reduced size than the design and transferred onto the wafer. This is a factor that degrades the positional accuracy of the image.

実際の露光プロセスにおいて、反射型フォトマスクは平坦な静電チャックに吸着されることになるが、上記の位置ずれは遮光枠端部の局所的な応力開放によるものであり、裏面を平坦に矯正したとしても、上記の膜面方向の位置ずれ量は低減されない。   In the actual exposure process, the reflective photomask is attracted to a flat electrostatic chuck, but the above displacement is due to local stress relief at the edge of the light-shielding frame, and the back surface is flattened. Even if this is done, the amount of displacement in the film surface direction is not reduced.

特開2004−207593号公報JP 2004-207593 A 特許第4602430号公報Japanese Patent No. 4602430 特開2002−299228号公報JP 2002-299228 A

Proceedings of SPIE,6533巻,653314 (2007)Proceedings of SPIE, Volume 6533, 653314 (2007)

本発明は、反射型フォトマスクの製造において、パターン領域周囲の反射多層膜を除去して遮光枠を形成する際に問題となる、反射多層膜の圧縮応力起因の転写パターンの位置ずれ量を低減し、転写される像の位置精度の向上が図れる、反射型フォトマスクブランクを提供することを目的とする。   The present invention reduces the amount of misalignment of the transfer pattern due to the compressive stress of the reflective multilayer film, which becomes a problem when the reflective multilayer film around the pattern area is removed to form a light shielding frame in the production of a reflective photomask. It is another object of the present invention to provide a reflective photomask blank that can improve the positional accuracy of a transferred image.

本発明はまた、遮光枠に直行する方向に対する補正について有効であってさらに、遮光枠の角に近い部分の補正値を十分に得ることができる反射型フォトマスクブランクを提供する。   The present invention also provides a reflective photomask blank that is effective for correction in a direction perpendicular to the light shielding frame and that can sufficiently obtain a correction value near the corner of the light shielding frame.

さらに、反射型フォトマスクのパターンの位置計測を行う際と、反射型フォトマスクを露光装置に装着した際の基板の変形状態の差を考慮する。   Furthermore, the difference in the deformation state of the substrate when measuring the position of the pattern of the reflective photomask and when the reflective photomask is mounted on the exposure apparatus is taken into consideration.

上記の課題を解決するための手段として、請求項1に記載の発明は、基板の一方の面に、反射多層膜、その上に吸収膜、他方の面に裏面導電層を設けた反射型フォトマスクブランクであって、
前記反射型フォトマスクブランクの外周部に、フィデュシャルマークと、前記フィデュシャルマークとの位置関係を計測するためのマークを設け、前期フィデュシャルマークとの位置関係を計測するためのマークの近傍に前記吸収膜と反射多層膜を部分的に除去した領域が設けられ、前記吸収膜と反射多層膜を部分的に除去した形状は内側に90度の凸型形状を有することを特徴とする反射型フォトマスクブランクである。
As a means for solving the above-mentioned problems, the invention according to claim 1 is a reflection type photo in which a reflective multilayer film is provided on one surface of a substrate, an absorption film is provided on the reflective film, and a back conductive layer is provided on the other surface. A mask blank,
A mark for measuring the positional relationship between the fiducial mark and the fiducial mark is provided on the outer periphery of the reflective photomask blank, and the mark for measuring the positional relationship with the previous fiducial mark. A region where the absorption film and the reflective multilayer film are partially removed is provided in the vicinity, and the shape obtained by partially removing the absorption film and the reflective multilayer film has a convex shape of 90 degrees inside. A reflective photomask blank.

請求項2に記載の反射型フォトマスクブランクは請求項1に記載の反射型フォトマスクブランクであって、前記吸収層の上にレジストが塗布されている反射型フォトマスクブラ
ンクである。
A reflective photomask blank according to claim 2 is the reflective photomask blank according to claim 1, wherein a resist is coated on the absorption layer.

また、請求項3に記載の発明は、請求項1または請求項2に記載の反射型フォトマスクブランクであって、前記吸収膜と反射多層膜を部分的に除去した領域を設ける前後の、フィデュシャルマークとの位置関係を計測するマークの差分情報を、付加した反射型フォトマスクブランクである。   The invention described in claim 3 is the reflective photomask blank according to claim 1 or 2, wherein the film is formed before and after the region where the absorbing film and the reflective multilayer film are partially removed is provided. This is a reflective photomask blank to which mark difference information for measuring the positional relationship with the dual mark is added.

また、請求項4に記載の発明は請求項3に記載のフォトマスクブランクを用いた反射型フォトマスクの製造方法であって、前記差分情報をもとに遮光枠を形成した際に生じる応力開放起因による影響を補正する際に、静電チャックに吸着されない状態でフォトマスクパターンの位置精度を計測するときと、露光装置内で静電チャックに吸着された状態での基板状態の差を考慮する手順を含むことを特徴とする反射型フォトマスクの製造方法である。   The invention according to claim 4 is a method for manufacturing a reflective photomask using the photomask blank according to claim 3, wherein stress is released when a light shielding frame is formed based on the difference information. When correcting the influence of the cause, the difference between the substrate state when measuring the position accuracy of the photomask pattern without being attracted to the electrostatic chuck and when attracted to the electrostatic chuck in the exposure apparatus is taken into consideration It is a manufacturing method of the reflection type photomask characterized by including a procedure.

また、請求項5に記載の発明は、請求項4に記載の反射型フォトマスクの製造方法に基づき製造されたことを特徴とする反射型フォトマスクである。   According to a fifth aspect of the present invention, there is provided a reflective photomask manufactured based on the reflective photomask manufacturing method according to the fourth aspect.

反射型フォトマスクブランク毎の反射多層膜の圧縮応力を、転写パターンの位置ずれ量として数値化することができ、その数値により、パターンに対し最適な補正を施すことができるようになり、転写される像の位置を高精度にできる反射型フォトマスクを提供することができる。   The compressive stress of the reflective multilayer film for each reflective photomask blank can be quantified as the amount of misregistration of the transfer pattern, and the numerical value can be used to optimally correct the pattern and transfer it. It is possible to provide a reflective photomask that can accurately position the image.

本発明の、反射多層膜の圧縮応力をデータ化できる反射型フォトマスクブランクを示した断面概念図である。It is the cross-sectional conceptual diagram which showed the reflective photomask blank which can convert data into the compressive stress of the reflective multilayer film of this invention. 本発明の実施の一例の形態の反射型フォトマスクブランクの一部を上面から見た概念図である。It is the conceptual diagram which looked at a part of reflective type photomask blank of the form of an example of implementation of this invention from the upper surface. 本発明の、反射型フォトマスクを示した断面概念図である。It is a conceptual sectional view showing a reflective photomask of the present invention. 反射型フォトマスク基板上の位置を表わす座標軸と反射型フォトマスク上に形成した遮光枠の説明図である。It is explanatory drawing of the light shielding frame formed on the coordinate axis showing the position on a reflection type photomask substrate, and a reflection type photomask. 反射多層膜の応力によるX軸方向のずれ量を計算した結果の説明図である。It is explanatory drawing of the result of having calculated the deviation | shift amount of the X-axis direction by the stress of a reflective multilayer film. 遮光枠の有無によるX軸方向のずれ量の差を計算した結果の説明図である。It is explanatory drawing of the result of having calculated the difference of the deviation | shift amount of the X-axis direction by the presence or absence of a light-shielding frame. 図6の計算結果の内、遮光枠の内側端部近辺に限定して計算した結果の説明図である。It is explanatory drawing of the result calculated only within the inner edge part vicinity of the light-shielding frame among the calculation results of FIG. 本発明の実施形態の一例を示す説明図である。It is explanatory drawing which shows an example of embodiment of this invention. 一般的な反射型フォトマスクブランクの断面構造を表わした説明図である。It is explanatory drawing showing the cross-section of the general reflection type photomask blank. 反射多層膜の一部を除去して遮光枠を形成したときの応力による変形の説明図である。It is explanatory drawing of the deformation | transformation by stress when a part of reflective multilayer film is removed and the light shielding frame is formed. 反射型多層膜の保持状態によるX軸方向のずれ量の違いを計算した結果の説明図である。It is explanatory drawing of the result of having calculated the difference in the deviation | shift amount of the X-axis direction by the holding | maintenance state of a reflective multilayer film.

以下本発明を実施するための形態を、図面を用いて詳細に説明する。図1は、本発明の反射型フォトマスクブランク502を示しており、基板10の上にEUV光を反射する反射多層膜20、パターンを形成する吸収膜30および吸収膜を選択的に加工するためのレジスト膜40が積層され、裏側の基板面には静電チャックを使用するための導電膜50が形成され、反射型フォトマスクブランクの外周部に、フィデュシャルマーク42と前記フィデュシャルマークとの位置関係を計測するための位置計測マーク34を設け、その近傍に反射多層膜20と吸収膜30を部分的に除去した位置ずれ検査用反射多層膜除去マーク35を形成し、前記反射多層膜除去マーク35を形成する前後の位置ずれ量を計測して、遮光枠形成による位置ずれに関する情報を得た反射型フォトマスクブランク501(図8(o)参照)となる。
さらに反射型フォトマスクブランク501の上にレジスト40が積層され、反射型フォトマスクブランク502となる(図1、図8(p)参照)。
DESCRIPTION OF EMBODIMENTS Hereinafter, embodiments for carrying out the present invention will be described in detail with reference to the drawings. FIG. 1 shows a reflective photomask blank 502 of the present invention, which selectively processes a reflective multilayer film 20 that reflects EUV light on a substrate 10, an absorption film 30 that forms a pattern, and an absorption film. The resist film 40 is laminated, a conductive film 50 for using an electrostatic chuck is formed on the back substrate surface, and a fiducial mark 42 and the fiducial mark are formed on the outer periphery of the reflective photomask blank. A position measurement mark 34 for measuring the positional relationship between the reflection multilayer film 20 and the absorption film 30 is partially formed in the vicinity thereof, and a reflection multilayer film removal mark 35 for misalignment inspection is partially formed. A reflective photomask blank 501 obtained by measuring the amount of positional deviation before and after forming the film removal mark 35 and obtaining information on positional deviation due to the formation of the light shielding frame (see FIG. 8 (o)). To become.
Further, a resist 40 is laminated on the reflective photomask blank 501 to form a reflective photomask blank 502 (see FIGS. 1 and 8 (p)).

図2は、図1におけるフィデュシャルマークとの位置関係を計測するための位置計測マーク34と、その近傍に多層膜20と吸収膜30を部分的に除去した位置ずれ検査用反射多層膜除去マーク35の部分について上面から見た概念図である。説明を容易にするために図2にはレジスト膜40は省略してある。   2 shows a position measurement mark 34 for measuring the positional relationship with the fiducial mark in FIG. 1 and a reflection multilayer film removal for misregistration inspection in which the multilayer film 20 and the absorption film 30 are partially removed in the vicinity thereof. It is the conceptual diagram seen from the upper surface about the part of the mark 35. FIG. For ease of explanation, the resist film 40 is omitted in FIG.

図2において、位置計測マーク34の最適な大きさは反射多層膜除去マーク35と比較して非常に小さいが、説明のために大きく描いてある。また、位置計測マークの配置や形状は一例を示したものであり、本発明の適用条件を制限するものではない。   In FIG. 2, the optimum size of the position measurement mark 34 is very small compared to the reflective multilayer film removal mark 35, but is drawn large for explanation. In addition, the arrangement and shape of the position measurement marks are merely examples, and do not limit the application conditions of the present invention.

また、図2において反射多層膜除去マーク35は内側に90゜の凸部350を少なくとも1箇所有する。90°の凸部は、図2(a)に示したように、反射多層膜除去マーク35の外辺にあってもよいし、図2(b)に示したように、反射多層膜除去マーク35の内側にあって、外辺に接しない配置であってもよい。   Further, in FIG. 2, the reflective multilayer film removal mark 35 has at least one 90 ° convex portion 350 inside. The 90 ° convex portion may be on the outer side of the reflective multilayer film removal mark 35 as shown in FIG. 2A, or the reflective multilayer film removal mark as shown in FIG. It may be arranged inside 35 and not in contact with the outer side.

図2(a)では、幅を有する線状のパターンを90°曲げることによって、遮光枠内側の角の部分の近傍と等価のマークを、反射多層膜除去マークとして形成している。図2(b)では、矩形状のパターンの中に、さらに小さな位置計測マークを有する矩形状パターンを設け、両パターンの間を反射多層膜除去マークとしてその角部を、遮光枠内側の角の部分の近傍と等価のマークを形成している。いずれの場合でも反射多層膜除去マークは、吸収膜と多層反射膜を削除して形成される遮光枠の、垂直パターンと水平パターンとが交わる部位の角部と、等価となる部位を有する。したがって、削除された吸収膜、多層反射膜とで形成される、遮光部角部内側と等価な形状を含むことになる。   In FIG. 2A, a linear pattern having a width is bent by 90 ° to form a mark equivalent to the vicinity of the corner portion inside the light shielding frame as a reflective multilayer film removal mark. In FIG. 2B, a rectangular pattern having a smaller position measurement mark is provided in the rectangular pattern, and a reflection multilayer film removal mark is provided between the two patterns, and the corners are formed at the corners inside the light shielding frame. A mark equivalent to the vicinity of the portion is formed. In any case, the reflective multilayer film removal mark has an equivalent part with the corner of the part of the light shielding frame formed by deleting the absorption film and the multilayer reflective film where the vertical pattern and the horizontal pattern intersect. Therefore, it includes a shape equivalent to the inner side of the light shielding portion corner formed by the deleted absorption film and multilayer reflective film.

図2に示したような位置計測評価マークは図1において1箇所だけ描いてあるが、マスクブランク外周部で他の用途に割り当てられていない部分に複数配置してもよい。   Although only one position measurement evaluation mark as shown in FIG. 2 is drawn in FIG. 1, a plurality of position measurement evaluation marks may be arranged on a portion of the mask blank outer peripheral portion that is not assigned to other uses.

図3は反射多層膜の圧縮応力データに基づき、最適な補正が施されたパターンにより製造された反射型フォトマスク600を示した断面図である。   FIG. 3 is a cross-sectional view showing a reflective photomask 600 manufactured with a pattern that has been optimally corrected based on the compressive stress data of the reflective multilayer film.

基板10としては、例えば酸化チタンを含む酸化ケイ素からなる超低膨張ガラス(Low Thermal Expansion Material、以下LTEM)が用いられる。EUV光を反射する反射多層膜としては、露光光の波長が13.5nmの場合、モリブデンとケイ素とをおおよそ4nm、3nmとして交互に40乃至50対積層したものが用いられる。   As the substrate 10, for example, an ultra-low expansion material (hereinafter referred to as “LTEM”) made of silicon oxide containing titanium oxide is used. As the reflective multilayer film that reflects the EUV light, when the wavelength of the exposure light is 13.5 nm, a film in which 40 to 50 pairs of molybdenum and silicon are alternately stacked at approximately 4 nm and 3 nm is used.

反射多層膜20の表面には図示しないが、反射多層膜表面を保護するための膜が形成されていることが多く、キャッピング層などと称している。吸収膜30はEUV光を吸収する性質の物質であり、例えばタンタルを主成分とする膜が用いられ、パターン欠陥検査の感度を高める等の目的で多層構造となっている場合もある。   Although not shown in the drawing, a film for protecting the surface of the reflective multilayer film is often formed on the surface of the reflective multilayer film 20 and is referred to as a capping layer or the like. The absorption film 30 is a substance having a property of absorbing EUV light. For example, a film containing tantalum as a main component is used and may have a multilayer structure for the purpose of increasing the sensitivity of pattern defect inspection.

EUVリソグラフィにおいては、露光光は反射型フォトマスクに対して垂直ではなくやや斜め、例えば主光線が6゜程度傾斜した方向から入射する。このためパターンの輪郭線が入射面となす角度によって影のできる量が異なる。このような影響は転写パターンの形状や寸法に影響を及ぼすため、反射型フォトマスクパターン上で補正しておく必要がある
。吸収膜の膜厚が大きいと、この補正量が大きくなるため、この観点からは吸収膜30は可能な限り薄いことが好ましい。
In EUV lithography, the exposure light is not perpendicular to the reflective photomask but is slightly inclined, for example, from the direction in which the principal ray is inclined by about 6 °. For this reason, the amount of shadows varies depending on the angle formed by the contour of the pattern and the incident surface. Since such an effect affects the shape and dimensions of the transfer pattern, it must be corrected on the reflective photomask pattern. If the thickness of the absorption film is large, this correction amount becomes large. From this viewpoint, it is preferable that the absorption film 30 is as thin as possible.

吸収膜30を薄くすると、転写像のコントラストが低下するが、従来のフォトマスクにおけるハーフトーンマスクと同様に吸収膜30表面で反射した光と反射多層膜20表面で反射した光の位相が180゜ずれるようにすれば、ウェハ面上で良好なコントラストが得られる。ところが、このように吸収膜30を薄くすると反射型フォトマスク上でパターン領域の外側に入射した光がウェハ上で隣接するチップ領域に到達してしまう。パターン領域の外側は露光装置に装着されたレチクルマスクブレードなどと呼ばれる部材によってマスキングされるが、完全なマスキングは困難である。   When the absorbing film 30 is thinned, the contrast of the transferred image is lowered, but the phase of the light reflected on the surface of the absorbing film 30 and the light reflected on the surface of the reflective multilayer film 20 is 180 ° as in the halftone mask in the conventional photomask. If they are shifted, good contrast can be obtained on the wafer surface. However, when the absorption film 30 is thinned in this way, the light incident on the outside of the pattern region on the reflective photomask reaches the adjacent chip region on the wafer. The outside of the pattern area is masked by a member called a reticle mask blade mounted on the exposure apparatus, but complete masking is difficult.

そこで、反射型フォトマスク上でパターン領域の周辺は反射率を低くしたEUVマスクが提案されている。パターン領域以外の全面について反射多層膜を除去した構造とするとパターン周辺に配置されるアライメントマーク等の形成が困難となってしまう。このことからパターン領域を囲うように枠状の低反射領域を設ける例が多く、遮光枠もしくは遮光帯などと呼ばれている。   Therefore, an EUV mask has been proposed in which the reflectance around the pattern region on the reflective photomask is lowered. If the reflective multilayer film is removed from the entire surface other than the pattern region, it becomes difficult to form alignment marks and the like arranged around the pattern. For this reason, there are many examples in which a frame-like low reflection region is provided so as to surround the pattern region, which is called a light shielding frame or a light shielding band.

遮光枠の形態としては、反射多層膜20を除去してEUV光の反射率を低下させるものと、遮光枠部にさらに厚く遮光材を積層したものが代表的であるが、製造工程での欠陥発生を防止する点から考えると前者の方が有利である。   Typical examples of the shape of the light shielding frame include those in which the reflective multilayer film 20 is removed to reduce the reflectivity of EUV light, and those in which a light shielding material is further laminated on the light shielding frame portion. The former is more advantageous from the viewpoint of preventing the occurrence.

反射多層膜を枠状にエッチング除去すると、前記のように多層膜が途切れる端面のところで圧縮応力が開放される。LTEMとして用いられているガラスと反射多層膜20の弾性係数を比較すると、反射多層膜20の方が数倍大きい。このため、遮光枠21よりも基板の内側においては基板中心から外側に向かって、遮光枠21よりも基板の外側では基板の中心がある内側に向かって反射多層膜20が伸びる方向に変形し、これに伴う変形に対してガラス基板およびその他の膜が反発する力がつりあうところで止まることになる。   When the reflective multilayer film is etched away in a frame shape, the compressive stress is released at the end face where the multilayer film is interrupted as described above. Comparing the elastic modulus of the glass used as the LTEM and the reflective multilayer film 20, the reflective multilayer film 20 is several times larger. For this reason, the reflective multilayer film 20 is deformed in a direction in which the reflective multilayer film 20 extends from the center of the substrate to the outside inside the substrate than the light shielding frame 21, and toward the inside where the center of the substrate is located outside the light shielding frame 21; The glass substrate and other films will stop against the deformation that accompanies this, and will stop at the point where the repulsive forces balance.

基板の弾性係数が高ければ上記の変形量は小さくすることができるが、物性として重要視される熱膨張係数を低く維持したまま弾性係数を大きく変化させることは極めて困難である。また、ガラス基板表面と反射多層膜の間に弾性係数の高い物質の層を設けることでも上記の変形量を小さくする効果はあるが、基板表面を平坦に研磨することが極めて困難となってしまう。   If the elastic modulus of the substrate is high, the amount of deformation can be reduced, but it is extremely difficult to greatly change the elastic modulus while keeping the thermal expansion coefficient regarded as a physical property low. In addition, providing a layer of a material having a high elastic coefficient between the glass substrate surface and the reflective multilayer film has the effect of reducing the amount of deformation, but it becomes extremely difficult to polish the substrate surface flatly. .

このような変形は構造解析シミュレーションにより計算することができる。LTEMや反射多層膜20についての物性値は、たとえば非特許文献1に開示するものを用いることができる。また例えばナノインデンテーションのような手法を用いて計測することもできる。   Such deformation can be calculated by structural analysis simulation. As the physical property values of the LTEM and the reflective multilayer film 20, for example, those disclosed in Non-Patent Document 1 can be used. For example, it can also measure using techniques, such as nanoindentation.

また反射多層膜20の応力については、反射多層膜20を形成する前後の基板表面の平坦度を計測し、応力によって生じたたわみ量から計測することができる。例えば、曲率半径と応力の関係を表わすストーニーの式と呼ばれる式を適用しても良いし、より精密には有限要素法等による構造解析プログラムによる計算結果とのフィッティングによって求めてもよい。   The stress of the reflective multilayer film 20 can be measured from the amount of deflection caused by the stress by measuring the flatness of the substrate surface before and after the reflective multilayer film 20 is formed. For example, an equation called a Stoney equation representing the relationship between the radius of curvature and stress may be applied, or more precisely, it may be obtained by fitting with a calculation result by a structural analysis program such as a finite element method.

このようにして6インチ角の基板表面の中心を原点として図4に示すような直交座標系で、基板中心の位置が変わらないという境界条件のもとに、X軸上の各点についてX方向の変位量を計算した結果を図5に示す。ここで遮光枠は基板の中心からX方向に52mmから54mmの2mm幅である。   Thus, in the orthogonal coordinate system as shown in FIG. 4 with the center of the 6-inch square substrate surface as the origin, under the boundary condition that the position of the substrate center does not change, each point on the X axis is in the X direction. FIG. 5 shows the result of calculating the displacement amount. Here, the light shielding frame has a width of 2 mm from 52 mm to 54 mm in the X direction from the center of the substrate.

基板中心から端部に向かって直線的に変位量が大きくなっているのは、反射多層膜20の圧縮応力によって基板全体が凸状にたわんでいるためである。遮光枠21が無い状態で同様にX方向の変位量を計算した結果を図5の結果から差引いたものが図6であり、遮光枠21の有無によるX軸方向の変位量の差を表わしていることになる。   The reason why the amount of displacement increases linearly from the center of the substrate toward the end is that the entire substrate is bent in a convex shape due to the compressive stress of the reflective multilayer film 20. FIG. 6 is a result obtained by subtracting the result of calculating the amount of displacement in the X direction in the same manner without the light shielding frame 21 from the result of FIG. 5, and represents the difference in the amount of displacement in the X axis depending on the presence or absence of the light shielding frame 21. Will be.

遮光枠内側では遮光枠端部に近くなるにしたがって外側へのずれ量が急激に大きくなる。また、遮光枠外側ではほぼ同じ量だけ内側にずれ量が変化する。遮光枠外側では全体的にずれ量が負になっているが、これは遮光枠を形成したことにより基板表面全体が外側に引き伸ばされる力が緩和されたことによると理解することができる。   On the inner side of the light shielding frame, the amount of deviation to the outer side increases rapidly as it approaches the end of the light shielding frame. Further, the deviation amount changes inward by substantially the same amount outside the light shielding frame. The amount of shift is generally negative outside the light shielding frame, which can be understood as the fact that the formation of the light shielding frame alleviates the force that stretches the entire substrate surface outward.

この負側へのオフセット量は材料が同じであれば、膜の応力と遮光枠の幅に相関があり、応力が大きいほど、また遮光枠の幅が大きいほど、オフセット量も大きくなる。   If the material is the same for the negative offset amount, there is a correlation between the stress of the film and the width of the light shielding frame. The greater the stress and the larger the width of the light shielding frame, the larger the offset amount.

図6について遮光枠の内側端部近辺に限定して計算した結果を図7に示す。変位量の最大値が図6より小さいのは計算条件がやや異なることによる。図7においてX方向の変位量は遮光枠の端部から0.1μm程度離れたところにピークがある。これは反射多層膜上に形成された吸収膜は内部応力が小さいため、遮光枠内側の上端を内側に引っ張るような力が作用するためと理解することができる。   FIG. 7 shows the result of calculation for FIG. 6 limited to the vicinity of the inner edge of the light shielding frame. The reason why the maximum displacement amount is smaller than that in FIG. 6 is that the calculation conditions are slightly different. In FIG. 7, the displacement amount in the X direction has a peak at a distance of about 0.1 μm from the end of the light shielding frame. It can be understood that this is because the absorption film formed on the reflective multilayer film has a small internal stress, and therefore a force that pulls the upper end inside the light shielding frame inward acts.

図7に示した計算結果は実際に遮光枠の近辺に配置したパターンの位置を計測した結果をよく再現しており、吸収膜の物性値を適正に調整すれば十分な信頼性が得られる。   The calculation result shown in FIG. 7 closely reproduces the result of actually measuring the position of the pattern arranged in the vicinity of the light shielding frame, and sufficient reliability can be obtained by appropriately adjusting the physical property value of the absorption film.

このような計算を例えば有限要素法による構造解析プログラムを用いて実施するには初期値として膜の応力を入力する必要がある。膜の応力は例えば反射多層膜を形成する前後で表面形状を測定し、たわみ量から計算することができる。また、基板の熱膨張係数は極めて小さいことから、温度変化に伴う応力を考慮して多層膜の膜厚方向の応力分布を入力条件に加えてもよい。   In order to carry out such calculation using a structural analysis program based on the finite element method, for example, it is necessary to input a film stress as an initial value. The stress of the film can be calculated from the amount of deflection by measuring the surface shape before and after forming the reflective multilayer film, for example. Further, since the thermal expansion coefficient of the substrate is extremely small, the stress distribution in the film thickness direction of the multilayer film may be added to the input conditions in consideration of the stress accompanying the temperature change.

より正確には、膜の応力を各種設定して計算し、遮光枠端部の各位置におけるずれ量をデータベースとして蓄積しておくことができる。このようなデータベースと照合することによってより正確に応力を取得することができる。   More precisely, the film stress can be set and calculated in various ways, and the shift amount at each position of the light shielding frame end can be accumulated as a database. Stress can be acquired more accurately by collating with such a database.

したがって、パターン形成には使用しない遮光枠外側に位置計測用のパターン34を吸収膜に形成しておき、そのごく近傍の領域35の反射多層膜をエッチング除去した後に、その位置変動量を計測すれば膜の応力情報を抽出することができ、さらにその情報を用いて、遮光枠内側の位置ずれに関する情報をシミュレーション計算によって取得することができる。また上記データベースと照合した時点で内側の位置ずれ情報を出力できるようにデータベースを構築しておいてもよい。  Therefore, a position measurement pattern 34 is formed on the absorption film outside the light shielding frame that is not used for pattern formation, and after the reflective multilayer film in the region 35 in the vicinity thereof is removed by etching, the position variation amount is measured. For example, the stress information of the film can be extracted, and by using the information, information on the positional deviation inside the light shielding frame can be obtained by simulation calculation. Further, a database may be constructed so that the position displacement information on the inside can be output at the time of collation with the database.

また実際の遮光枠21の位置以外であっても、遮光枠をエッチングすることによる応力の開放で生ずる位置ずれは、反射多層膜の内部応力の面内均一性が十分に良好であるか、あるいは面内分布が十分な精度で把握されていれば上記のようなシミュレーションによってフィッティングが可能であり、さらには、遮光枠を形成した位置での設計値からのずれ量も計算できることになる。   Further, even if the position is not the actual position of the light shielding frame 21, the positional deviation caused by the release of the stress by etching the light shielding frame is sufficiently good in the in-plane uniformity of the internal stress of the reflective multilayer film, or If the in-plane distribution is grasped with sufficient accuracy, fitting can be performed by the simulation as described above, and further, the deviation from the design value at the position where the light shielding frame is formed can be calculated.

本発明においては位置計測パターンの基準をフィデュシャルマークとして記載しているが、位置計測パターンを形成すると同時に吸収膜に基準パターンを形成することによっても同等の評価ができる。   In the present invention, the reference of the position measurement pattern is described as a fiducial mark, but the same evaluation can be performed by forming the reference pattern on the absorption film simultaneously with the formation of the position measurement pattern.

図5および図6に示したX方向の変位量の計算においては断面構造をモデルとした二次
元の計算により精度良く求めることができ、実際の計測値との整合性も良い。しかしながら遮光枠の四隅の近傍においては二次元と近似するのでは十分な精度が得られないことがある。
The calculation of the amount of displacement in the X direction shown in FIGS. 5 and 6 can be obtained with high accuracy by two-dimensional calculation using the cross-sectional structure as a model, and the consistency with the actual measurement value is also good. However, in the vicinity of the four corners of the light shielding frame, sufficient accuracy may not be obtained if it is approximated to two dimensions.

そこで本発明においては、反射膜除去マークに遮光枠内側の角の部分の近傍と等価と考えられるような、内側に90゜の凸形状を含み、その近傍に位置計測マークを配置することによって、シミュレーション計算との整合性を最適化し、データベースを構築することでパターン領域全体の位置精度の向上ができる。   Therefore, in the present invention, the reflective film removal mark includes a convex shape of 90 ° on the inner side, which is considered to be equivalent to the vicinity of the corner portion inside the light shielding frame, and a position measurement mark is arranged in the vicinity thereof, By optimizing the consistency with the simulation calculation and constructing the database, the position accuracy of the entire pattern area can be improved.

また、パターン位置はマスクの保持状態の影響を受ける。パターン位置計測装置においては、マスク基板裏面の端部付近の3点で支持するのが典型的である。マスク基板の重力によるたわみで生じるパターン位置の変化はこの3点の支持点によって影響を受けるが、これも補正が可能で、市販されている位置計測装置にも補正機能が搭載されている。   The pattern position is affected by the holding state of the mask. In the pattern position measuring apparatus, it is typically supported at three points near the edge of the back surface of the mask substrate. Changes in the pattern position caused by the deflection of the mask substrate due to gravity are affected by these three support points, but this can also be corrected, and a commercially available position measuring apparatus has a correction function.

しかし、遮光枠を形成したことによって重力によるたわみ量が変化することを補正する手順についてはこれまでに開示されていない。本発明によれば、マスク基板の保持状態による位置変位を補正することを含むので、さらに高精度な補正が可能となる。   However, a procedure for correcting that the amount of deflection due to gravity changes due to the formation of the light shielding frame has not been disclosed so far. According to the present invention, since the position displacement due to the holding state of the mask substrate is included, correction with higher accuracy is possible.

また、露光装置内で静電チャックに吸着された状態においては重力によるたわみではなく、静電チャックの平坦度と吸着時の摩擦の影響を受ける。反射多層膜の応力の開放による遮光枠端部近傍の局所的な位置変化は、基板の弾性係数が比較的小さく、かつ厚さが6.35mmと大きいために、基板の変形によってほとんど吸収されるために、静電チャックへの吸着前後で大きな変化は無い。   Further, in the state of being attracted to the electrostatic chuck in the exposure apparatus, it is not influenced by gravity, but is affected by the flatness of the electrostatic chuck and the friction at the time of suction. The local position change in the vicinity of the edge of the light shielding frame due to the release of the stress of the reflective multilayer film is almost absorbed by the deformation of the substrate because the elastic coefficient of the substrate is relatively small and the thickness is as large as 6.35 mm. Therefore, there is no significant change before and after adsorption to the electrostatic chuck.

しかしながら、図6に示したような遮光枠外側で負にオフセットが生じるのは基板底面が自由に変形できる場合であり、静電チャックに吸着すると、このオフセット量は小さくなる。この変化量についても上記と同様のシミュレーションによって十分な精度で予測することができる。   However, a negative offset occurs outside the light shielding frame as shown in FIG. 6 when the bottom surface of the substrate can be freely deformed, and this amount of offset decreases when attracted to the electrostatic chuck. This amount of change can also be predicted with sufficient accuracy by the same simulation as described above.

計算結果の一例を図11に示す。図11a)は外力を受けない、仮想的に空中に浮いている状態を計算したもので、図11b)は静電チャックに基板の裏面が密着するように静電気力を模擬した力を作用させた状態を計算したものである。   An example of the calculation result is shown in FIG. FIG. 11a) is a calculation result of virtually floating in the air without receiving an external force, and FIG. 11b) applies a force simulating electrostatic force so that the back surface of the substrate is in close contact with the electrostatic chuck. The state is calculated.

このようにマスク基板の保持状態のちがいによるパターン位置変位を考慮することを含む補正を実施することにより、露光プロセスにおいてパターン位置精度の高い露光を実現できる。   In this way, exposure with high pattern position accuracy can be realized in the exposure process by performing correction including consideration of pattern position displacement due to differences in the holding state of the mask substrate.

本発明の第一の実施形態は前記遮光枠外側の位置ずれ計測用パターンが形成され、フィデュシャルマーク42との相対位置情報を具備した反射型フォトマスクブランク501、並びに反射型フォトマスクブランク501の製造方法である。   In the first embodiment of the present invention, a reflective photomask blank 501 having a positional deviation measuring pattern outside the light shielding frame and having relative position information with respect to the fiducial mark 42, and the reflective photomask blank 501 are provided. It is a manufacturing method.

フィデュシャルマーク42はその目的から考えて、反射多層膜20の欠陥検査の際にはすでに形成されているのが当然である。下記に本発明の反射型フォトマスク製造工程の一例について図8を用いて説明する。各工程の間には公知の洗浄工程や熱処理工程を適宜組み込んでもよいし、可能な部分は順序を入れ替えても差し支えない。   In view of the purpose, the fiducial mark 42 is naturally formed in the defect inspection of the reflective multilayer film 20. Hereinafter, an example of the reflective photomask manufacturing process of the present invention will be described with reference to FIG. A known cleaning process or heat treatment process may be appropriately incorporated between each process, and the order of possible parts may be changed.

まず表面が十分に平坦な低膨張ガラス基板10を用意する(図8(a)参照)。この主平面の一方に反射多層膜20、その裏面に導電性膜50を形成する(図8(b)参照)。図には表示していないが、反射多層膜とガラス基板の間に何らかの目的で薄膜が形成されていてもよい。   First, a low expansion glass substrate 10 having a sufficiently flat surface is prepared (see FIG. 8A). The reflective multilayer film 20 is formed on one of the main planes, and the conductive film 50 is formed on the back surface thereof (see FIG. 8B). Although not shown in the figure, a thin film may be formed for some purpose between the reflective multilayer film and the glass substrate.

次に反射多層膜20上にレジスト膜400を塗布し(図8(c)参照)、公知のリソグラフィ技術によって露光、現像してフィデュシャルマーク42を形成するためのレジストパターン41を得る(図8(d)参照)。   Next, a resist film 400 is applied on the reflective multilayer film 20 (see FIG. 8C), and exposed and developed by a known lithography technique to obtain a resist pattern 41 for forming a fiducial mark 42 (FIG. 8). 8 (d)).

次に反射多層膜20の一部あるいは全部の深さをエッチング除去してフィデュシャルマーク42を形成し、残ったレジスト膜を除去する(図8(e)参照)。ここで反射多層膜20の欠陥検査を実施して、そのフィデュシャルマーク42との相対位置情報を得る(図8(f)参照)。   Next, a part or all of the depth of the reflective multilayer film 20 is removed by etching to form a fiducial mark 42, and the remaining resist film is removed (see FIG. 8E). Here, a defect inspection of the reflective multilayer film 20 is performed to obtain relative position information with respect to the fiducial mark 42 (see FIG. 8F).

前記フィデュシャルマークは多層膜の一部をリソグラフィ技術により除去して形成しているが、他のエネルギービーム照射を用いても良いし、多層膜上に別途膜を堆積してパターンを形成するなどの方法でもよく、本発明はフィデュシャルマークの形成方法を限定するものではない。   The fiducial mark is formed by removing a part of the multilayer film by lithography, but other energy beam irradiation may be used, or a film is separately deposited on the multilayer film to form a pattern. The present invention does not limit the method of forming fiducial marks.

次に反射多層膜20の上に吸収膜30を形成する(図8(g)参照)。吸収膜30を形成するとフィデュシャルマーク42が隠れてしまうので、フィデュシャルマーク42部分の吸収膜20を除去するためにレジスト401を塗布(図8(h)参照)してリソグラフィ工程によってフィデュシャルマーク部が露出したレジストパターン43を得る(図8(i)参照)。   Next, the absorption film 30 is formed on the reflective multilayer film 20 (see FIG. 8G). Since the fiducial mark 42 is hidden when the absorbing film 30 is formed, a resist 401 is applied to remove the absorbing film 20 at the fiducial mark 42 (see FIG. 8H), and the fiducial mark 42 is formed by a lithography process. A resist pattern 43 in which the dual mark portion is exposed is obtained (see FIG. 8I).

本発明の実施形態としては、このリソグラフィ工程において、フィデュシャルマーク42のほかに位置計測用のレジストパターン44、並びに反射多層膜除去マーク45、を連続して露光することを特徴とする(図8(j)参照)。このことにより、フィデュシャルマーク42を露出させるために吸収膜をエッチングすると同時に位置計測マーク34及び位置ずれ検査用反射多層膜除去マーク35の形成を同時に実施することができる(図8(k)参照)。したがって、図8の(i)と(j)で別々にパターンが形成されているが、一度の現像工程にて実施することもできる。   An embodiment of the present invention is characterized in that, in this lithography process, in addition to the fiducial mark 42, a resist pattern 44 for position measurement and a reflective multilayer film removal mark 45 are continuously exposed (see FIG. 8 (j)). As a result, the absorption film is etched to expose the fiducial mark 42, and at the same time, the position measurement mark 34 and the reflection multilayer film removal mark 35 for position shift inspection can be simultaneously formed (FIG. 8 (k)). reference). Therefore, although the patterns are separately formed in (i) and (j) of FIG. 8, it can be carried out in a single development step.

この時点では反射多層膜欠陥の位置がパターンの位置調整やパターン修正で可能なように配置するための最良の方向が未知であるから、全体が長方形のデバイスパターンに対してその周囲に長方形の遮光枠を設けることを考慮すると、どの向きに反射型フォトマスク基板を回転しても転写領域外の反射型フォトマスク周辺部に多様な目的で配置されるパターンと干渉しない位置に位置計測マーク34、位置ずれ検査用反射多層膜除去マーク35は配置する必要がある。   At this point, the best direction for arranging the reflective multilayer defect so that the position of the defect of the reflective multilayer film can be adjusted by pattern position adjustment or pattern correction is unknown. Considering the provision of a frame, the position measurement mark 34 is located at a position where it does not interfere with a pattern arranged for various purposes around the reflective photomask outside the transfer region, no matter which direction the reflective photomask substrate is rotated. The reflective multilayer film removal mark 35 for position shift inspection needs to be arranged.

フィデュシャルマーク42を露出させるためのパターン43と位置計測マーク34では要求精度が異なるので、上記とは異なりフィデュシャルマーク42を露出させるためのパターン43と位置計測マーク34は別の露光装置を用いた工程としてもよい。   Since the required accuracy differs between the pattern 43 for exposing the fiducial mark 42 and the position measurement mark 34, unlike the above, the pattern 43 for exposing the fiducial mark 42 and the position measurement mark 34 are different exposure apparatuses. It is good also as a process using.

本発明の一つの実施形態としては、引き続いて上記位置計測用パターンの近傍に配置した反射多層膜除去パターン45の領域の反射多層膜20をエッチング除去する工程、すなわち図8の(l)から(o)の工程を含むことを特徴とする。   As one embodiment of the present invention, the step of etching and removing the reflective multilayer film 20 in the region of the reflective multilayer film removal pattern 45 arranged in the vicinity of the position measurement pattern, ie, from (l) of FIG. o) including a process.

図8(l)の402はレジスト膜であり、反射多層膜をエッチングするためのレジストパターンである反射多層膜除去パターン45を露光して現像する(図8(m)参照)。次に反射多層膜を除去して遮光枠を形成するのと同様の条件でエッチングを行う(図8(n)参照)。また、反射多層膜20の表面にキャッピング層を設けた場合は必要に応じてキャッピング層をエッチングする工程を追加してもよい。   Reference numeral 402 in FIG. 8L denotes a resist film, which exposes and develops the reflective multilayer film removal pattern 45, which is a resist pattern for etching the reflective multilayer film (see FIG. 8M). Next, etching is performed under the same conditions as those for removing the reflective multilayer film and forming the light shielding frame (see FIG. 8 (n)). Further, when a capping layer is provided on the surface of the reflective multilayer film 20, a step of etching the capping layer may be added as necessary.

反射多層膜20をエッチングする領域である位置ずれ検査用反射多層膜除去マーク35は図2a)に示したように正方形または長方形の一部を矩形に切り欠いた形状、もしくは図2b)に示したように、正方形または長方形の枠状とする。一辺の長さは1mm乃至4mmとするのが好ましい。大きさが小さすぎると、遮光枠の直線部分とほぼ同じとみなせる領域が十分に取れず、また遮光枠の角の部分と同等とみなすことができなくなり、位置精度補正に関する情報が十分な精度で得られなくなるおそれがある。逆に大きすぎると、その開口によって他のパターンの位置精度に影響を及ぼすおそれがある。   As shown in FIG. 2a), the reflective multilayer film removal mark 35 for misregistration inspection, which is a region for etching the reflective multilayer film 20, is shown in a shape obtained by cutting a square or a part of a rectangle into a rectangle, or in FIG. 2b). In this way, a square or rectangular frame shape is used. The length of one side is preferably 1 mm to 4 mm. If the size is too small, the area that can be regarded as almost the same as the straight part of the light shielding frame cannot be taken sufficiently, and it cannot be regarded as equivalent to the corner part of the light shielding frame. There is a risk that it will not be obtained. On the other hand, if it is too large, the opening may affect the position accuracy of other patterns.

また、位置計測マーク34は除去する反射多層膜20の領域の端部からの距離が0.1μm乃至1mmの範囲にわたって形成されていることが好ましい。   The position measurement mark 34 is preferably formed over a range of 0.1 μm to 1 mm from the end of the region of the reflective multilayer film 20 to be removed.

これは図7に示したように、反射多層膜20除去領域端部のごく近傍に位置ずれ量の絶対値が最大となる点があり、この範囲を正確に把握するためである。この最大点は反射多層膜20μm程度の位置にあり、そこから離れるにしたがって徐々に位置ずれ量は小さくなる。位置計測装置の測定誤差を考慮すると、上記端部から1mm程度離れた部分まで計測すれば、応力開放による位置ずれの情報を十分に得ることができる。   This is because, as shown in FIG. 7, there is a point where the absolute value of the positional deviation amount becomes maximum in the very vicinity of the end portion of the reflection multilayer film 20 removal region, and this range is accurately grasped. This maximum point is at a position of about 20 μm of the reflective multilayer film, and the amount of positional deviation gradually decreases as the distance from the maximum point increases. In consideration of the measurement error of the position measuring device, if the measurement is performed up to a portion about 1 mm away from the end portion, it is possible to obtain sufficient information on the displacement due to stress release.

したがって、図8(k)の500の状態とレジストを除去した図8(o)の501の状態について位置計測マークの位置を計測、比較することによって、その基板に形成された反射多層膜の応力の影響に基づく位置ずれ量を把握することができる。これは反射多層膜除去マーク35と位置計測マーク34との距離に応じて近似関数を得ても良いし、前記構造解析プログラムを使用したモデルとのフィッティングによって反射多層膜エッチング部の端部からの位置に応じた位置ずれ量のデータを得てもよい。   Therefore, by measuring and comparing the position of the position measurement mark in the state 500 in FIG. 8K and the state 501 in FIG. 8O with the resist removed, the stress of the reflective multilayer film formed on the substrate is measured. It is possible to grasp the amount of misalignment based on the influence of. In this case, an approximate function may be obtained according to the distance between the reflective multilayer film removal mark 35 and the position measurement mark 34, or by fitting with a model using the structural analysis program, the reflection multilayer film etching part may be removed from the end of the reflective multilayer film etching part. Data on the amount of displacement according to the position may be obtained.

このようにして得たパターンずれ量を相殺するように反射型フォトマスクパターンデータに公知の技術を用いて補正を加えることができる。   The reflection type photomask pattern data can be corrected using a known technique so as to cancel out the pattern shift amount obtained in this way.

上記図8(o)に示した501の状態でフィデュシャルマーク並びに本発明による位置補正情報を具備した反射型フォトマスクブランクを得ることができる。また、その上にマスクパターンを描画するために使用するレジストが塗布された状態の502の状態も本発明の反射型フォトマスクブランクに含まれる(図8(p)参照)。   A reflective photomask blank having a fiducial mark and position correction information according to the present invention can be obtained in the state 501 shown in FIG. Further, the reflective photomask blank of the present invention includes a state 502 in which a resist used for drawing a mask pattern is applied thereon (see FIG. 8 (p)).

上記補正を施したパターンを吸収膜上に形成したレジスト上に描画し、現像、エッチング、レジスト剥離により吸収パターン36を得ることができ、遮光枠を具備しない反射型フォトマスクの形態503となる(図8(p)、(q)、(r)、(s)参照)。この時点でパターン欠陥検査を行ない、必要に応じてパターン欠陥の修正を実施する。   The corrected pattern can be drawn on the resist formed on the absorption film, and the absorption pattern 36 can be obtained by development, etching, and resist removal, resulting in a reflective photomask 503 that does not have a light shielding frame ( (See FIG. 8 (p), (q), (r), (s)). At this time, a pattern defect inspection is performed, and the pattern defect is corrected as necessary.

さらに、その上にレジスト膜を形成して(図8(u)参照)遮光枠部が露出した状態になるようにパターン形成を行ない(図8(v)参照)、反射多層膜をエッチングする(図8(w)、(x)参照)。吸収膜のエッチング条件は反射多層膜に損傷を与えない条件を選択することが重要であるので、吸収膜と反射多層膜のエッチングは複数の条件を組み合わせることになるのが一般的であるが、吸収膜と反射多層膜の両方に対してエッチングできる条件を選択することができるならば、吸収膜と反射多層膜を連続的にエッチングすることも可能である。   Further, a resist film is formed thereon (see FIG. 8 (u)), pattern formation is performed so that the light shielding frame is exposed (see FIG. 8 (v)), and the reflective multilayer film is etched (see FIG. 8 (v)). (See FIGS. 8 (w) and (x)). Since it is important to select conditions that do not damage the reflective multilayer film as the etching conditions for the absorption film, etching of the absorption film and the reflective multilayer film is generally a combination of multiple conditions. If the conditions capable of etching both the absorption film and the reflective multilayer film can be selected, it is possible to continuously etch the absorption film and the reflective multilayer film.

上記の工程の後、残留したレジストを除去し、洗浄することにより遮光枠を具備した反射型フォトマスク600を得ることができ、遮光枠を反射多層膜の除去によって形成しても遮光枠近傍の位置精度の低下を抑制した高精度の反射型フォトマスクを得ることができる(図8(y)参照)。   After the above steps, the remaining resist is removed and washed to obtain the reflective photomask 600 having the light shielding frame. Even if the light shielding frame is formed by removing the reflective multilayer film, the vicinity of the light shielding frame is obtained. A highly accurate reflective photomask that suppresses a decrease in position accuracy can be obtained (see FIG. 8Y).

本発明においては、上記位置ずれ量のデータとともに図6に示したように多層膜を除去して形成した遮光枠の外側での位置ずれ量も抽出することができる。   In the present invention, the positional deviation amount outside the light shielding frame formed by removing the multilayer film as shown in FIG. 6 can be extracted together with the positional deviation amount data.

このような位置変動量は基板の保持状態の影響を受ける。例えば基板の端部付近の3点を支持点として保持する場合、遮光枠の形成前後で基板の重力によるたわみ量がわずかに変化することから、多層膜を除去して形成した遮光枠の近傍に形成したパターンの位置精度の検査においては、重力によるたわみ量の変動を考慮した値を基準にすることによって製品の良否を精度良く判定することができる。   Such positional variation is affected by the holding state of the substrate. For example, when holding three points near the edge of the substrate as supporting points, the amount of deflection due to the gravity of the substrate slightly changes before and after the formation of the light shielding frame, so that it is in the vicinity of the light shielding frame formed by removing the multilayer film. In the inspection of the positional accuracy of the formed pattern, it is possible to accurately determine the quality of the product by using a value in consideration of a variation in the deflection amount due to gravity as a reference.

また、図6に示した計算に対して、さらに基板を静電チャックに吸着した状態の条件を組み込むことによって、露光装置内に設置された状態でのパターン位置精度を計算することができる。また、露光装置内に設置された状態でのパターンの基板中心に対する位置と、マスクパターンの位置検査装置でパターンを基板端部付近の3点で支持した場合の、パターンの基板中心に対する位置の差分も計算することができる。   Further, by incorporating a condition in which the substrate is attracted to the electrostatic chuck in addition to the calculation shown in FIG. Also, the difference between the position of the pattern with respect to the substrate center when it is installed in the exposure apparatus and the position of the pattern with respect to the substrate center when the pattern is supported at three points near the edge of the substrate by the mask pattern position inspection apparatus. Can also be calculated.

上記の情報を用いてパターン位置の検査を実施することで、反射型フォトマスク製品のパターン位置精度の良否を精度良く判定することができる。   By performing the pattern position inspection using the above information, it is possible to accurately determine the quality of the pattern position accuracy of the reflective photomask product.

超低熱膨張ガラス基板としてULE(登録商標、コーニング社製)を用い、表面を平坦に研磨処理した後、主平面の片側にモリブデンとケイ素を交互に積層した反射多層膜、その裏面にクロムを主成分とする膜を形成した基板を用意した。   ULE (registered trademark, manufactured by Corning) is used as an ultra-low thermal expansion glass substrate, and after polishing the surface flatly, a reflective multilayer film in which molybdenum and silicon are alternately laminated on one side of the main plane, and chromium on the back side. A substrate on which a component film was formed was prepared.

次に反射多層膜上にフィデュシャルマークを公知のリソグラフィ技術を用いて形成し、反射多層膜表面の欠陥検査を実施した。検出した座標はフィデュシャルマークとの相対位置として記録した。   Next, a fiducial mark was formed on the reflective multilayer film using a known lithography technique, and a defect inspection of the reflective multilayer film surface was performed. The detected coordinates were recorded as a relative position to the fiducial mark.

次に反射多層膜上にタンタルを主成分とする吸収膜を形成した。前記フィデュシャルマークの視認性が良好になるように、フィデュシャルマーク部分が露出したパターンとともに、反射型フォトマスク周辺部の空き領域に、位置ずれ検査用多層膜除去マーク35を形成するための反射多層膜除去パターン45と、位置計測マークを形成するためのパターン44とを含むレジスト膜を形成した。   Next, an absorption film mainly composed of tantalum was formed on the reflective multilayer film. In order to form the fiducial mark visibility, the pattern for exposing the fiducial mark portion and the multilayer film removal mark 35 for misalignment inspection are formed in the empty area around the reflective photomask. A resist film including the reflective multilayer film removal pattern 45 and the pattern 44 for forming the position measurement mark was formed.

上記レジストパターンを反射型フォトマスクとしてフィデュシャルマークが露出して視認しやすい状態にし、さらに位置ずれ計測パターン、反射多層膜除去パターン45領域も反射多層膜表面が露出した状態とした。   Using the resist pattern as a reflective photomask, the fiducial marks were exposed and easily visible, and the misalignment measurement pattern and the reflective multilayer film removal pattern 45 area were also exposed.

この状態で位置ずれ計測パターンの位置をパターン位置計測装置LMS IPRO(VISTEC社製)にて計測した。   In this state, the position of the misalignment measurement pattern was measured with a pattern position measurement device LMS IPRO (manufactured by VISTEC).

次に、反射多層膜除去パターン45のみが露出するようにレジストパターンを形成し、反射多層膜をエッチング除去した。   Next, a resist pattern was formed so that only the reflective multilayer film removal pattern 45 was exposed, and the reflective multilayer film was removed by etching.

この状態で位置ずれ計測パターンの位置を前記と同様に計測し、反射多層膜除去前後の位置変化量を得た。位置ずれ計測パターンは反射多層膜除去領域からの距離が1μmから1mmの間にあり、それぞれの計測結果から図6および図7と同様の結果を得た。   In this state, the position of the misalignment measurement pattern was measured in the same manner as described above, and the amount of change in position before and after removing the reflective multilayer film was obtained. The positional deviation measurement pattern has a distance from the reflective multilayer film removal region between 1 μm and 1 mm, and the same results as in FIGS. 6 and 7 were obtained from the respective measurement results.

また、反射多層膜除去マークの内側に凸状に設けた角の付近にある位置ずれ計測パターンのX及びY方向のパターンずれ量から、遮光枠の角からのXおよびY方向の距離とずれ量のX及びY方向の成分を抽出した。   Further, the X and Y direction distances and deviation amounts from the corners of the light shielding frame are determined based on the X and Y direction pattern deviation amounts of the positional deviation measurement pattern in the vicinity of the corners provided in a convex shape inside the reflective multilayer film removal mark. The components in the X and Y directions were extracted.

反射多層膜エッチング領域端部からの距離と位置ずれ量の関係を多項式近似し、これをもとに反射型フォトマスクパターンデータの描画位置に対して補正を施した。   The relationship between the distance from the edge of the reflective multilayer film etching region and the amount of positional deviation was approximated by a polynomial, and the drawing position of the reflective photomask pattern data was corrected based on this.

次に、レジストをコーティングし、上記補正を施したパターンを電子線描画により形成し、吸収パターンのエッチング、レジスト除去の工程を経て反射型フォトマスクを作製した。   Next, a resist was coated, a pattern subjected to the above correction was formed by electron beam drawing, and a reflective photomask was produced through the steps of etching the absorption pattern and removing the resist.

引き続いて遮光枠パターン46を形成するためにレジストを塗布し、遮光枠パターン46をレーザ描画装置により露光し、現像、エッチング、レジスト除去工程を経て遮光枠を具備した反射型フォトマスクを得た。このようにして得られた反射型フォトマスクの位置精度を計測したところ、遮光枠21のごく近傍においても設計値との差は許容範囲内であり、静電チャックに吸着した状態について構造解析プログラムを用いた計算により予測しても、十分許容範囲内であることを確認した。   Subsequently, a resist was applied to form a light shielding frame pattern 46, the light shielding frame pattern 46 was exposed by a laser drawing apparatus, and a reflective photomask having a light shielding frame was obtained through development, etching, and resist removal processes. When the positional accuracy of the reflection type photomask obtained in this way was measured, the difference from the design value was within an allowable range even in the vicinity of the light shielding frame 21, and the structure analysis program for the state of being attracted to the electrostatic chuck It was confirmed that it was well within the allowable range even when predicted by calculation using.

本発明により、EUV光を用いたリソグラフィ技術を用いた半導体デバイス等の製造において、回路パターンの周辺領域においても位置ずれが少なく、アライメント不良による不良品発生を抑制することができる。   According to the present invention, in manufacturing a semiconductor device or the like using a lithography technique using EUV light, there is little misalignment even in the peripheral region of the circuit pattern, and generation of defective products due to poor alignment can be suppressed.

10…LTEM基板
20…反射多層膜
21…遮光枠
22…応力により変形した遮光枠
30…吸収膜
34…位置計測マーク
35…位置ずれ検査用反射多層膜除去マーク
36…マスクパターン
40…レジスト膜
41…フィデュシャルマークを形成するためのレジストパターン
42…フィデュシャルマーク
43…フィデュシャルマーク位置の吸収膜を除去するためのパターン
44…位置計測マークを形成するためのレジストパターン
45…反射多層膜除去マークを形成するためのレジストパターン
46…遮光枠形成用レジストパターン
50…裏面導電膜
100…反射型フォトマスクブランク
401、402、403、404…レジスト膜
501…反射多層膜応力による位置ずれ情報を具備した反射型フォトマスクブランク
502…反射多層膜応力による位置ずれ情報を具備したレジスト膜付きフォトマスクブランク
503…遮光枠を具備しない反射型フォトマスク
600…本発明の反射型フォトマスクの一例
601…本発明の反射型フォトマスクの一例
DESCRIPTION OF SYMBOLS 10 ... LTEM board | substrate 20 ... reflective multilayer film 21 ... light shielding frame 22 ... light shielding frame 30 deform | transformed by stress ... absorption film 34 ... position measurement mark 35 ... reflection multilayer film removal mark 36 for position shift inspection ... mask pattern 40 ... resist film 41 A resist pattern 42 for forming a fiducial mark ... A fiducial mark 43 ... A pattern 44 for removing an absorption film at a fiducial mark position ... A resist pattern 45 for forming a position measurement mark ... A reflective multilayer Resist pattern 46 for forming a film removal mark ... resist pattern 50 for forming a light shielding frame ... backside conductive film 100 ... reflective photomask blanks 401, 402, 403, 404 ... resist film 501 ... positional deviation information due to reflective multilayer film stress Reflective photomask blank 502 with reflective multilayer film stress An example of a reflective photomask example 601 ... the invention of the reflective photomask reflective photomask 600 ... present invention having no resist film with a photomask blank 503 ... light shielding frame provided with the positional displacement information that

Claims (5)

基板の一方の面に反射多層膜、その上に吸収膜、他方の面に裏面導電層を設けた反射型フォトマスクブランクであって、
前記反射型フォトマスクブランクの外周部に、フィデュシャルマークと、前記フィデュシャルマークとの位置関係を計測するためのマークを設け、前記フィデュシャルマークとの位置関係を計測するためのマークの近傍に前記吸収膜と反射多層膜を部分的に除去した領域が設けられ、前記吸収膜と反射多層膜を部分的に除去した形状は内側に90度の凸型形状を有することを特徴とする反射型フォトマスクブランク。
A reflective photomask blank having a reflective multilayer film on one surface of the substrate, an absorption film on the reflective film, and a back surface conductive layer on the other surface,
A mark for measuring the positional relationship between the fiducial mark and the fiducial mark is provided on the outer periphery of the reflective photomask blank and the positional relationship with the fiducial mark is measured. A region where the absorption film and the reflective multilayer film are partially removed is provided in the vicinity, and the shape obtained by partially removing the absorption film and the reflective multilayer film has a convex shape of 90 degrees inside. Reflective photomask blank.
請求項1に記載の反射型フォトマスクブランクの吸収膜の上にレジストを塗布したことを特徴とする反射型フォトマスクブランク。   A reflective photomask blank, wherein a resist is coated on the absorption film of the reflective photomask blank according to claim 1. 前記吸収膜と反射多層膜を部分的に除去した領域を設ける前後の、フィデュシャルマークとの位置関係を計測するマークの差分情報を、付加したことを特徴とする請求項1または請求項2に記載の反射型フォトマスクブランク。   The mark difference information for measuring the positional relationship with the fiducial mark before and after providing the region where the absorption film and the reflective multilayer film are partially removed is added. A reflective photomask blank according to 1. 請求項3に記載のフォトマスクブランクを用いた反射型フォトマスクの製造方法であって、前記差分情報をもとに遮光枠を形成した際に生じる影響を補正する際に、静電チャックに吸着されない状態でフォトマスクパターンの位置精度を計測するときと、露光装置内で静電チャックに吸着された状態での基板状態の差を考慮する手順を含むことを特徴とする反射型フォトマスクの製造方法。   A method of manufacturing a reflective photomask using the photomask blank according to claim 3, wherein when the influence generated when a light shielding frame is formed is corrected based on the difference information, it is attracted to an electrostatic chuck. Manufacturing a reflective photomask characterized in that it includes a procedure that takes into account the difference in substrate state between when the position accuracy of a photomask pattern is measured in an unapplied state and when it is attracted to an electrostatic chuck in an exposure apparatus Method. 請求項4に記載の反射型フォトマスクの製造方法に基づき製造されたことを特徴とする反射型フォトマスク。   A reflective photomask manufactured according to the method for manufacturing a reflective photomask according to claim 4.
JP2014170229A 2014-03-20 2014-08-25 Reflection type photomask blank, method of manufacturing the same, and reflection type photomask Pending JP2017084837A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW104108718A TW201543138A (en) 2014-03-20 2015-03-19 Reflective photomask blank, reflective photomask, reflective photomask production method, exposure method, and exposure device
PCT/JP2015/001543 WO2015141230A1 (en) 2014-03-20 2015-03-19 Reflective photomask blank, reflective photomask, reflective photomask production method, exposure method, and exposure device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014057868 2014-03-20
JP2014057868 2014-03-20

Publications (1)

Publication Number Publication Date
JP2017084837A true JP2017084837A (en) 2017-05-18

Family

ID=58714339

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014170229A Pending JP2017084837A (en) 2014-03-20 2014-08-25 Reflection type photomask blank, method of manufacturing the same, and reflection type photomask

Country Status (1)

Country Link
JP (1) JP2017084837A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581265B2 (en) 2019-09-09 2023-02-14 Kioxia Corporation Semiconductor device including paired marks and method for manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581265B2 (en) 2019-09-09 2023-02-14 Kioxia Corporation Semiconductor device including paired marks and method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
US11131921B2 (en) Method for manufacturing reflective mask blank, and method for manufacturing reflective mask
KR100977095B1 (en) Euv mask and method for repairing an euv mask
US8535854B2 (en) Reflective exposure mask, method of fabricating reflective exposure mask, method of inspecting reflective exposure mask, and method of cleaning reflective exposure mask
JP7286604B2 (en) Method for manufacturing reflective mask blank, method for manufacturing reflective mask, and method for manufacturing semiconductor device
WO2015141230A1 (en) Reflective photomask blank, reflective photomask, reflective photomask production method, exposure method, and exposure device
US20230185181A1 (en) Reflection-type mask, reflection-type mask blank, and method for manufacturing reflection-type mask
WO2015146140A1 (en) Phase defect evaluation method for euv mask, method for manufacturing euv mask, euv mask blank, and euv mask
US20090276735A1 (en) System and Method of Correcting Errors in SEM-Measurements
KR102546566B1 (en) Method of manufacturing a reflective mask blank, reflective mask blank and method of manufacturing a reflective mask
JP6277645B2 (en) Pattern position measuring method, pattern position measuring apparatus, and photomask
KR20100104120A (en) Reflective photomask and method of fabricating the same
JPWO2020095959A1 (en) Substrate with multi-layer reflective film, reflective mask blank, reflective mask manufacturing method, and semiconductor device manufacturing method
JP2017084837A (en) Reflection type photomask blank, method of manufacturing the same, and reflection type photomask
TWI808103B (en) Substrate with multilayer reflective film, reflective mask substrate, reflective mask, and manufacturing method of semiconductor device
JP6862703B2 (en) Manufacturing method of reflective mask and reflective mask
US20120141927A1 (en) Reflective mask and method for manufacturing the same
JP2016066715A (en) Phase defect correction method of reflective mask and mask with pellicle
WO2016103734A1 (en) Reflective mask and method for manufacturing same
JP2014090131A (en) Method of manufacturing reflective mask
JP2012094769A (en) Light reflective photomask and manufacturing method thereof
JP2016031972A (en) Reflective mask blank, manufacturing method thereof and reflective mask
JP2017227805A (en) Correction method of reflective mask and method for manufacturing reflective mask
KR20150127830A (en) Reflection type mask blank, method for fabricating the same and method for fabricating reflection type photo mask